JPH0881790A - プラズマ不活性カバー及びそれを使用するプラズマ洗浄方法及び装置 - Google Patents

プラズマ不活性カバー及びそれを使用するプラズマ洗浄方法及び装置

Info

Publication number
JPH0881790A
JPH0881790A JP7171097A JP17109795A JPH0881790A JP H0881790 A JPH0881790 A JP H0881790A JP 7171097 A JP7171097 A JP 7171097A JP 17109795 A JP17109795 A JP 17109795A JP H0881790 A JPH0881790 A JP H0881790A
Authority
JP
Japan
Prior art keywords
plasma
wafer
heater plate
vacuum deposition
deposition chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7171097A
Other languages
English (en)
Inventor
Cissy S Leung
エス. リューン シシィ
Lawrence Chung-Lai Lei
チャン−ライ レイ ローレンス
Sasson Somekh
ソメクー サッソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0881790A publication Critical patent/JPH0881790A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【目的】 間接時間を短縮しかつ真空付着チャンバ内の
ヒータプレートのような密閉空間内の要素上の有害な付
着物の形成を避ける真空付着チャンバのような密閉空間
の洗浄法を提供すること 【構成】 プラズマの攻撃を受ける表面によって少なく
とも部分的に区切られた空間内部から付着物を除去する
方法は、プラズマに不活性な材料を含むカバーをその表
面上に載置するステップ及びその付着物を除去するステ
ップを含む。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、真空付着チャンバのよ
うな密閉空間で半導体ウェハのような材料を処理するこ
とに関する。更に詳細には、本発明は、真空付着チャン
バからタングステン又はケイ化タングステン付着物のよ
うな付着物を除去するための改良された洗浄方法に関す
る。
【0002】
【従来の技術】集積回路構造を形成する半導体ウェハの
処理においては、全面付着後エッチング又は化学機械的
ポリシングするかあるいはタングステンが選択的に付着
するシリコン又はアルミニウム面を露出するように既に
パターン形成された酸化物層のようなマスク層上にタン
グステンを選択付着することによって、化学気相成長
(CVD)法によるウェハ上にタングステンのような材
料を付着させることが望ましい。
【0003】いずれの場合にも、タングステンのような
材料の付着物は、通常、真空付着チャンバ内、例えば、
そのチャンバ内の加熱プレートの露出面及びサセプタ
(付着中半導体ウェハが載置される加熱プレートの表面
以外の台又は支持体)上に蓄積する。そのような付着物
は、チャンバの寸法を変えかつ露出面から剥がれチャン
バ内で処理されるウェハ上に落ちたり、特に次の選択付
着プロセスの場合、化学的に付着環境を変化させる傾向
があるので、周期的に除去されなければならない。その
ような変化によって、CVD法は著しく影響される。
【0004】以前には、プラズマ援助エッチング(plasm
a-assisted etch)、特にフッ素エッチングを用いてその
ような付着物を除去することが慣用的であった。フッ素
ガス源を真空付着チャンバに供給し、次に、付着中真空
付着チャンバにガスを供給するシャワーヘッドをRF源
に接続することによりガスフロー中チャンバ内にプラズ
マを発生させる。ヒータプレート、サセプタ又は付着中
ウェハが通常載っている他の表面は接地される。フッ素
はタングステン又はケイ化タングステンのような付着物
と反応し、得られたガス状反応生成物は真空排気装置に
よってチャンバから除去される。そのような方法は、真
空チャンバ内のサセプタからタングステン又は他の付着
物を十分に除去する。
【0005】Changの米国特許第 5,207,836号には、改
良された洗浄方法が開示されている。Chang法は、チャ
ンバ内にプラズマを維持しつつチャンバに水素ガス源を
貫流するステップを引き続き提供するものである。この
追加ステップは、チャンバ内に残るフッ素残留物を除去
するので、そのような残留物が後のタングステン付着を
妨害しないように防止する。
【0006】
【発明が解決しようとする課題】しかしながら、既知の
方法はある種の欠点を持っている。典型的には、チャン
バ洗浄は、各ウェハが処理された後に行われる。そのこ
とにより、各ウェハがチャンバから取り出された後のプ
ラズマ洗浄ステップ及び次の排気及びパージステップを
設定及び実施するのに要する時間のために、ウェハスル
ープットは低下する。ウェハスループットの低下は、生
産コストの上昇を生じる。
【0007】既知の方法の重大な欠点は、更に、洗浄ス
テップ中プラズマに露出した際真空付着チャンバ内の要
素の分解である。特に、フッ素プラズマはヒータプレー
トのようなアルミニウム要素を攻撃し、その表面にAl
Fを形成する。表面AlF付着物は、後のウェハ処理を
妨害する粒子源として作用する。更に、AlFは絶縁材
料であるので、ヒータプレート上にAlF層が存在する
と、ウェハ処理温度に影響するので、ウェハ上の膜付着
の速度及び均一性に影響する。
【0008】前述の課題に対する1つの提案された解決
法は、チャンバ洗浄の間隔を長くすることであった。2
5〜50枚のウェハを処理する毎にチャンバ洗浄を行う
と、間接時間を短縮するので、生産コストを下げる。し
かしながら、チャンバ洗浄が遅れるので、チャンバ内の
アルミニウムヒータプレートのような露出要素上のプラ
ズマ攻撃及び結果として起こるAlFのような付着物の
形成に起因する課題を解決しない。
【0009】低温プラズマを使用する周期的洗浄法が用
いられた。しかしながら、そのような方法はなおプラズ
マ攻撃の課題をすべて完全には解決しない。機械的洗浄
法(即ち、削る方法)も用いられるが、付着物すべてを
除去せずかつヒータプレートを損傷する可能性がある点
でたいてい不十分である。
【0010】アルミニウムウェハは、エッチングプロセ
ス中の陽極化アルミニウムチャック表面の保護に用いら
れた。しかしながら、そのようなウェハはプラズマ攻撃
も受けやすいので、洗浄中プラズマ攻撃からアルミニウ
ムヒータプレートを保護するのに不適当である。
【0011】従って、間接時間を短縮しかつ真空付着チ
ャンバ内のヒータプレートのような密閉空間内の要素上
の有害な付着物の形成を避ける真空付着チャンバのよう
な密閉空間の洗浄法を提供することが望ましい。
【0012】
【課題を解決するための手段】本発明の1態様によれ
ば、プラズマの攻撃を受ける表面によって少なくとも部
分的に区切られた空間内部から付着物を除去する方法が
提供される。本方法は、プラズマに不活性な材料を含む
カバーを該表面上に載置するステップ及び付着物を、好
ましくはプラズマでエッチングすることにより除去する
ステップを含む。この不活性なカバーは、プラズマによ
る攻撃から下にある表面を保護する。プラズマに不活性
であるので、このカバーは繰り返して使用することがで
きる。更に、下にある表面がプラズマ攻撃から保護され
るので、プラズマ洗浄中プラズマ電力を高め、洗浄時間
を短縮する。
【0013】即ち、本発明の方法は、アルミニウム加熱
要素が配置された真空付着チャンバのような空間を、既
知の洗浄法より頻繁でなくかつ短時間で洗浄することを
可能にする。チャンバスループット、従って操作の経済
性が対応して高められる。
【0014】更に、付着物を除去する新規な方法を包含
する付着及びエッチングプロセスを含む真空付着チャン
バ内における半導体ウェハの処理方法が提供される。
【0015】本発明の他の態様によれば、半導体ウェハ
の処理後、ヒータプレートを含む真空付着チャンバから
付着物を除去するために用いられるプラズマに不活性な
材料を含む被覆ウェハが提供される。
【0016】本発明の別の態様によれば、ヒータプレー
ト及び上記のような被覆ウェハを含む真空付着チャンバ
を含む半導体ウェハを処理するための装置が提供され
る。
【0017】本発明の他の目的、特徴及び利点は、次の
詳細な説明から当業者に明らかになるであろう。しかし
ながら、本発明の好ましい実施例を示しつつ詳細な説明
及び個々の実施例は、具体的な説明のためであって限定
するものではないことは理解されるべきである。本発明
の範囲内の多くの変更及び改変はその真意から逸脱する
ことなく行われ、本発明はそれをすべて包含する。
【0018】
【実施例】次の詳細な説明においては、空間がヒータプ
レート、特にアルミニウム又はアルミニウム合金ヒータ
プレートを含む真空付着チャンバによって区切られる本
発明の好適実施例に言及される。半導体ウェハは、エッ
チングプロセス及びCVDのような蒸着法を含む種々の
方法を用いて真空付着チャンバ内で処理される。具体的
なCVD法は、ウェハの加熱後、タングステンのような
物質を付着することを含んでいる。
【0019】本発明の実施の主題として特に適切な真空
付着チャンバの例は、 Leiらの米国特許出願第08/200,0
79号に開示されており、その内容を参考として本明細書
に引用する。しかしながら、本発明は、真空付着チャン
バあるいはエッチングプロセス又はタングステンCVD
法のような特定の方法からプラズマ感受性表面を保護す
ることにその用途が限定されるとみなされるべきでな
い。
【0020】特に、本明細書で用いられる『真空処理チ
ャンバ』なる語は、真空付着プロセス、エッチングプロ
セス又は他のプロセスを行うのに適切なチャンバを示す
ものである。
【0021】本発明は、添付の図面によってより容易に
理解される。図面においては、同様の要素はすべて同様
の番号が付けられている。
【0022】図1は、本発明のカバー10の具体的な実
施例を示すものである。カバー10は、真空付着装置1
8(単純化した形で示されている)の真空付着チャンバ
16内のヒータプレート14の上面12の一部を保護す
るためにウェハの大きさの形に作られる。その被覆ウェ
ハ10は、例えば、材料が半導体ウェハの全面に付着
し、ヒータプレート14の露出部分及び真空付着チャン
バ16内の他の面にも付着する『完全な付着』プロセス
の後の使用に適切である。
【0023】図3でより詳しく示されている典型的なヒ
ータプレート14は、その上面12上に同心円の溝2
0、1個以上の真空口24が区切られた放射状の溝2
2、支持ピン28を受けるための穴26及び半導体ウェ
ハの正しい配置を容易にする案内ピンのような種々の特
徴を含むことができる。これらの特徴の1種以上を、カ
バー10によってプラズマの露出から保護することがで
きる。ヒータプレート14は、円以外の形を有するウェ
ハに適応するために図3の円構造以外の構造をもつこと
ができる。
【0024】カバー10は、種々の形及び構造を有する
ことができる。例えば、カバー10は、ヒータプレート
14の全上面12を保護するように設計される。これ
は、カバー10の大きさをヒータプレート14の上面の
みに被せるかあるいは別にヒータプレート14の縁を越
えて伸びるようにすることにより達成される。その別の
好ましい実施例は、図2に示されている。この実施例に
おいては、カバー10は、ヒータプレート14の上面1
2を越え、典型的にはセラミック材料を含む影(shadow)
のプレート21によって外周19が保護される。この実
施例は、影のプレート21によって保護されない半導体
ウェハの表面上だけを材料が付着する『独占的付着』プ
ロセスの後の使用に適切である。独占的付着プロセスに
おいては、ヒータプレート14の上面12の一部にその
材料が付着されないが、影のプレート21のような真空
付着チャンバ16内の他の表面にのみ付着されない。
【0025】また、そのようなカバー10は、ヒータプ
レート14の全上面12又はその一部に配置されるキャ
ップ又は他の構造の形にすることができ、案内ピン30
のような突き出ている特徴に適応する形にすることがで
きる。
【0026】具体的な実施例においては、カバー10
は、半導体ウェハが処置中に配置される上面12の一部
のみに被せるように設計される。即ち、カバー10は、
半導体ウェハと実質的に同じ形とサイズを有する被覆ウ
ェハの形で作られる。従って、図1及び図4−7に示さ
れる好適実施例においては、カバーは、ヒータプレート
14のプラスミド感受性上面12の一部のみを保護する
ように形成される。また、ヒータプレート14の全上面
12が半導体ウェハによって被せられる場合又は半導体
ウェハが図2のようにヒータプレート14の縁を越えて
伸びる場合、カバー10は同様にヒータプレート14に
被せるか又は縁を越えて伸びるように作られる。そのよ
うな被覆ウェハの利点は、真空付着チャンバ16内の半
導体ウェハを配置するために用いられる同一の処理手段
(例えば、ロボットブレード、図示せず)を洗浄でチャ
ンバ16内のカバー10を配置するために用いることが
できることである。
【0027】真空付着装置18内で処理される半導体ウ
ェハ及びその中で用いられるヒータプレート14の具体
的な構造によって、カバー10は実質的に円形(図4)
であるか又は平面(flats) 32(図5−6、割合は一定
でない)又はノッチ34(図7、割合は一定でない)を
1ヵ所以上有する。平面32は、処理されるべき半導体
ウェハに適用できる規格によって、相対的に狭く(図
5)又は広く(図6)することができる。例えば、JE
IDA(日本)規格に準じて作られた半導体ウェハは相
対的に狭い平面を有するので、対応するカバー10も狭
い平面を有する。
【0028】本発明のカバーは、洗浄されるべき真空付
着チャンバ又は他の空間から付着物を除去するために用
いられるプラズマに不活性な材料からなる。プラズマ不
活性材料の1つの好ましい種類は、セラミック材料、例
えば、アルミニウム、ジルコニウム、セリウム又はラン
タンのような金属の酸化物又は窒化物である。半導体ウ
ェハが処理される真空付着チャンバ内のヒータプレート
の伝熱面の一部を保護するのに使用する場合、セラミッ
ク材料のような使用材料が耐熱衝撃性であることが特に
好ましい。これは、そのような適用においてはカバーが
通常高温環境に導入されるからである。典型的な半導体
ウェハ処理温度は、約250〜650℃である。耐熱衝
撃性である材料からなるカバーは、真空付着チャンバ内
の温度の高い環境に導入しても損傷が少ないと考えられ
る。
【0029】また、本発明のカバーを製造するために用
いられる選択されたプラズマ不活性材料はタングステン
又はケイ化タングステンを付着するが反応せず、その付
着物は空間、例えば真空付着チャンバから、後の付着プ
ロセスを妨害する粒子を生成しないで除去される。
【0030】本発明に有用な特に好ましいセラミック材
料は、酸化アルミニウム(Al2 3 )及び窒化アルミ
ニウム(AlN)である。これらの材料は、非常に耐熱
衝撃性であり、更に、タングステン又はケイ化タングス
テンを付着する。
【0031】好適実施例においては、真空付着チャンバ
ヒータプレートを保護するのに有用な本発明の被覆ウェ
ハは、半導体ウェハの熱膨張係数に対して使用プラズマ
不活性材料の熱膨張係数を考慮するように設計される。
これは、被覆ウェハが真空付着チャンバ内の温度で所望
の面積に被せることを確実にする。例えば、酸化アルミ
ニウム及び窒化アルミニウムは、シリコンより熱膨張係
数が大きい。即ち、酸化アルミニウム又は窒化アルミニ
ウムからなる被覆ウェハは、シリコンからなる半導体よ
り室温で直径がわずかに小さく製造される。
【0032】他の実施例においては、本発明のカバー
は、複合構造を有する。この実施例においては、必ずし
もプラズマ不活性でない第2材料の層は、使用プラズマ
不活性材料で少なくとも片面が被覆される。第2材料の
層は、プラズマ不活性材料で全面が被覆されることが好
ましい。例えば、金属ウェハが片面又は両面にプラズマ
不活性材料の層を設け、サンドイッチ構造を形成する。
第2材料がプラズマ不活性でない場合には、その部分は
露出されてはならない。その複合カバーを含む種々の層
は、複合カバーのそりや亀裂が生じないことを確実にす
るために、同様の熱膨張係数を有する材料から形成され
ねばならない。
【0033】図8(a)によれば、カバー10は、コア
層36及びプラズマ不活性材料のコーティング38を有
する複合構造を有する。図8(b)においては、カバー
10のコア層36は、その各々の面にプラズマ不活性材
料を含む層40を有する。
【0034】被覆ウェハのような本発明のカバーは、プ
ラズマが入り込む空間が形成されないようにプラズマ感
受性表面を密接に接触するように設計される。例えば、
カバー10は、プラズマが溝20及び22及び真空口2
4と接触するカバー10の下に流れることができないよ
うにヒータプレート14上に平らにあるように設計され
ることが好ましい。即ち、平面32又はノッチ34を有
する被覆ウェハは、その平面又はノッチが溝又は真空口
を露出しないようにヒータプレート14上に配置される
ことが好ましい。
【0035】被覆ウェハのような本発明のカバーは、特
に、使用除去プロセス、即ちプラズマエッチングプロセ
スが低圧で行われる場合には、通常、付着物の除去中真
空チャックされずにプラズマ感受性表面に密接に接触す
るであろう。しかしながら、場合によっては、カバー、
特に被覆ウェハは、下記に詳述されるように後のシーズ
ニングステップ中に真空チャックされる。
【0036】別の実施例においては、カバーは、プラズ
マ感受性表面のキャップの形とすることができる。その
キャップは、例えば、ヒータプレートの同心円の溝を塞
ぐ周辺縁又は壁を有する円板の形を有するか又は保護さ
れるべき表面についてキャップを合わせる他の手段を含
むことができる。そのようなキャップは、典型的には、
その周辺でのみプラズマ感受性表面に接触し、キャップ
の残りとプラズマ感受性表面間の空間が残るがその空間
へのプラズマフローを防止する。
【0037】本発明のカバーは、使用プラズマ不活性材
料に適した慣用的手段を用いて製造される。典型的に
は、被覆ウェハは、燃焼、焼成、CVD又はプラズマ吹
付けを含む種々の方法によって酸化アルミニウム又は窒
化アルミニウムから形成するこができる。例えば、焼成
は、不活性雰囲気下高圧(約2000−4000psi
a、好ましくは約2500−3500psia)及び高
温(約1700−2000℃)で行われる。
【0038】別の好適実施例によれば、本発明のカバー
は、少なくとも片面がプラズマ感受性表面と接触した状
態にある金属層を備えている。そのカバーが真空付着チ
ャックヒータプレートの加熱面の一部を保護するために
用いられる被覆ウェハである好適実施例においては、そ
の金属層はロボットブレードと通じている容量センサに
よって検出されるべきロボットブレードのようなウェハ
処理手段上に被覆ウェハを載置することを可能にする。
【0039】任意の金属層は、約100〜5000オン
グストロームの厚さを有し、常法、例えば、蒸着によっ
て形成されることが好ましい。具体的な金属としては、
アルミニウム及びタングステンが挙げられる。被覆ウェ
ハは、片側又は両側に任意の金属層を設けることができ
る。被覆ウェハは、ウェハの縁から約2〜10mm、好ま
しくは5mm伸びている金属層が形成されていない周辺排
除ゾーンを有することが好ましい。これにより、金属層
のプラズマエッチングが防止される。
【0040】本発明のカバーは、真空付着チャンバ内の
アルミニウムヒータプレートの上面又はその一部のよう
なプラズマ感受性表面を、付着物を除去するために慣用
的に用いられるプラズマエッチングから保護するために
用いられる。図1に示されるカバー10が用いられる具
体的なプロセスにおいては、ヒータプレート14の露出
上面12上の付着物が真空付着チャンバ16内の他の表
面と共に除去される。図2に示されるカバー10が用い
られる他の具体的なプロセスにおいては、影のプレート
21及び真空付着チャンバ16内の他の表面上の付着物
のみが除去される。そのようなプロセスにおいては、影
のプレート21とカバー10は、通常、例えば、ヒータ
プレート14上のカバー10を影のプレート21との接
触から少なくとも部分的に引っ込めることにより隔置さ
れた関係で維持される。
【0041】特定の具体的なプロセスにおいては、除去
されるべき付着物と反応できるガスは、洗浄されるべき
空間、例えば、真空付着チャンバに流し込まれる。次い
で、ガスを流しかつ露出面上の付着物と反応しつつプラ
ズマが空間に発生する。
【0042】エッチングプロセスにおいて用いられるガ
スは、典型的にはフッ素又は塩素のようなハロゲンガス
源である。ガスは、NF3 、SF6 、CF4 及びC2
6 のようなフッ素ガス源であることが好ましい。NF3
が特に好ましい。プラズマエッチングプロセスにおいて
用いられる他のガスも、本発明に有効であると予想され
る。ガス混合物も用いることができる。アルゴン、ネオ
ン又はヘリウムのような不活性又は非反応性希釈ガス
を、ガス又はガス混合物と混合することができる。
【0043】除去されるべき付着物と反応性のガス(ガ
ス混合物)の適切な流速並びに真空付着チャンバ又は他
の空間内の温度及び圧力は、慣用的因子の中で付着物が
除去される空間容積、除去される付着物の量を考慮し
て、当業者が容易に決定することができる。典型的なプ
ロセスパラメーターは、 Changらの米国特許第 5,207,8
36号に示されており、その全開示を参考として本明細書
に引用する。
【0044】本発明のカバーの使用は、慣用的に用いら
れるプラズマより広範囲の電力を有するプラズマの使用
を可能にする。約50〜2000ワット、好ましくは6
00〜2000ワット、特に600〜1000ワットの
プラズマ電力を用いることができる。例えば、直径6″
(150mm) を有する加熱プレートを含む真空付着チャ
ンバが処理される場合、好ましいプラズマ電力は600
ワットである。加熱プレートが直径8″(200mm) を
有する場合、好ましプラズマ電力は1000ワットであ
る。
【0045】本発明によって可能になった高プラズマ電
力レベルにより、短時間のプラズマエッチングが得られ
る。典型的には、プラズマエッチングステップは約10
〜600秒間行われる。プラズマ電力600ワットの場
合、除去される付着物量に左右されるが、プラズマエッ
チングステップは約10〜300秒間行われる。
【0046】場合によっては、更に、プラズマエッチン
グステップから残留しているフッ素残留物のような残留
物を除去する任意のステップをエッチングステップ後に
行うことができる。そのような追加の除去ステップを含
むプロセスは、例えば、Chang の米国特許第 5,207,836
号に記載されている。
【0047】前述のように、本発明のカバーを導入する
空間は高温であってもよい。これは、半導体ウェハが処
理される真空付着チャンバ内の加熱プレートを保護する
ために用いられるべき被覆ウェハが本発明のカバーであ
る場合特に真実である。そのような処理は、典型的に
は、約400−490℃の温度及び約1−100Torrで
行われる。耐熱衝撃性である材料の使用は、温度の急激
な変化に起因するカバーに対する損傷を最少にする。熱
衝撃のための損傷を更に排除するために、空間内及びプ
ラズマ感受性表面上で(さもなければ直接接触から離れ
て)カバーに対する熱衝撃損傷を防止するように材料の
温度を上昇させるのに十分な時間カバーを保持すること
が好ましい。カバーがこの方法で加熱された後に、プラ
ズマ感受性表面と接触状態で配置される。
【0048】例えば、被覆ウェハは、真空付着チャンバ
に導入され、保護されるべきヒータプレートの伝熱面上
に約0. 005〜0. 1インチ、好ましくは約0. 08
インチ(2mm) の高さで維持される(ロボットアーム又
は支持ピンのような慣用の手段で)。約20〜30秒の
後、被覆ウェハの温度は、被覆ウェハに対する熱衝撃損
傷の実質的な危険がなくヒータープレート上に安全に載
置されるようなレベルに緩慢に上昇した。
【0049】また、保護されるプラズマ感受性表面を有
する空間に導入する前に、カバーを予熱することができ
る。この実施例は、耐熱衝撃性の小さい材料からカバー
を製造させることができる。
【0050】前述の種々の特徴を示す具体的な方法は、
図9のフローシートで説明される。
【0051】本発明のカバーは、1ステップ以上のウェ
ハ処理ステップ後の洗浄ステップを含む真空付着チャン
バ内で半導体ウェハを処理する方法の一部として使用す
ることができる。例えば、半導体処理法は、付着プロセ
ス又はエッチングプロセスとすることができる。即ち、
半導体ウェハを、化学気相成長のような付着又はエッチ
ングに供し、次いで真空付着チャンバから取り出すこと
ができる。1枚以上の半導体ウェハは、引き続き同様の
方法で真空付着チャンバ内で処理されることが好まし
い。合計25〜50枚の半導体ウェハがそのように処理
されることが好ましい。半導体ウェハを処理した後、付
着又はエッチングプロセス中に生じた付着物は上記の方
法で除去される。被覆ウェハは、真空付着チャンバの中
に導入され、そのチャンバ内のヒータプレートの伝熱面
上に載置される。次いで、そのチャンバ内の付着物がプ
ラズマエッチングによって除去される。フッ素残留物の
ような残留物も引き続き除去される。次いで、半導体ウ
ェハ処理法を反復することができる。
【0052】上記の付着プロセスの好ましい実施例にお
いては、プラズマエッチングステップに引き続いて真空
付着チャンバがシーズニングされる。シーズニングは、
処理中チャンバ内に蓄積するタングステン又はケイ化タ
ングステンのような材料の所定の微量をチャンバの中に
導入することにより行われる。シーズニングステップ
は、より一様な半導体ウェハ処理環境を確実にする。シ
ーズニングは、典型的には、カバーを存在させて行われ
るが、カバーをチャンバから除去した後行うこともでき
る。
【0053】シーズニングは、1ステップ以上で行うこ
とができる。例えば、半導体ウェハが上記のように完全
な取扱範囲の付着を用いて処理される場合、シーズニン
グはWF6 のSiH4 還元を用いて行うことができる
(ウェハ上のタングステン付着の核発生ステップで用い
られたステップ)。シーズニングステップは、被覆ウェ
ハ並びに被覆ウェハで保護されないヒータプレートの上
面及び真空付着チャンバ内の周設面上にタングステンの
薄層、例えば約800オングストロームを付着する。半
導体ウェハが上記の付着プロセスのみを用いて処理され
る場合、シーズニングは2ステップで行われることが好
ましい。第1ステップは、前述のシーズニング工程のよ
うにWF6 のSiH4 還元を用いる。これにより、影の
セラミックプレート及びヒータプレート上に配置されか
つ影のプレートが載っている被覆ウェハに十分に付着す
るタングステン薄層(典型的には約800オングストロ
ーム)を生じる。しかしながら、影のプレートには典型
的には追加のシーズニングが必要である。即ち、第2シ
ーズニングステップは、被覆ウェハ及び影のプレート上
にタングステン薄層を形成するのに十分な時間WF6
2 還元を用いる。具体的なシーズニングプロセスにお
いては、第2ステップは約120秒間行われ、約12,
000オングストロームの厚さを有するタングステン膜
を生じる。第1シーズニングステップを含まないと、タ
ングステンは影のプレートに十分には付着しない。
【0054】限定するものでない下記実施例によって、
本発明を更に具体的に説明する。
【0055】実施例1 カバー A.窒化アルミニウム(AlN)被覆ウェハ 窒化アルミニウム末(純度99. 9%、プラズマによっ
てエッチングすることができる焼成酸、例えば、Y2
3 を含まない;NGK製)を不活性雰囲気下高圧及び高
温で密度約3. 25g/cm3 を有するプレート状形に焼
成する。そのプレートからウェハ(円板状形)を切断
し、好ましくは両側研削により使用ウェハ直径によって
所望の厚さに研削する。直径約200mmの場合、厚さは
約1. 0〜1. 25mmであることが好ましい。直径約1
50mm場合、厚さは約0. 75〜1. 00mmであること
が好ましい。ウェハの周縁を研削し、場合によっては斜
角及び/又は平面又はノッチを設ける。
【0056】直径200mmを有するシリコンウェハと使
用する場合、窒化アルミニウム被覆ウェハは直径200
mm±0. 2mmを有することが好ましい。
【0057】また、最初の窒化アルミニウムプレートは
AlN膜の化学気相成長によって製造することができる
が、そのようなプロセスは前述の焼成プロセスよりコス
トがかかる。
【0058】B.酸化アルミニウム(Al2 3 )被覆
ウェハ 酸化アルミニウム(好ましくは少なくとも純度99%)
を、プレート状形に形成し、燃焼する。次いで、プレー
トを、好ましくは両側研削によりウェハの直径によって
0. 75〜1. 25mmを有するほぼウェハの形に研削す
る。ウェハの周縁を研削し、場合によっては斜角及び/
又は平面又はノッチを設ける。
【0059】直径200mmを有するシリコンウェハと使
用する場合、酸化アルミニウム被覆ウェハはシリコンに
相対して高い酸化アルミニウムの熱膨張係数を考慮して
直径199. 5mm±0. 1mmを有することが好ましい。
【0060】実施例2 洗浄工程を含む付着プロセス 下記プロセスは、Applied Materials, Inc.(カリフォル
ニア州、サンタクララ)製のPrecision 5000 xZ 真空付
着装置を用いて行われる。Applied MaterialsのCentura
xZのような他の市販装置も使用できる。
【0061】A.付着 シリコンウェハをPrecision 5000 xZ 装置の真空付着チ
ャンバ内に導入し、475℃の選択された処理温度まで
加熱する。慣用的なWF6 及びSiH4 による予備核発
生、チャンバパージ、加圧及びヒータプレート上ウェハ
の安定化の後、90Torrの圧力下WF6 (流速95scc
m) を用いてタングステン付着が行われる。次いで、ウ
ェハを取り出し、チャンバをパージ及び排気(Ar/N
2 /H2 パージ) し、25枚のシリコンウェハが処理さ
れるまで付着プロセスを反復する。
【0062】B.NF3 プラズマ洗浄 475℃の選択された処理温度に維持されたヒータプレ
ートと共に、窒化アルミニウム被覆ウェハを真空付着チ
ャンバに導入し、23秒間加熱する。同時に又は引き続
き、150sccm及びベース圧300mTにおいてNF3
チャンバ内に導入する。23秒加熱した後、シリコンウ
ェハを被せた面積が保護されるように被覆ウェハをヒー
タプレート上に載置する。被覆ウェハが正しい場所に置
かれると、600ワットプラズマを発生させ、NF3
ラズマエッチングを227. 5秒行い、プラズマ電力を
225秒の200ワットまで下げる。パージ/排気の2
サイクル(1サイクルにつきAr/N2 /H2 パージ3
0秒、排気3秒)後、チャンバ圧を4. 5Torrまで下
げ、WF6 (10sccm)及びSiH4 (5sccm)のチャ
ンバへの導入によりチャンバを30秒間シーズニングす
る。次いで、チャンバ圧を大気圧に戻す。パージ/排気
の3サイクル(1サイクルにつきAr/N2 /H2 パー
ジ3秒、排気3秒)後、付着手順を反復する。
【0063】即ち、本発明のカバーと共にヒータプレー
トを有する真空付着チャンバを含む真空付着装置は半導
体ウェハスループットの増加を可能にし、そのことによ
り従来の装置より経済性を高めることを可能にする。
【0064】
【発明の効果】本発明は、以上説明したように構成され
るので、間接時間を短縮し、かつ真空付着チャンバ内の
ヒータプレートのような密閉空間内の要素上の有害な付
着物の形成を避ける真空付着チャンバのような密閉空間
の洗浄法を提供することができる。
【図面の簡単な説明】
【図1】真空付着装置の真空付着チャンバ内のヒータプ
レート上に配置された本発明のカバーの実施例の断面図
である。
【図2】真空付着装置の真空付着チャンバ内のヒータプ
レート上に配置されかつ影のプレートによって部分的に
保護された本発明のカバーの実施例の断面図である。
【図3】本発明のカバーを用いて有利に保護される典型
的な真空付着チャンバヒータプレートの平面図である。
【図4】種々のヒータプレート上の保護位置にある本発
明のカバーの具体的な実施例の平面図である。
【図5】種々のヒータプレート上の保護位置にある本発
明のカバーの具体的な実施例の平面図である。
【図6】種々のヒータプレート上の保護位置にある本発
明のカバーの具体的な実施例の平面図である。
【図7】種々のヒータプレート上の保護位置にある本発
明のカバーの具体的な実施例の平面図である。
【図8】複合構造を有する本発明の別のカバーの断面図
である。
【図9】本発明の洗浄法を示すフローシートである。
【符号の説明】
10…カバー、12…ヒータプレートの上面、14…ヒ
ータプレート、16…真空付着チャンバ、18…真空付
着装置、19…外周、20…溝、21…影のプレート、
22…溝、24…真空口、26…穴、28…支持ピン、
30…案内ピン、32…平面、34…ノッチ、36…コ
ア層、38…コーティング、40…プラズマ不活性材料
を含む層。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/304 341 D // C30B 33/12 7202−4G (72)発明者 ローレンス チャン−ライ レイ アメリカ合衆国, カリフォルニア州 95035, ミルピタス, カントリー ク ラブ ドライヴ 1594 (72)発明者 サッソン ソメクー アメリカ合衆国, カリフォルニア州 94022, ロス アルトス ヒルズ, ム ーディ ロード 25625

Claims (28)

    【特許請求の範囲】
  1. 【請求項1】 プラズマからの攻撃を受ける表面によっ
    て少なくとも部分的に区切られた空間から付着物(depos
    its)を除去する方法であって、(a)前記表面上に前記
    プラズマに不活性な材料を含むカバーを載置する(placi
    ng) ステップ、及び(b)プラズマ処理によって前記付
    着物を除去するステップ、を含む方法。
  2. 【請求項2】 前記材料がセラミック材料である請求項
    1記載の方法。
  3. 【請求項3】 前記材料が金属酸化物及び金属窒化物か
    らなる群より選ばれる請求項2記載の方法。
  4. 【請求項4】 前記セラミック材料が酸化アルミニウム
    及び窒化アルミニウムからなる群より選ばれる請求項3
    記載の方法。
  5. 【請求項5】 前記材料が耐熱衝撃性である請求項1記
    載の方法。
  6. 【請求項6】 前記空間が、半導体ウェハを処理するた
    めの真空付着チャンバである請求項1記載の方法。
  7. 【請求項7】 前記表面がヒータプレートの加熱面の少
    なくとも一部である請求項6記載の方法。
  8. 【請求項8】 前記表面が、前記真空付着チャンバ内で
    の処理中に前記半導体ウェハを被せた前記ヒータプレー
    トの前記表面の一部である請求項7記載の方法。
  9. 【請求項9】 前記カバーが、前記半導体ウェハの形と
    実質的に同様の形をしたウェハである請求項8記載の方
    法。
  10. 【請求項10】 前記表面と接触させた前記カバーの少
    なくとも一部が金属層で被覆される請求項1記載の方
    法。
  11. 【請求項11】 前記表面上に配置されたウェハの上に
    タングステン及びケイ化タングステンからなる群より選
    ばれた材料を付着し、もって、前記付着物を形成するス
    テップを更に含む請求項6記載の方法。
  12. 【請求項12】 ステップ(b)における前記付着物
    が、(i)前記付着物と反応することができるガスを前
    記空間に流し込むステップ、及び(ii) 前記ガスを流し
    かつ前記付着物と反応させつつ前記空間に前記プラズマ
    を発生させるステップ、を含むプラズマエッチングプロ
    セスによって除去される請求項1記載の方法。
  13. 【請求項13】 前記ガスが、ハロゲンガス源である請
    求項12記載の方法。
  14. 【請求項14】 前記ガスが、NF3 、SF6 、CF4
    及びC2 6 からなる群より選ばれる請求項13記載の
    方法。
  15. 【請求項15】 ステップ(b)が約10〜約600秒
    の範囲内の時間で行われ、前記フッ素ガス源が前記空間
    を流れるにつれて前記プラズマの電力が約50〜約20
    00ワットの範囲内で変動する請求項12記載の方法。
  16. 【請求項16】 前記空間を加熱し、前記表面上に前記
    材料を載置する前に熱衝撃損傷の危険が減じるように前
    記材料の温度を上昇させるのに十分な時間、前記表面上
    の前記空間内で前記材料を保持する請求項1記載の方
    法。
  17. 【請求項17】 半導体ウェハの処理後ヒータプレート
    を含む真空付着チャンバからタングステン又はケイ化タ
    ングステンを除去する方法であって、(a)プラズマに
    不活性なセラミック材料を含む被覆ウェハを前記ヒータ
    プレートの加熱面の少なくとも一部に被せるステップ、
    (b)前記付着物と反応することができるフッ素ガス源
    を前記真空付着チャンバに流し込むステップ、及び
    (c)前記フッ素ガス源を流しかつ前記付着物と反応さ
    せつつ前記真空付着チャンバ内に前記プラズマを発生さ
    せる(igniting)ステップ、を含む方法。
  18. 【請求項18】 半導体ウェハの処理後にヒータプレー
    トを含む加熱真空付着チャンバからタングステン又はケ
    イ化タングステンを除去する方法であって、(a)酸化
    アルミニウム及び窒化アルミニウムからなる群より選ば
    れたセラミック材料を含む被覆ウェハを前記真空付着チ
    ャンバに導入するステップ、(b)熱衝撃損傷の危険が
    減じるように前記被覆ウェハの温度を上昇させるのに十
    分な時間、前記ヒータプレートの加熱表面の上の前記真
    空付着チャンバ内で前記被覆ウェハを保持するステッ
    プ、(c)前記被覆ウェハを前記ヒータプレートの伝熱
    面の少なくとも一部に被せるステップ、(d)NF3
    前記真空付着チャンバに流し込むステップ、(e)前記
    NF3 を流しかつ前記付着物と反応させつつ前記真空付
    着チャンバ内にプラズマを発生させるステップ、を含む
    方法。
  19. 【請求項19】 ヒータプレートを含む真空処理チャン
    バ内で半導体ウェハを処理する方法であって、 (a)前記真空処理チャンバ内で半導体材料を処理する
    ステップ、 (b)前記真空処理チャンバから前記半導体ウェハを取
    り出すステップ、及び (c)前記真空処理チャンバから前記処理中に形成され
    た付着物を、(i)プラズマに不活性な材料を含む被覆
    ウェハを前記ヒータプレートの加熱面の少なくとも一部
    に被せる工程、(ii) 前記付着物と反応することができ
    るガスを前記真空処理チャンバに流し込む工程、及び
    (iii)前記ガスを流しかつ前記付着物と反応させつつ前
    記真空処理チャンバ内に前記プラズマを発生させる工
    程、を含むプロセスによって除去するステップ、を含む
    方法。
  20. 【請求項20】 ステップ(a)において、前記半導体
    材料がエッチングによって処理される請求項19記載の
    方法。
  21. 【請求項21】 ステップ(a)において、前記半導体
    材料が化学気相成長によって処理される請求項19記載
    の方法。
  22. 【請求項22】 ステップ(c)が行われる前に、ステ
    ップ(a)及び(b)が約25〜約50回連続的に反復
    される請求項19記載の方法。
  23. 【請求項23】 前記真空処理チャンバをシーズニング
    (seasoning) するステップ(d)を更に含む請求項19
    記載の方法。
  24. 【請求項24】 真空処理チャンバから付着物を除去す
    る方法において使用する場合、前記付着物を除去するた
    めに用いられるプラズマに不活性な材料を含む被覆ウェ
    ハ。
  25. 【請求項25】 酸化アルミニウム及び窒化アルミニウ
    ムからなる群より選ばれた材料を含む請求項24記載の
    被覆ウェハ。
  26. 【請求項26】 前記被覆ウェハが、前記半導体ウェハ
    の形と実質的に同様の形を有する請求項24記載の被覆
    ウェハ。
  27. 【請求項27】 その少なくとも片面が金属層で被覆さ
    れる請求項24記載の被覆ウェハ。
  28. 【請求項28】 (a)ヒータプレートを含む真空処理
    チャンバ、及び(b)プラズマに不活性な材料を含む被
    覆ウェハ、を含む半導体ウェハを処理するための装置。
JP7171097A 1994-07-06 1995-07-06 プラズマ不活性カバー及びそれを使用するプラズマ洗浄方法及び装置 Pending JPH0881790A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/271134 1994-07-06
US08/271,134 US5705080A (en) 1994-07-06 1994-07-06 Plasma-inert cover and plasma cleaning process

Publications (1)

Publication Number Publication Date
JPH0881790A true JPH0881790A (ja) 1996-03-26

Family

ID=23034335

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7171097A Pending JPH0881790A (ja) 1994-07-06 1995-07-06 プラズマ不活性カバー及びそれを使用するプラズマ洗浄方法及び装置

Country Status (5)

Country Link
US (2) US5705080A (ja)
EP (2) EP1132495A1 (ja)
JP (1) JPH0881790A (ja)
KR (1) KR100270288B1 (ja)
DE (1) DE69523218T2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008526026A (ja) * 2004-12-22 2008-07-17 ラム リサーチ コーポレーション プラズマ処理システムにおける副生成物堆積減少方法並びに構造
JP2009132991A (ja) * 2007-09-05 2009-06-18 Applied Materials Inc 窒化アルミニウム又は酸化ベリリウムのセラミックカバーウェハ
JP2010153881A (ja) * 2002-03-21 2010-07-08 Lam Res Corp 半導体処理装置用の低汚染構成部品及びその製造方法
JP2015156509A (ja) * 2012-04-30 2015-08-27 セメス株式会社SEMES CO., Ltd 基板洗浄装置及び基板洗浄方法

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5705080A (en) * 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process
SG70035A1 (en) * 1996-11-13 2000-01-25 Applied Materials Inc Systems and methods for high temperature processing of semiconductor wafers
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
JP3423186B2 (ja) * 1997-04-09 2003-07-07 東京エレクトロン株式会社 処理方法
US6106630A (en) * 1997-08-07 2000-08-22 Applied Materials, Inc. Ceramic-coated heating assembly for high temperature processing chamber
US5966613A (en) * 1997-09-08 1999-10-12 Lsi Corporation Consistent alignment mark profiles on semiconductor wafers using metal organic chemical vapor deposition titanium nitride protective
US5926720A (en) * 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US5981352A (en) * 1997-09-08 1999-11-09 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using fine grain tungsten protective layer
US6328041B1 (en) * 1998-09-18 2001-12-11 International Business Machines Corporation Universal cleaning wafer for a plasma chamber
US6432256B1 (en) * 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6261918B1 (en) 1999-10-04 2001-07-17 Conexant Systems, Inc. Method for creating and preserving alignment marks for aligning mask layers in integrated circuit manufacture
US6790374B1 (en) 1999-11-18 2004-09-14 Chartered Semiconductor Manufacturing Ltd. Plasma etch method for forming plasma etched silicon layer
US6410172B1 (en) 1999-11-23 2002-06-25 Advanced Ceramics Corporation Articles coated with aluminum nitride by chemical vapor deposition
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US7960670B2 (en) 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
US20040151656A1 (en) * 2001-11-26 2004-08-05 Siegele Stephen H. Modular molecular halogen gas generation system
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US20040142572A1 (en) * 2003-01-16 2004-07-22 Deveau Jason S. T. Apparatus and method for selectively inducing hydrophobicity in a single barrel of a multibarrel ion selective microelectrode
US7419702B2 (en) * 2004-03-31 2008-09-02 Tokyo Electron Limited Method for processing a substrate
CN1324689C (zh) * 2004-10-26 2007-07-04 中芯国际集成电路制造(上海)有限公司 氧化铝原子淀积层的预处理方法
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US20080214007A1 (en) * 2007-03-02 2008-09-04 Texas Instruments Incorporated Method for removing diamond like carbon residue from a deposition/etch chamber using a plasma clean
WO2009057838A1 (en) * 2007-11-01 2009-05-07 Eugene Technology Co., Ltd Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
KR101239710B1 (ko) 2010-08-09 2013-03-06 (주)지니아텍 능동형 유기 발광다이오드의 글라스 세정장치 및 그 방법
US8691690B2 (en) 2010-09-13 2014-04-08 International Business Machines Corporation Contact formation method incorporating preventative etch step reducing interlayer dielectric material flake defects
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
CN103757707B (zh) * 2014-01-21 2016-04-06 广东富源科技股份有限公司 一种蓝宝石材质手机屏幕盖板的加工工艺
CN104064458A (zh) * 2014-07-08 2014-09-24 上海先进半导体制造股份有限公司 Pecvd薄膜淀积设备及其热盘
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
GB201815258D0 (en) * 2018-09-19 2018-10-31 Spts Technologies Ltd A support
CN111725114B (zh) * 2020-06-30 2023-07-14 北京北方华创微电子装备有限公司 加热灯的位置校正装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4397724A (en) * 1981-08-24 1983-08-09 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4473455A (en) * 1981-12-21 1984-09-25 At&T Bell Laboratories Wafer holding apparatus and method
CA1220929A (en) * 1982-09-13 1987-04-28 S. Richard Turner Sodium styrene sulfonate-co-sodium-n-(4-sulfophenyl)- maleimide - an improved viscosity control additive
JPS60169139A (ja) * 1984-02-13 1985-09-02 Canon Inc 気相法装置
FR2616030A1 (fr) * 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
US5223112A (en) * 1991-04-30 1993-06-29 Applied Materials, Inc. Removable shutter apparatus for a semiconductor process chamber
JPH0555184A (ja) * 1991-08-27 1993-03-05 Fujitsu Ltd クリーニング方法
US5240555A (en) * 1992-04-16 1993-08-31 Eastman Kodak Company Method and apparatus for cleaning semiconductor etching machines
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JPH0711446A (ja) * 1993-05-27 1995-01-13 Applied Materials Inc 気相成長用サセプタ装置
US5549756A (en) * 1994-02-02 1996-08-27 Applied Materials, Inc. Optical pyrometer for a thin film deposition system
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5405491A (en) * 1994-03-04 1995-04-11 Motorola Inc. Plasma etching process
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5705080A (en) * 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010153881A (ja) * 2002-03-21 2010-07-08 Lam Res Corp 半導体処理装置用の低汚染構成部品及びその製造方法
US8935990B2 (en) 2002-03-21 2015-01-20 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP2008526026A (ja) * 2004-12-22 2008-07-17 ラム リサーチ コーポレーション プラズマ処理システムにおける副生成物堆積減少方法並びに構造
JP2009132991A (ja) * 2007-09-05 2009-06-18 Applied Materials Inc 窒化アルミニウム又は酸化ベリリウムのセラミックカバーウェハ
JP2015156509A (ja) * 2012-04-30 2015-08-27 セメス株式会社SEMES CO., Ltd 基板洗浄装置及び基板洗浄方法

Also Published As

Publication number Publication date
EP1132495A1 (en) 2001-09-12
KR960005773A (ko) 1996-02-23
EP0691420B1 (en) 2001-10-17
US5705080A (en) 1998-01-06
US5810936A (en) 1998-09-22
EP0691420A1 (en) 1996-01-10
DE69523218D1 (de) 2001-11-22
DE69523218T2 (de) 2002-06-27
KR100270288B1 (ko) 2000-12-01

Similar Documents

Publication Publication Date Title
JPH0881790A (ja) プラズマ不活性カバー及びそれを使用するプラズマ洗浄方法及び装置
TWI413179B (zh) 用於溝槽與介層洞輪廓修飾之方法
US6014979A (en) Localizing cleaning plasma for semiconductor processing
TWI391034B (zh) 用於感應耦合室的減少污染襯墊
KR20210005961A (ko) 금속 오염을 제어하기 위한 챔버의 인-시튜 cvd 및 ald 코팅
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
US20100108262A1 (en) Apparatus for in-situ substrate processing
KR20180130596A (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
KR20010050283A (ko) 유전상수 k가 낮은 유전체의 손상을 최소화하는, 금속플러그를 위한 예비세정 방법
KR20050101339A (ko) 수소-함유 라디칼을 이용한 자연 산화물 세정
JP2002529912A (ja) 化学気相堆積膜のその場での堆積後表面パッシベーション方法
KR100264941B1 (ko) 처리 챔버의 세정 동안 서셉터를 보호하기 위한 세라믹 웨이퍼 사용 방법 및 그 장치
KR0181728B1 (ko) 화학 증착장치의 서셉터에서 적층물을 제거하기 위한 개선된 세정공정
JPH05243167A (ja) 半導体装置の製造方法
US6130169A (en) Efficient in-situ resist strip process for heavy polymer metal etch
JP3326538B2 (ja) コールドウォール形成膜処理装置
EP1154036A1 (en) Gas reactions to eliminate contaminates in a CVD chamber
JPS621565B2 (ja)
JP2650178B2 (ja) ドライエッチング方法及び装置
JPH1041251A (ja) Cvd装置およびcvd方法
JPH0639709B2 (ja) プラズマcvd装置
JPH08111406A (ja) 高密度集積回路の基板表面の再エッチング方法
JP3820212B2 (ja) Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
JP2004228181A (ja) プラズマ処理装置およびプラズマ処理方法
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040513

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040524

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20041019