JPH06151417A - 半導体集積回路の製造方法 - Google Patents

半導体集積回路の製造方法

Info

Publication number
JPH06151417A
JPH06151417A JP4206154A JP20615492A JPH06151417A JP H06151417 A JPH06151417 A JP H06151417A JP 4206154 A JP4206154 A JP 4206154A JP 20615492 A JP20615492 A JP 20615492A JP H06151417 A JPH06151417 A JP H06151417A
Authority
JP
Japan
Prior art keywords
deposition
precursor gas
oxygen
dielectric material
teos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4206154A
Other languages
English (en)
Other versions
JP2591566B2 (ja
Inventor
Chen-Hau Douglas Yu
ダグラス ユ チェンーフア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AT&T Corp
Original Assignee
American Telephone and Telegraph Co Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by American Telephone and Telegraph Co Inc filed Critical American Telephone and Telegraph Co Inc
Publication of JPH06151417A publication Critical patent/JPH06151417A/ja
Application granted granted Critical
Publication of JP2591566B2 publication Critical patent/JP2591566B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/118Oxide films

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【目的】 均一で高品質の極めて薄い誘電体層を集積回
路に形成する方法を提供する。 【構成】 誘電体材料(11)の上に誘電体材料(1
9)をプラズマ強化気相成長方法で堆積する。堆積は、
個別の流速を有するプリカーサガスと酸素によって行わ
れる。プリカーサガスと酸素の流速は、その堆積速度が
標準のプラズマ強化気相成長方法の堆積速度よりも遅く
なるように制御される。望ましくは、酸素の流速のプリ
カーサガスの流速に対する比は、約2.4以上とされ
る。一般的に、堆積は反応器内で行われ、反応器内の圧
力は、8torr±10%、6torr±10%、及び
6〜8torrの範囲から選択される。また、プラズマ
の全パワーは、350W±10%、100〜200W、
及び200〜300Wの範囲から選択される。代表的な
プリカーサガスとしては、TEOSが使用される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体集積回路の製造
方法に関し、特に、そのような回路に誘電体層を形成す
る方法に関する。
【0002】
【従来の技術】半導体集積回路を形成する際には、回路
の種々の部分を相互接続する導体の間に誘電体層を形成
している。この導電性相互接続は「ランナ」と称され、
金属から、例えば、アルミやタングステンなどから形成
され、あるいは、塗布されたポリシリコンから形成さ
れ、ケイ化物層によって被覆される。ある種の応用例に
おいては、ランナはケイ化物から形成される。
【0003】ある種のSRAMでは、第2レベルと第3
レベルの導体の間に薄い誘電体層が形成される。このよ
うなレベル間に誘電体層を形成する方法としては、TE
OSなどの適切なプリカーサガスから二酸化シリコンの
低圧気相成長(LPCVD)を行う方法が用いられてい
る。しかし、比較的高い(約720℃)堆積温度のLP
CVD TEOSでは、ケイ素化されたランナの品質を
低下させる。
【0004】プラズマ強化TEOSプロセス(PETE
OS)では、低い堆積温度(約390℃)を用いるが、
この方法はあまりにも高速であるため(材料の堆積が速
すぎる)、均一な品質の材料層は形成できない。一般的
標準のPETEOSプロセスでは、比較的厚い(100
00オングストローム、以下Aと称する)誘電体のフィ
ルムを約125A/秒で形成する。しかし、この堆積速
度は、薄い(約1000A)、あるいは、極めて薄い
(約100A)誘電体を形成する場合には速すぎる。な
ぜなら、堆積プロセスはスタート直後の数秒の間は完全
には安定しないからである。
【0005】
【発明が解決しようとする課題】本発明は、以上のよう
な従来技術の問題点を解決するために提案されたもので
あり、その目的は、均一で高品質の極めて薄い誘電体層
を集積回路に形成する方法を提供することである。
【0006】
【課題を解決するための手段】本発明の方法は、標準の
CVDよりも遅い堆積速度を用いて、反応容器内で誘電
体層を形成する方法である。本発明の方法においては、
プリカーサガス流速の酸素流速に対する比を減少させる
ことにより、前記のような、均一で高品質の極めて薄い
誘電体層を形成することができる。
【0007】
【実施例】図1において、半導体集積回路は導電性ラン
ナ13、15、17と誘電体材料11、19とを有す
る。誘電体材料11は通常のPECVD法により形成さ
れる。誘電体材料11の下には、例えば、シリコン基
板、他の誘電体層が配置されているが、それらは省略さ
れている。導電性ランナ13と15は誘電体材料11の
上に形成されている。導電性ランナ13と15は、金属
(例えば、アルミ、タングステン)、ポリシリコン、ま
たは、アモルファスシリコンから形成される。あるい
は、導電性ランナ13と15は、ケイ化物、例えば、ケ
イ化チタンで形成される。導電性ランナ13と15は、
部分的にケイ化物とすることもできる。すなわち、導電
性ランナ13と15の上部のみケイ化物を使用し、下部
にポリシリコンあるいはアモルファスシリコンを使用し
てもよい。導電性ランナ13と15は、トランジスタの
ソース、ゲート、ドレインなどの活性領域に接続するこ
ともできる。図示されていないが、ウィンドあるいはバ
イアス(貫通導体)を介して接続することもできる。導
電性ランナ13と15は、他の低レベルランナに接続し
てもよい。
【0008】誘電体材料19が、導電性ランナ13と1
5をカバーあるいは包囲するように形成される。この誘
電体材料19の上に導電性ランナ17が配置される。導
電性ランナ17は、バイアスまたはウィンドを介して、
テープランナ(例えば、導電性ランナ13あるいは1
5)に接続してもよい。一般的に、この誘電体材料19
は比較的薄く(例えば、約800A)形成されることが
望ましい。例えば、導電性ランナ17と導電性ランナ1
3と15が同一電圧にある場合(例えば、SRAMの場
合)には、比較的薄い誘電体層が用いられる。
【0009】前記したように、誘電体材料19をLPC
VD TEOSプロセスで形成するには、約720℃の
温度が必要である。720℃という高温は導電性ランナ
13と15のケイ化物の品質を劣化させてしまう。
【0010】別法として、誘電体材料19を従来技術の
PETEOSプロセスで形成できるが、このPETEO
Sプロセスは比較的低い堆積温度(約390℃)が必要
である。しかし、一般的なPETEOSプロセスは非常
に速く(約125A/秒で堆積する)。従って、わずか
6秒で誘電体材料19が800Aの厚さに堆積する。さ
らに、誘電体材料19がPETEOSプロセスで形成さ
れると、得られた層は均一の品質のものではなくなる。
【0011】均一な品質の誘電体層が得られない理由と
して、従来のPETEOSプロセスにより誘電体材料1
9を形成するのに、利用されるプラズマは開始後3秒以
上経たないと、安定化しないためであると考えられてい
る。開始直後の不安定なプラズマの間に形成された誘電
体層は、品質の良くない特性しか得られない。しかし、
誘電体材料19が比較的薄い(すなわち、800A)場
合には、この誘電体層は高品質となる。最初の3秒間に
形成された誘電体層は、二酸化シリコンに加えて、カー
ボン及びSi−OHなどの不純物を含有するものと推測
される。
【0012】TEOSのようなプリカーサガスを用い
て、プラズマ強化CVDにより均一で高品質の誘電体層
が形成されることを本出願人は発見した。本発明の方法
による堆積プロセスの速度は比較的遅く、すなわち、6
0A/秒乃至5A/秒であり、従来のPETEOS堆積
速度の125A/秒よりも遅い。本発明のプロセスはA
MI5000(アプライドマテリアル社)のような堆積
装置によく適合する。さらに、本発明は、Novell
us社の装置などの各種の堆積装置にも適合する。
【0013】TEOSのようなプリカーサガスを用い
て、誘電体層を形成するプロセスについての詳細を表1
に示す。この表には、A、B、Cの三つの実施例があ
り、比較のために、従来のPETEOSのプロセスも示
されている。
【0014】
【表1】
【0015】TEOSのようなプリカーサガスを含む堆
積プロセスには、二つの反応があると思われる。すなわ
ち、(1)プラズマ内におけるガス相反応と、(2)基
板上での表面反応である。このうち、ガス相反応におい
て、TEOSと酸素はプラズマの中で結合する。酸素基
はTEOSの分解を助け、カーボンとヘリウムキャリア
ガスの焼失を助ける。表面反応は、二酸化シリコン種の
最終的な到着場所となり、そこで、それらは結合し、ボ
ンドを形成する。さらに、表面反応は、好ましくない種
(例えば、カーボン、シリコンカーバイド、Si−O
H)の除去を促す。
【0016】本発明のプロセス(特に、実施例AとC)
は、全プラズマパワーのTEOS流速に対する比を増加
させる。この増加したパワーはガス相反応をより効率的
に、そして、好ましくない種の焼失(burning
off)を提供する。さらに、本発明のプロセスは、酸
素流速対TEOS流速の比を増加させる。より低速の堆
積が望ましい場合にはTEOSの流速を減少させる。し
かし、TEOSの流速を減少させることは、TEOS内
に存在する好ましくないカーボン類似物が反応状態の中
により長い間存在することになる。従って、この好まし
くない材料をより効率よく焼失させて、堆積される誘電
体の品質を向上させる。
【0017】上記の三つの実施例において、全ガス流
(TEOSプラス酸素)はほぼ一定(ヘリウムのような
キャリアガスは考えないものとして)である。より低い
堆積速度を得るために、TEOSと酸素の流速を減少さ
せることも考えられるが、この場合には、得られた誘電
体層が不均一になる。別の方法として、全ガス流を80
0sccmに維持するために、TEOSと酸素の流速を
減少させ、アルゴンのような不活性ガスを導入すること
も考えられるが、この場合には、誘電体層の中にアルゴ
ンが取り込まれ、誘電体層の品質が劣化する。
【0018】所望の誘電体層を形成するプロセスにおい
て、酸素の流速のTEOSの流速に対する比率を増加さ
せることは、標準の従来プロセスのパラメータに対する
最も大きな変化の一つである。すなわち、標準のプロセ
スにおいては、酸素の流速のTEOSの流速に対する比
率は約425/380=1.1で、本発明のプロセスに
おける酸素の流速のTEOSの流速に対する比率は、
(600−10%)/(200+10%)=540/2
20であり、約2.4以上である。さらに、本発明の方
法では、基板の温度は若干高くなっている。約400〜
410℃への温度上昇は、LPCVD反応においてはそ
れほど重要ではない。しかし、この温度上昇は、PET
EOS反応においては重要である。この温度上昇によ
り、Si−Oが二酸化シリコンに変化しやすくなる。さ
らに、温度上昇はSi−OHとカーボン類似物を除去す
るような脱着反応を良好にする。本発明の方法において
は、ウェハとシャワーヘッドの間の間隔を増大させる。
このシャワーヘッドは、TEOS、酸素、キャリアガス
を供給する多孔装置である。ウェハとシャワーヘッドの
間隔が増大することによって、基板表面に到着する種が
TEOSの分解による好ましくない副産物を含む可能性
を低減できる。
【0019】表1は、少なくとも実施例AとBの厚さの
均一性は、従来の方法とほぼ同じであることを示す。こ
のような均一性はプロセスの生産性を上昇させる。実施
例Cでは、幾分均一性がないが、これは不均一の厚さの
ネイティブ酸化物の存在に起因し、他の実施例よりも得
られた酸化物がより重要な部分を占めている。本発明
は、導電性ランナの間の誘電体層の形成のみでなく、ト
ランジスタの活性領域と第1レベルランナとの間の誘電
体層の形成にも適用できる。さらに、本発明は、他のプ
リカーサガス、例えば、TMCTSと称され、「TOM
CATS」という登録商標で販売されているC416
44などの他のプリカーサガスから誘電体層を形成す
る場合にも適用できる。
【0020】
【発明の効果】以上述べたように、本発明の方法によれ
ば、均一で高品質の極めて薄い誘電体層を半導体集積回
路の上に形成することができる。
【図面の簡単な説明】
【図1】本発明のプロセスにより形成された半導体集積
回路の断面図を表す。
【符号の説明】
11 誘電体材料 13 導電性ランナ 15 導電性ランナ 17 導電性ランナ 19 誘電体材料

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 誘電体材料(11)の上に誘電体材料
    (19)をプラズマ強化気相成長方法で堆積する半導体
    集積回路の製造方法において、 前記堆積は、個別の流速を有するプリカーサガスと酸素
    によって行われ、 前記プリカーサガスと酸素の流速は、その堆積速度が標
    準のプラズマ強化気相成長方法の堆積速度よりも遅くな
    るように制御されることを特徴とする半導体集積回路の
    製造方法。
  2. 【請求項2】 前記酸素の流速の前記プリカーサガスの
    流速に対する比は、約2.4以上であることを特徴とす
    る請求項1の方法。
  3. 【請求項3】 前記堆積は反応器内で行われ、その反応
    器内の圧力は、8torr±10%、6torr±10
    %、及び6〜8torrの範囲のグループから選択され
    ることを特徴とする請求項1の方法。
  4. 【請求項4】 前記プラズマの全パワーは、350W±
    10%、100〜200W、及び200〜300Wの範
    囲のグループから選択されることを特徴とする請求項1
    の方法。
  5. 【請求項5】 前記プリカーサガスはTEOSであるこ
    とを特徴とする請求項1の方法。
  6. 【請求項6】 前記TEOSの流速は、200sccm
    ±10%、100sccm±10%、及び50sccm
    ±10%のグループから選択されることを特徴とする請
    求項5の方法。
  7. 【請求項7】 前記酸素の流速は、600sccm±1
    0%、700sccm±10%、及び750sccm±
    10%のグループから選択されることを特徴とする請求
    項5の方法。
  8. 【請求項8】 前記誘電体材料(11)はサセプターの
    上に配置され、前記サセプターの温度は390℃以上4
    10℃以下であることを特徴とする請求項1の方法。
  9. 【請求項9】 前記誘電体材料(11)はサセプターの
    上に配置され、このサセプターから所定距離離れた位置
    に、このサセプターに対向してシャワーヘッドが配置さ
    れ、 前記シャワーヘッドと前記サセプターとの前記所定距離
    は、200〜300milと300mil±10%から
    なるグループから選択されることを特徴とする請求項1
    の方法。
  10. 【請求項10】 前記プリカーサガスはTMCTSであ
    ることを特徴とする請求項1の方法。
JP4206154A 1991-07-10 1992-07-10 半導体集積回路の製造方法 Expired - Lifetime JP2591566B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/727,698 US5246887A (en) 1991-07-10 1991-07-10 Dielectric deposition
US727698 1991-07-10

Publications (2)

Publication Number Publication Date
JPH06151417A true JPH06151417A (ja) 1994-05-31
JP2591566B2 JP2591566B2 (ja) 1997-03-19

Family

ID=24923651

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4206154A Expired - Lifetime JP2591566B2 (ja) 1991-07-10 1992-07-10 半導体集積回路の製造方法

Country Status (3)

Country Link
US (1) US5246887A (ja)
EP (1) EP0522799A2 (ja)
JP (1) JP2591566B2 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5389581A (en) * 1991-06-07 1995-02-14 Intel Corporation High density TEOS-based film for intermetal dielectrics
US5407866A (en) * 1994-02-02 1995-04-18 Motorola, Inc. Method for forming a dielectric layer on a high temperature metal layer
KR0134108B1 (ko) * 1994-06-30 1998-04-20 김주용 반도체 소자의 제조방법
US5559052A (en) * 1994-12-29 1996-09-24 Lucent Technologies Inc. Integrated circuit fabrication with interlevel dielectric
KR0140657B1 (ko) * 1994-12-31 1998-06-01 김주용 반도체 소자의 제조방법
US5736423A (en) * 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
CN1049764C (zh) * 1996-02-14 2000-02-23 台湾茂矽电子股份有限公司 集成电路中介电层的制造方法
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
KR100605770B1 (ko) * 1998-02-11 2006-07-28 어플라이드 머티어리얼스, 인코포레이티드 저 유전상수 필름을 증착하는 플라즈마 방법
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
KR20030002993A (ko) * 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US20070264842A1 (en) * 2006-05-12 2007-11-15 Samsung Electronics Co., Ltd. Insulation film deposition method for a semiconductor device
CN115341194B (zh) * 2022-07-05 2024-02-23 华灿光电(苏州)有限公司 提高微型发光二极管发光一致性的生长方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02285636A (ja) * 1989-04-26 1990-11-22 Kojundo Chem Lab Co Ltd 半導体装置の酸化膜の製造法
JPH03155625A (ja) * 1989-11-14 1991-07-03 Seiko Epson Corp プラズマcvd膜の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3934060A (en) * 1973-12-19 1976-01-20 Motorola, Inc. Method for forming a deposited silicon dioxide layer on a semiconductor wafer
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02285636A (ja) * 1989-04-26 1990-11-22 Kojundo Chem Lab Co Ltd 半導体装置の酸化膜の製造法
JPH03155625A (ja) * 1989-11-14 1991-07-03 Seiko Epson Corp プラズマcvd膜の製造方法

Also Published As

Publication number Publication date
EP0522799A2 (en) 1993-01-13
JP2591566B2 (ja) 1997-03-19
US5246887A (en) 1993-09-21
EP0522799A3 (ja) 1994-02-16

Similar Documents

Publication Publication Date Title
JP2591566B2 (ja) 半導体集積回路の製造方法
US6570256B2 (en) Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
JP4279176B2 (ja) シリコン窒化膜の形成方法
US7211506B2 (en) Methods of forming cobalt layers for semiconductor devices
JP4102072B2 (ja) 半導体装置
JPH05226269A (ja) 半導体ウエーハにチタンケイ化物を蒸着させるための化学蒸着技術
JP2002329847A (ja) high−k絶縁膜を備えた単一トランジスタ強誘電体トランジスタ構造、およびその製造方法
JP2004523885A (ja) 堆積前の表面調整方法
KR20010033553A (ko) 비스무스-기질 강유전성 박막의 선택적인 증착 방법
WO2002079537A2 (en) W-cvd with fluorine-free tungsten nucleation
US6866890B2 (en) Method of forming a dielectric film
US7411254B2 (en) Semiconductor substrate
JPH10209077A (ja) 半導体装置の製造方法
JP2578192B2 (ja) 半導体装置の製造方法
JP2000058832A (ja) オキシ窒化ジルコニウム及び/又はハフニウム・ゲ―ト誘電体
KR100455737B1 (ko) 반도체소자의게이트산화막형성방법
JPH06283453A (ja) 半導体装置製造方法
JP2002184978A (ja) 半導体装置及びその製造方法
US6566189B2 (en) Method for manufacturing gate in semiconductor device
JP2005079563A (ja) 電子デバイスの製造方法
KR100493707B1 (ko) 루테늄 박막 형성 방법
US20070221968A1 (en) Transistor of semiconductor device and method for manufacturing the same
JPS6376875A (ja) 気相成長法
KR100431306B1 (ko) 알루미늄산화막과 이트륨질산화막의 이중막으로 이루어진게이트산화막을 이용한 반도체 소자의 게이트 형성방법
KR20220076970A (ko) 박막 형성방법 및 그를 포함하는 반도체 소자 제조방법

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071219

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081219

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091219

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091219

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101219

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111219

Year of fee payment: 15

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111219

Year of fee payment: 15

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121219

Year of fee payment: 16

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121219

Year of fee payment: 16