JPH0548003B2 - - Google Patents

Info

Publication number
JPH0548003B2
JPH0548003B2 JP58123724A JP12372483A JPH0548003B2 JP H0548003 B2 JPH0548003 B2 JP H0548003B2 JP 58123724 A JP58123724 A JP 58123724A JP 12372483 A JP12372483 A JP 12372483A JP H0548003 B2 JPH0548003 B2 JP H0548003B2
Authority
JP
Japan
Prior art keywords
phase
amplitude
output
bit
rom
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP58123724A
Other languages
English (en)
Other versions
JPS5930308A (ja
Inventor
Daburyuu Kobaritsuku Arubaato
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hewlett Packard Japan Inc
Original Assignee
Yokogawa Hewlett Packard Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yokogawa Hewlett Packard Ltd filed Critical Yokogawa Hewlett Packard Ltd
Publication of JPS5930308A publication Critical patent/JPS5930308A/ja
Publication of JPH0548003B2 publication Critical patent/JPH0548003B2/ja
Granted legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/52Multiplying; Dividing
    • G06F7/523Multiplying only
    • G06F7/533Reduction of the number of iteration steps or stages, e.g. using the Booth algorithm, log-sum, odd-even
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/03Digital function generators working, at least partly, by table look-up
    • G06F1/035Reduction of table size
    • G06F1/0356Reduction of table size by using two or more smaller tables, e.g. addressed by parts of the argument
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03CMODULATION
    • H03C3/00Angle modulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2101/00Indexing scheme relating to the type of digital function generated
    • G06F2101/04Trigonometric functions

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明はデジタル値で表わされた位相量を入力
して信号波形を得るための位相・振幅変換器に関
する。
〔従来技術及びその問題点〕
信号合成技術において直接合成、間接合成、お
よび数値合成の3種の技術が用いられている。
直接合成技術では所望信号は直接、発振器から
作られる。しかしながら、この方法では周波数に
限界がある。広い周波数レンジを合成するにはこ
の技術では極めて複雑、かつ高価なものになつて
しまう。ゆえに、この技術は高周波数レンジにも
広い周波数レンジにもあまり使われない。
間接合成では所望周波数を合成するためプログ
ラム可能な分周器を持つ位相ロツクループをよく
使用する。この技術は現在、民生機器および特定
の分野向けの応用製品の両方においてはるかに広
く用いられている。この方式が普及したのは集積
回路という形の安価でプログラム可能な分周器が
出現したからである。その結果、特に直接合成と
比較すればはるかに簡単になつた。
しかしながら、所望合成信号が変調されたとき
従来技術においては直接合成法であつても間接合
成技術であつても搬送信号の位相を連続させて切
り替えることはできない。さらに、両技術は広範
なアナログ素子を必要とし、それらは経年変化や
温度の影響等による機能不良やドリフトをおこし
やすい。
デジタル技術を使つた数値信号合成法は上述の
問題を避けるのに有効である。基本的には数値信
号合成法はデジタル論理回路を用いて所望信号を
表わす一連の点を発生させるのである。次に、こ
の一連の数値データはデジタル・アナログ変換器
(DAC)により実際の所望信号に変換される。従
来技術での信号合成システムの例がアメリカ合衆
国特許番号第3928813号公報に述べられている。
第1図は従来よく知られている典型的なデジタル
数値信号合成器のブロツク図を示す。ここで10
は加算器、20はラツチ、30は正弦波参照テー
ブル、40はDAC、50はローパス・フイルタ
を示す。ここにおいて合成される信号の周波数F
は下式で表わされる。
F=Δφ/(2π・ΔT) 上式において、ΔTはデジタルクロツクの周
期、Δφはデジタルクロツク1回当りの位相増分
である。
数値信号合成技術を用いた従来の信号合成器は
直接合成技術および間接合成技術を用いたものほ
ど複雑にはならないが、それでもやはりこれら従
来技術の数値信号合成器は明確な欠点を持つてい
る。すなわち、それらは特質上、出力周波数を高
くできない。本発明の位相・振幅変換器を用いた
数値信号合成器はこの欠点を克服し、同時に数値
信号合成技術の他の長所を保持している。
本発明の長所をよりよく理解するため以下従来
技術の数値信号合成器について簡単に説明する。
いかなる数値信号合成器にも欠くことができな
いのは第1図中に示したように位相累算器であ
る。ここにおいて加算器10及びその出力を保持
するラツチ20が位相累算器を構成しており、そ
の機能は直線的に増加するデジタル信号を発生す
ることである。その出力値はラジアン(或は度)
で位相を表わしている。周波数Fと位相の間の関
係を定義する式を下に示す。
F=Δφ/(2π・ΔT) ここでΔφは位相増分を表わす選択値であり、
ΔTは出力周波数を決定するためのシステムクロ
ツクの周期である。位相増分Δφのフイールドを
Kビツト幅とすれば、この信号合成器で合成可能
な周波数の数は2K通りである。Kが24でクロツク
周波数Fc=1/ΔTが33.55MHzであれば周波数分
解能は(33.55×106)/(224)=2Hzとなる。第
1図に示したように1/(ΔT)Hzクロツクごと
に最後の位相出力に位相増分Δφを繰りかえし加
えることにより位相を累算していく。ゆえに、ク
ロツクサイクルNでの位相出力はN・Δφとなる。
累算器内の加算器は2Kを法とする整除装置であ
るので最終的にはオーバーフローする(もちろ
ん、累算器内の加算器のビツト幅をKより大きく
してもよいが、オーバーフローは当然発生する)。
しかしながら、オーバーフローにより有効な位相
が失われるわけではない。2Kは2πラジアンを表わ
すのでオーバーフローした位相は次のサイクルの
位相出力を表わす。これは第2図に示される。
いつたん位相が得られれば、次の段階はそれを
正弦波、すなわち時間と共に振幅が変化する波に
変換することである。振幅はまだデジタル的であ
る。ナイキストのサンプリング理論に従えば正弦
波はその上の2点により完全に記述される。もし
標本点の位相および振幅が正確でなければ高周波
信号およびスプリアス信号が生じる。理想的な位
相・振幅変換器(PAC)の各語が無限に正確な
正弦振幅値を持つたたとえば2K語の従来技術の読
出し専用メモリ装置ROMのような正弦波参照テ
ーブルである。例としては第1図のROM30が
それである。ところが実際に得られるのは理想に
満たないものである。第4A図及び第4B図は
PAC入力対出力の関係を示す。
もし、1語につきMビツトの精度でよければ
ROMの大きさはM×2Kビツトになる。たとえば、
K=24およびM=12のときはROMは、12ビツト
×16メガ語、(1メガ語=220語)の容量になる。
M=12に選べば0.024パーセントの振幅分解能が
得られる。このROMは実際的にはあまりにも大
きすぎ、いくつかのビツトを無視しなければなら
なくなる。もし位相累算器からいくつかの高位側
ビツト(Lビツト)だけを取り出してPACへ与
えるならばPACへの位相入力が不完全となる。
この不完全さによりシステム内にスプリアス信号
が生じる。しかしながら、もしL=14ならばスプ
リアス信号は−70デシベル以下となる。したがつ
て、実際的なアプローチはたとえば1語につき12
ビツトでかつ16K語(1K語=210語)の容量の
ROMを使用することである。
このROM参照テーブルの大きさは各出力サイ
クルを4分割することで、より縮小することがで
きる。こうして、0〜90度の正弦波情報だけを
ROMに貯蔵すればよい。これによりROMの大
きさは12ビツト×4K語(48Kビツト)でよくな
る。これは適当な大きさではあるが、クロツクが
33.35MHzの場合、読出しアクセス時間が30ナノ
秒までしか許されない。このアクセス時間はこの
大きさのROMにとつて短かすぎる。また33.55M
Hzのクロツク周波数は約12MHzの出力信号レンジ
を与える。
60〜100MHzのクロツクスピードに対しては、
ROM参照テーブルはシステムのデジタル部分の
機能上のボトルネツクとなる。たとえば、第1図
のシステムのクロツク周期ΔTが10ナノ秒であれ
ばROM正弦波参照テーブルは、12×4Kビツト構
成としたROMの場合には、10ナノ秒以下、すな
わち約7ナノ秒のリードアクセス時間を要する。
これは現在の技術の域を越えている。また、1×
4K ROMパツケージを用いれば12パツケージ必
要となる。
〔発明の目的〕
本発明は、上述のような位相と振幅との対応
表、すなわち参照テーブル、を記憶したROMを
用いる従来の位相・振幅変換方法及び変換器の欠
点を除去し、従来よりも少ないデータ量で振幅へ
の高精度・高速変換を行うことができるようにす
ることを目的とする。
〔発明の概要〕
この目的を達成するための本発明の原理におい
ては、入力さた位相が属する位相範囲のセグメン
トを定め、このセグメントに対応して定まる一次
関数に基づいてその振幅を発生する。具体的に
は、例えばデジタル値として入力された位相の上
位側のビツトを、この入力された特定の位相の属
するセグメントとすることができる。このセグメ
ント毎に位相の一次関数を定義しておけば、入力
された位相から当該セグメント内における振幅の
直線近似を得ることができる。上述した位相の上
位側のビツトでアドレスされるメモリ中に、この
直線近似を行うためのデータとして対応するセグ
メント内の近似直線の傾きと近似直線の振幅軸方
向の位置を記憶しておく。近似直線のY軸方向の
位置はこのセグメントの左端における近似直線の
表す振幅近似値としておく。従つて、このセグメ
ント内における振幅の近似値は (セグメント左端の振幅近似値)+(傾き)×(入力さ
れた位相の下位側のビツト) となる。
上述の原理を実現する本発明の変換器の一実施
例においては、正弦波等の波形を合成するため
ROMに振幅及び傾き、すなわちスロープ、の情
報を貯蔵しておく。ROMからのスロープ情報に
入力を掛ければスロープ出力を得る。振幅出力お
よびスロープ出力で合成すべき波形、例えば正弦
波波形、が定義される。すなわち本発明において
はPACに与えられる位相がとる範囲をいくつか
の区間(セグメント)に分割し、セグメント毎に
波形を直線近似するわけだが、当然ながらセグメ
ント数が多くなればそれだけ波形は理想に近くな
る。このようにしてメモリを少くしても波形の劣
化はわずかである。
ここで用いられる掛算器の1つの短所は演算動
作に伴う遅延である。7ビツトの数字に8ビツト
の数字を掛けるだけでもこの遅延が長くなりす
ぎ、使える語長を制限してしまう。掛算器の遅延
が効かないようにするために、割算器および加算
器を結合してパイプライン方式とし、掛算器を構
成する。その結果、連続した位相・振幅変換を可
能にする新規なPACが得られる。
正弦波の合成にあたつては、0〜90度の象限内
の正弦波関数を等分して近似する。S個のセグメ
ントを結合することにより正弦波関数の任意の制
度で近似できる。解析によればS=2A(Aは整数)
のときハードウエアは最も単純になる。第3図は
S=2の場合の正弦波関数とその近似波形を示
す。この粗い近似でも最大誤差は意外なほど小さ
く、±2.75%にすぎない。解析によればS=32に
対しては12ビツトの振幅精度が達成される。
〔実施例〕
本発明にかかる位相・振幅変換器(PAC)の
ハードウエアのブロツク図を第5図に示す。第5
図中には密にコード化されたROM110、7ビ
ツト×8ビツトの掛算器130および12ビツトの
加算器170が示されている。掛算器130は9
ビツトの出力に対して最適化されている。ROM
110は2つの出力、すなわち8ビツトのスロー
プ語および12ビツトの振幅語、を持つている。ス
ロープ語M(N)はセグメントNのスロープ(N
は1〜32までの整数)である。振幅語Y(N)は
所望の正弦波関数の各セグメントの開始位置にお
ける近似振幅である。第3図から明らかなように
振幅語Y(N)は区分的直線近似が最適になるよ
うな値をとるのであつて、各セグメントの初めの
正弦波関数の正確な値ではない。このように構成
することにより、ROM全体で(8+12)×32=
640ビツトが必要としない。
第5図に示された本発明にかかるPACの動作
は次のようである。位相(0〜90度)を表わす12
ビツトバイナリ入力が入力ポート100に入る。
この12ビツト中の上位5ビツトがROM110を
アドレスするために用いられる。この上位5ビツ
トはセグメントを直接指定する。残りの下位7ビ
ツトがXフイールド120を構成する。Xフイー
ルド120は各セグメントを128等分した各点を
表わす。かくして入力位相は都合よく分割されて
32セグメントを選択するためのセグメント語およ
び128ステツプのリニア階段語を形成する。
ROM出力ライン150からの振幅語Y(N)
および掛算器出力ライン160からのM(N)×X
の合計が出力ポート180での最終近似である。
第6A図、第6B図および第6C図はセグメント
数が5つの場合の例を示す。
第6A図及び第6B図に示すグラフにおいて、
横軸は時間を表わし、縦軸はデジタル値の値を表
わす。なお、入力ポート100からは位相を表わ
すデジタルデータの時系列が与えられるため、こ
れらの横軸を位相を表わしているとみなすことも
できる。(この意味において、これらのグラフの
横軸と第6C図のグラフの横軸(位相を表わす)
を同一視することができる点に注意されたい。)
これらのグラフの原点においては、縦軸、横軸と
も値は0である。また、時間0において入力ポー
トから与えられる位相は0度となつている。
第6A図で、その原点から右上方にまつずぐ伸
びる斜線(原点付近では実線だが途中から破線に
なつている線)は、第5図に示す入力ポート10
0から与えられる12ビツトの位相入力全体の値で
ある。また、このグラフ上で、5つの山を有する
鋸状の折れ線(斜め部分が実線で垂直に落ちてい
る部分が破線になつている線)は、やはり第5図
に示す12ビツトの位相入力のうちの下位側の7ビ
ツトの部分から成るXフイールドの値を示す。
第6B図は掛算器130の出力M(N)×Xを示
す。上述したように、Nは12ビツトの位相入力の
内の上位5ビツトである。この5ビツトのNで
ROM110中のテーブルを検索して選られる結
果のうちのスロープ語(すなわちNで表わされる
セグメントにおける振幅の近似直線の傾斜)に、
当該セグメントの左端からの変位を表わすXフイ
ールドの値を乗算した結果が第6B図中に示され
ている折れ線M(N)×Xである。このような第6
B図中の折れ線は、その計算方法から明らかなよ
うに第6A図に示すXフイールドの値の折れ線と
類似した鋸状の形状を持つが、正弦波の傾きが0
度から90度に接近していくにつれて小さくなつて
いくことを反映して、第6B図の折れ線も右側の
ものほど傾きが小さくなつていくことが判るだろ
う。第6B図中の『鋸の山』の各々は、セグメン
ト中の各振幅値がそのセグメントの左端の振幅値
からどの位変化するかを表わしている。
最後に、第6C図は掛算器出力M(N)×Xおよ
び各セグメントの初めの正弦波近似である振幅語
Y(N)の合計である。この出力は要求された12
ビツトの近似正弦波波形である。
数値解析およびコンピユータによる最適化を用
いては近似正弦波が12ビツトの出力精度を達成す
るようにROMに記憶すべき振幅語M(N)およ
びスロープ語Y(N)の各々の値を選び出すこと
ができる。しかしながら、正弦波波形の1点あた
りの計算スピードがたとえば7ナノ秒しかとれな
いとすると、第5図に示された構成を単純に実現
したのではたとえECLを用いたとしてもスピー
ドの点で実現できない。640ビツトRMOは小さ
いため7ナノ秒のアクセス時間を有する素子を入
手することは可能である。しかしながら、掛算器
および加算器にはスピード上の問題があり、目標
を達成できないのである。
これらの問題を克服する本発明の特色はパイプ
ラインアーキテクチヤである。これほ本質的には
あるひとつの動作を所望時間内に実現できるいく
つかのより小さい動作に分割する方法である。た
とえば、掛算器はパイプラインアーキテクチヤに
していくつかのセクシヨンに分離することができ
る。そして各セクシヨンは入力および出力ラツチ
によつて互いに分離される。各セクシヨンを継続
接続して所望掛算器スループツトレートと見かけ
上の入力/出力遅延の小さい掛算器が得られる。
もし、掛算器および加算器の両方をパイプライン
技術を用いて設計すればPACを所望スループツ
トレートにできる。
第7図は本発明にかかるパイプライン化された
PACの詳細な論理図を示す。そこではラツチL
は高いスループツトレートを維持しながらより大
きい動作をより小さい動作に分割している。位相
入力から振幅出力へは合計6個の遅延が存在す
る。この遅延は出力信号発生時の固定遅延にすぎ
ないので不都合なことはない。計算セクシヨンは
5個必要である。
掛算器200は積和形掛算器として設計し、パ
イプライン用のラツチLを都合のよい場所に置け
るようにしている。他のタイプの掛算器ではパイ
プライン処理が困難である。出力ライン210の
出力は第5図の出力ライン160の出力と等価で
ある。
またPACにはパイプライン加算器220も含
まれている。このパイプライン加算器220は12
ビツト加算を2つの6ビツト加算に分けている。
各6ビツト加算は12ビツト加算の全体が望みのス
ループツトレートになるように所望時間内で実行
される。パイプライン加算器220への入力は2
本の出力ライン230,210上にある。一方12
ビツト出力は出力ライン250上にある。この出
力は第5図の出力ライン180上の出力に対応し
ている。12ビツト位相はPAC入力ポート300
に入力される。そこから入力は640ビツトROM
310に接続される。このROM310は2つの
出力、すなわち振幅語Y(N)およびスロープ語
M(N)は供給する。
純粋なROM参照法に比べ第7図の長所はたく
さんある。第1にこのアーキテクチヤで所望スル
ープツトを達成できるが、ROM参照法ではでき
ない。第2に全回路を1個の集積回路上にたやす
く実現できる。これは12ビツト語長のPACを達
成するのに数個のROMから成る48Kビツト参照
テーブルが必要な従来技術による代替案にもまさ
つている。その上掛算器を用いたPACの遅延と
は違つて第7図の実施例の入力/出力遅延は許容
できる範囲内に収まる。
第8図は位相象限ロジツク400および振幅象
限ロジツク420に接続されたPAC410を示
す。ここでは詳細には説明しないが、sin(θ+
π/2)=sin(π/2−θ)等の関係を用いて動作す
る ことは言うまでもない。これらの構成要素により
4×212×12=197Kビツトのビツト密度を持つた
等しい位相・振幅変換器を提供する。第8図の全
回路は1個のモノリシツクチツプ上に集積化でき
る。このアークテクチヤは入力される位相を0〜
90度から0〜360度の範囲まで拡げる。ゆえに完
全で連続した正弦波関数が生ずる。
〔発明の効果〕
以上詳細に説明したように、本発明によれば、
デジタル値の系列で与えられる位相量から、各位
相量に対応付けられている振幅を簡単にかつ高い
精度で発生することができる。
【図面の簡単な説明】
第1図は従来技術による位相・振幅変換器を用
いたデジタル数値信号合成器を説明する図、第2
図は第1図中の位相累算器の出力の変化を示す
図、第3図はセグメント数が2の場合の本発明に
おける近似波形を示す図、第4A図及び第4B図
は位相・振幅変換器の入力対出力の関係を示す
図、第5図は本発明の一実施例の位相・振幅変換
器のブロツク図、第6A図乃至第6C図はセグメ
ント数を5とした場合の本発明にかかる位相・振
幅変換器内の各種の信号を示す図、第7図はパイ
プラインアーキテクチヤにより高速化した本発明
の別の実施例の位相・振幅変換器のブロツク図、
第8図は入力位相範囲を0〜90度から0〜360度
へ拡散した位相・振幅変換器のブロツク図であ
る。 100:入力ポート、110:読出し専用メモ
リ装置、120:Xフイールド、130:掛算
器、170:加算器、180:出力ポート、M
(N):スロープ語、Y(N):振幅語。

Claims (1)

  1. 【特許請求の範囲】 1 デジタル値で表わされた位相を入力して対応
    する振幅を出力する位相・振幅変換器において、 前記位相を表すデジタル値の第1の部分のビツ
    トに応答して振幅値及び位相傾斜値を出力するメ
    モリ手段と、 前記位相を表すデジタル値の第2の部分のビツ
    トと前記位相傾斜値とを掛算する掛算手段と、 前記振幅値と前記掛算器の出力とを加算して前
    記入力された位相に対応する振幅を表すデジタル
    値を出力する加算器 とを設けたことを特徴とする位相・振幅変換器。
JP58123724A 1982-08-13 1983-07-07 位相・振幅変換器 Granted JPS5930308A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/407,844 US4482974A (en) 1982-08-13 1982-08-13 Apparatus and method of phase-to-amplitude conversion in a sine function generator
US407844 1982-08-13

Publications (2)

Publication Number Publication Date
JPS5930308A JPS5930308A (ja) 1984-02-17
JPH0548003B2 true JPH0548003B2 (ja) 1993-07-20

Family

ID=23613760

Family Applications (1)

Application Number Title Priority Date Filing Date
JP58123724A Granted JPS5930308A (ja) 1982-08-13 1983-07-07 位相・振幅変換器

Country Status (5)

Country Link
US (1) US4482974A (ja)
EP (1) EP0102784B1 (ja)
JP (1) JPS5930308A (ja)
DE (1) DE3376892D1 (ja)
GB (1) GB8306207D0 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2512293B1 (fr) * 1981-08-28 1985-12-27 Telecommunications Sa Procede de codage d'une frequence et dispositif generateur de tonalites obtenu par le procede
US4710891A (en) * 1983-07-27 1987-12-01 American Telephone And Telegraph Company, At&T Bell Laboratories Digital synthesis technique for pulses having predetermined time and frequency domain characteristics
US4599583A (en) * 1983-11-19 1986-07-08 Fujitsu Limited Mixed dual frequency generating system
JPH0631990B2 (ja) * 1984-01-07 1994-04-27 カシオ計算機株式会社 波形の補間装置
US4910670A (en) * 1984-01-20 1990-03-20 Apple Computer, Inc. Sound generation and disk speed control apparatus for use with computer systems
US4631694A (en) * 1984-04-27 1986-12-23 National Semiconductor Corporation Sine wave synthesizer
US4814875A (en) * 1985-10-17 1989-03-21 Ampex Corporation Digital envelope shaping apparatus
DE3700740A1 (de) * 1986-01-16 1987-07-23 Gen Electric Linearapproximationsumformschaltung
US4809205A (en) * 1986-11-19 1989-02-28 Rockwell International Corporation Digital sine conversion circuit for use in direct digital synthesizers
JPS6434004A (en) * 1987-07-30 1989-02-03 Jeol Ltd Digital frequency generator
US4855946A (en) * 1987-10-22 1989-08-08 Motorola, Inc. Reduced size phase-to-amplitude converter in a numerically controlled oscillator
US5014231A (en) * 1987-11-23 1991-05-07 Hughes Aircraft Company Randomized digital/analog converter direct digital synthesizer
US4947130A (en) * 1987-12-23 1990-08-07 Advantest Corporation Impedance measuring apparatus
US4926130A (en) * 1988-01-19 1990-05-15 Qualcomm, Inc. Synchronous up-conversion direct digital synthesizer
US4905177A (en) * 1988-01-19 1990-02-27 Qualcomm, Inc. High resolution phase to sine amplitude conversion
FR2644258A1 (fr) * 1989-03-08 1990-09-14 Cahen Olivier Processeur arithmetique polyvalent
US5073869A (en) * 1989-08-25 1991-12-17 Titan Linkabit Corporation Suppression of spurious frequency components in direct digital frequency synthesizer
US5068816A (en) * 1990-02-16 1991-11-26 Noetzel Andrew S Interplating memory function evaluation
US5321642A (en) * 1991-03-20 1994-06-14 Sciteq Electronics, Inc. Source of quantized samples for synthesizing sine waves
US6032028A (en) * 1996-04-12 2000-02-29 Continentral Electronics Corporation Radio transmitter apparatus and method
FI101916B (fi) * 1996-12-18 1998-09-15 Nokia Telecommunications Oy Menetelmä muodostaa halutun funktion mukaisesti käyttäytyvä signaalin amplitudi ja muunnin
DE19854098A1 (de) * 1998-11-24 2000-05-25 Bosch Gmbh Robert Verfahren zur Erzeugung eines einstellbaren digitalen Signals sowie Anordnung
US6661852B1 (en) 1999-07-21 2003-12-09 Raytheon Company Apparatus and method for quadrature tuner error correction
US6640237B1 (en) * 1999-07-27 2003-10-28 Raytheon Company Method and system for generating a trigonometric function
US6590948B1 (en) 2000-03-17 2003-07-08 Raytheon Company Parallel asynchronous sample rate reducer
US6647075B1 (en) 2000-03-17 2003-11-11 Raytheon Company Digital tuner with optimized clock frequency and integrated parallel CIC filter and local oscillator
US7370069B2 (en) * 2003-12-15 2008-05-06 Micrel, Inc. Numerical value conversion using a look-up table for coefficient storage
JP2008148013A (ja) * 2006-12-11 2008-06-26 Niigata Seimitsu Kk 正弦波発生回路
EP2126659B1 (en) * 2007-03-20 2018-07-25 Telefonaktiebolaget LM Ericsson (publ) A method for use in a digital frequency synthesizer
US7508329B1 (en) 2008-01-03 2009-03-24 Micrel, Inc. Laser controller integrated circuit including variable resolution data processing device
JP5638354B2 (ja) * 2010-11-16 2014-12-10 三菱電機株式会社 周波数シンセサイザおよび周波数シンセサイザ用の位相振幅変換方法
US8659331B2 (en) * 2012-01-18 2014-02-25 Qualcomm Incorporated High accuracy sin-cos wave and frequency generators, and related systems and methods
US9904311B1 (en) * 2016-08-09 2018-02-27 Stmicroelectronics S.R.L. System and a method for a waveform generator
US10726175B1 (en) * 2019-03-04 2020-07-28 Xilinx, Inc. Systems for optimization of read-only memory (ROM)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56117429A (en) * 1980-02-20 1981-09-14 Keio Giken Kogyo Kk Phase lock loop
JPS58106901A (ja) * 1981-12-17 1983-06-25 インタ−ナシヨナル ビジネス マシ−ンズ コ−ポレ−シヨン 正弦波発生装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3506810A (en) * 1966-12-14 1970-04-14 Electronic Associates Digital controlled function generator including a plurality of diode segment generators connected in parallel
US4070665A (en) * 1976-05-27 1978-01-24 The Singer Company High accuracy digital to analog resolver converter
US4072940A (en) * 1976-06-01 1978-02-07 The Singer Company Digital to analog resolver converter
US4171466A (en) * 1977-05-16 1979-10-16 Bell Telephone Laboratories, Incorporated Digital wave generator for composite tone
US4134072A (en) * 1977-09-06 1979-01-09 Rca Corporation Direct digital frequency synthesizer
US4159527A (en) * 1978-01-19 1979-06-26 Tokyo Shibaura Electric Co., Ltd. Wave generator
AU529276B2 (en) * 1978-11-23 1983-06-02 General Electric Company Limited, The A.c. generator
US4222108A (en) * 1978-12-01 1980-09-09 Braaten Norman J Digitally-programmed arbitrary waveform generator

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56117429A (en) * 1980-02-20 1981-09-14 Keio Giken Kogyo Kk Phase lock loop
JPS58106901A (ja) * 1981-12-17 1983-06-25 インタ−ナシヨナル ビジネス マシ−ンズ コ−ポレ−シヨン 正弦波発生装置

Also Published As

Publication number Publication date
US4482974A (en) 1984-11-13
EP0102784A3 (en) 1985-05-29
GB8306207D0 (en) 1983-04-13
EP0102784A2 (en) 1984-03-14
JPS5930308A (ja) 1984-02-17
EP0102784B1 (en) 1988-06-01
DE3376892D1 (en) 1988-07-07

Similar Documents

Publication Publication Date Title
JPH0548003B2 (ja)
Vankka Methods of mapping from phase to sine amplitude in direct digital synthesis
EP1873612A1 (en) Phase-coherent signal generator
US5371765A (en) Binary phase accumulator for decimal frequency synthesis
US7440987B1 (en) 16 bit quadrature direct digital frequency synthesizer using interpolative angle rotation
US6396313B1 (en) Noise-shaped digital frequency synthesis
US20060145902A1 (en) Method and a digital-to-analog converter for converting a time varying digital input signal
US5430764A (en) Direct digital frequency synthesizer
JPH02280415A (ja) 周波数変換器
US7437391B2 (en) Numerically controlled oscillator and method of operation
US5619535A (en) Digital frequency synthesizer
Langlois et al. Low power direct digital frequency synthesizers in 0.18/spl mu/m CMOS
JPH05206732A (ja) 周波数シンセサイザ
US11303289B2 (en) Frequency-multiplying direct digital synthesizer
Giffard et al. A low-frequency high resolution digital synthesizer
Song et al. A 16 b quadrature direct digital frequency synthesizer using interpolative angle rotation algorithm
JP4520586B2 (ja) 周波数シンセサイザおよびガウス雑音発生装置
Omran et al. Design and Simulation of High Spectral Purity Numerically Controlled Oscillator
KR100233828B1 (ko) 직접 디지털 주파수 합성기
KR20060027163A (ko) 위상누적기를 이용한 디지털 주파수 합성기
Pfleiderer et al. Numerically controlled oscillator with spur reduction
GB2160377A (en) Frequency synthesizers
Nehl Investigation of techniques for high speed CMOS arbitrary waveform generation
CN114157274A (zh) 一种灵活捷变的高准确度载波生成系统及方法
Pawar FPGA Based Direct Digital Synthesis Using CORDIC Algorithm