JPH02502414A - 半導体素子のための自己整列した相互接続 - Google Patents

半導体素子のための自己整列した相互接続

Info

Publication number
JPH02502414A
JPH02502414A JP1500618A JP50061888A JPH02502414A JP H02502414 A JPH02502414 A JP H02502414A JP 1500618 A JP1500618 A JP 1500618A JP 50061888 A JP50061888 A JP 50061888A JP H02502414 A JPH02502414 A JP H02502414A
Authority
JP
Japan
Prior art keywords
polysilicon
interconnect
layer
slot
multilayer structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP1500618A
Other languages
English (en)
Inventor
ハスケル,ヤコブ・ディ
Original Assignee
アドバンスト・マイクロ・ディバイシズ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アドバンスト・マイクロ・ディバイシズ・インコーポレーテッド filed Critical アドバンスト・マイクロ・ディバイシズ・インコーポレーテッド
Publication of JPH02502414A publication Critical patent/JPH02502414A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 半導体素子のための自己整列した相互接続発明の背景 1、発明の分野 この発明は、たとえばトランジスタ、特に、電界効果トランジスタ(FETs) のような半導体素子に関するもので、特に完全に自己整列した構造に帰着する、 そのような素子のための相互接続を準備するための新しい方法に関するものであ る。
2、発明の背景 MOS(金属酸化膜半導体)素子、特にCMOS(相補形MOS)を製作するた めのLOGOS (シリコンの局所的な酸化)方法は周知であり、半導体産業の 至るところで広〈実施されている。約1μm特徴サイズよりも大きい設計ジオメ トリにとってそれは適当な方法である。
しかしながら、素子のより高い詰めこみ密度を成し遂げるために特徴サイズは半 マイクロメータの寸法に縮められるので、いくつかの問題が持ち上がる。
第1に、リングラフイスチッパの焦点深度がより小さくなる。したがって、種々 の特徴の種々の高さが、フィールドの深度の問題を起こす。
第2に、ポリシリコンゲートへの、およびフィールド酸化物へのコンタクトの間 隔をあけることは、より小さい寸法では臨界的になる。別々の整列ステップの使 用のため、コンタクトを整列することにおける誤差のマージンは、適当ではない にしても、(a)フンタクトによりシリコンサブストレートが拡散ソースまたは ドレイン領域に結果としてショートすることを伴う、フィールド酸化物を通して のエツチング、かまたは(b)ゲートがソースまたはドレインコンタクトに結果 としてショートすることを伴う、ポリシリコンゲートをコンタクトすることに帰 着し得る。
そのような誤整列は、ソース、ドレインおよびゲート間の、およびソース、ドレ インおよびフィールド端縁間の相当なスペースを見込むことによって調節させら れる。結果として、高度の詰めこみ密度が犠牲にされる。
現在の処理機構のもう1つの要求は、ゲートコンタクトが、ソース−ゲート−ド レイン線まで直角で延びる相互接続にまで作られるということである。そのよう なコンタクトは直接ゲートまでへのコンタクトに比べてかなり多くの面積を必要 とする。しかしながら、可能性のある誤整列問題を避けるために、別々の整列の 使用は現在の処理機構を要求する。
最後に、LOGOS方法を用いる技術において周知の問題は、いわゆる「バーズ ・ビーク」問題で、それはフィールド酸化物がソースおよびドレイン領域で、サ ブストレートまで次第に少なくなるところで起こる。そのような先細りは、マス ク面積よりも小さい電気的な幅の結果となる。
処理の間の多数の異なった高さ、およびいくつかの整列ステップは、サブストレ ート上の素子の高度の詰めこみ密度を生じる進歩したりソグラフイ方法および他 の方法の効率的な使用を妨げることは明らかであり、その理由はフィールドの深 度が調整に必要な寸法が小さくなるにつれて減少するからである。
発明の概要 この発明に従って、同じものを準備する新しい相互接続および方法が提供される 。この発明の方法は、先行技術方法に関連した、すべてではないにしても大抵の 不利益を避けるために、完全な自己整列を使用する。結果として、高度の詰めこ み密度が成し遂げられるかもしれない。
自己整列は、先に論議された誤整列領域の不利益なしに、技術者によって選択さ れたどんな方法においても、素子の種々の構成要素が相互接続されることを容易 に可能にする。
この発明の方法は、ポリシリコンのプラグの使用を可能にし、その上面はゲート コンタクト面積要求を減らすためにコンタクトされ得る。0.5μmおよびそれ より小さい特徴距離がこの発明の方法により成し遂げられるかもしれない。
好ましくは、平坦゛北方法もまた使用される。平坦化はフィールドの深度の問題 を避ける。
半導体ウェーハの表面上に形成される多層構造に関連したマスクの独特の組合わ せが、多層構造はその中に埋設のエッチストップ層を含むが、ソース、ゲートお よびドレインエレメント、ならびにお互いに、および相互接続に関するそれらの ジオメトリを規定する。多構造層におけるスロットを介するポリシリコンプラグ コンタクトは、垂直のコンタクトが種々のエレメントに対してなされることを可 能にする。ポリシリコンプラグのシリサイド化は垂直方向の直列抵抗を下げ、n −およびp −MOS F E Tの素子調整のためにNおよびPポリシリコン 電極の両方を使用することを可能にする。
この発明に従って、相互接続が、それへコンタクトを有゛するエレメントを含む 半導体素子において設けられる。相互接続はエレメントへの自己整列を特徴とす る。好ましくは、相互接続は素子の範囲内での、および素子間の平坦化されたコ ンタクトとの相互接続のために平坦化される。
半導体材料の主表面に形成される、半導体素子における自己整列した相互接続を 形成するための、および素子のエレメントに相互接続を整列するための方法がま た提供される。その方法は、 (a)  サブストレートに酸化物領域を形成することによって個々の素子がそ の範囲内に作られる活性領域を描くことと、 (b)  半導体表面上に多層構造を形成することとを含み、多層構造は少なく とも1つのエッチストップ層を含み、さらに (C)  素子の種々のエレメントに対応する複数個のスロットをリングラフィ 的に規定するために多層構造上に形成されるレジスト層に関連してスロットマス クを使用し、それによってエレメントをお互いに自己整列することと、(d)   エッチストップ層で止まる、スロットに対応する多層構造の部分をエツチング することと、(e)  レジスト層を剥ぎ取ることと、(f) 個々の素子と関 連したスロットの一群を取り囲む活性領域だけを規定するために多層構造上に形 成されるもう1つのレジスト層と関連して活性マスクを使うことと、(g)   スロットの中の多層構造のいかなる残余の部分も除去することと、 (h)  導電性プラグを形成するために導電性材料でスロットを充填すること とを含む。
好ましい実施例において、多層構造は、(a)  本質的にフィールド酸化物か らなる第1の層と、(b)  前記酸化物とはかなり違ったエッチレートを有す るエッチストップ材料から本質的になる第2の層と、(c)  本質的に酸化物 からなる第3の層と、(d)  本質的にポリシリコンまたは窒化シリコンから なる第4の層とを含む。
この発明の他の目的、特徴および利点は、次の詳細な説明、および図全体を通し て同じ参照指示が同じ特徴を表わす添付の図面を考慮すると明らかになるであろ う。゛図面の簡単な説明 二の説明で参照される図面は、特に言及された場合以外は一定の比率に拡大して 描かれていないと理解されるべきである。さらに、図面は、この発明に従って製 作される集積回路のただ一部分を図解するように意図されている。
第1・図−第14図はこの発明の方法における事象のシーケンスを描き、raJ の指示は断面図を描き、rbJの指示は平面図を描く。
第15図−節19図は種々の方法のシーケンスにおける代替の実施例を描く。
発明の詳細な説明 この発明の特定の実施例についてここで詳細に参照がされるが、それはこの発明 を実施するために発明者によって現在企図される最良のモードを図解する。代替 の実施例もまた適用できるように簡単に記述される。
この発明の方法は、その好ましい方式において、完全に非LOGOSである。し かしながら、その方法の一部がここに開示された利益を実現するために現在のL OGO8方法に組入れられてもよいということが当業者により理解されるであろ う。さらに、この発明の方法はシリコンを半導体として使用することを特定的に 指示されるが、この発明の教示は、エッチストップ層などにおける適当な修正で 、他の半導体にまで拡大され得るということが当業者に明らかであるであろう。
この発明の方法は、素子が、(ここでは% Ji 11 a図に見られるように 、MOS)ランジスタ14)、が半導体サブストレート16のP−ウェルまたは N−ウェル領域12に製作されるべき領域を囲む分離溝10の形成で始まる。
複数個のそのような分離溝10があり、1つ1つが各素子14に関連している。
周知のように、そのようなトランジスタ14はソースエレメント18およびドレ インエレメント20を含み、その間のゲート領域22が、その間のチャネル24 の拡がりを調整する(第10a図に見られる)。P−ウェルおよびN−ウェルの 形成は知られており、したがってこの発明の一部分を形成しない。
第1a図に示されるように、半導体サブストレート16のウェル領域12を囲む 複数個の溝10が、サブストレートの表面16′の上に形成される窒化物マスク 25の上面上に形成されるレジストマスク23を介してエツチングされる。第2 a図に示されるように、レジスト23および窒化物25はその後剥がされ、溝1 0は酸化物26で充填される。
溝のエツチングは、たとえば適当なウェットシリコン損傷除去エツチングが伴う RIE(反応性イオンエツチング)方法のような、周知の方法を用いてなされる 。溝10を充填するために用いられる酸化物26は低温度酸化物または好ましい 750℃TEO5(+)5エチルオルト珪酸塩)でよい。酸化物はシリコンサブ ストレート16の表面16′と平坦になるようにエッチバックされる。平坦化は 、酸化物平坦化のための技術において一般に用いられる化学的および反応性イオ ンエツチングの組合わせによりなされる。
分離溝10は、適当な長さくX)および幅(Y)の活性領域の輪郭を描くために 4つの壁のある溝をエツチングすることにより作られる。この発明の方法におい て、溝10は、平面図で眺められたとき(第3b図)、矩形の形状を有し、約5 μmに2μm(1μm設計基準に対して)の活性領域(XXY)を規定する。
分離溝は、典型的に、約0. 5から1μm幅で、約0゜4から0.6μmの深 さである。その寸法はリングラフィ整列制約、およびその後に生成される酸化物 による溝の充填により決定される。
1つの完成した溝が第3a図に示されるが、第2a図に示されるように、複数個 のそのような溝が単一の半導体サブストレート16上に使用され、各々が素子の 活性領域を描くということは理解されるであろう。もちろん、特徴規定の技術が 新しいレジストおよびレジスト現像方法とともに改善し続けるにつれ、このよう な寸法もまた小さくなるであろう。
次に、第3a図に示されるように、層28の特定のシーケンスがサブストレート 16の表面上に形成される。特にこの層28のシーケンスがこの発明の要点であ る。この多層構造は埋設のストップエッチ層を含み、この発明の実施に必須のも のである。
第1に、約2500人±5%の厚さを有するフィールド酸化物28aが、サブス トレート16の表面上に形成される。下文に記述されるように、フィールド酸化 物28aの厚さは、要求されるフィールドしきい値電圧、およびN−およびP− フネクタを形成するために利用できるその後の注入エネルギに調和して目標を定 められ得る。
フィールド酸化物28aの形成はそれ自体においては新しくなく、従来の生成方 法により所要の厚さまで形成される。選択される厚さは、第10a図と関連して 下文に論議されるように、注入を阻止するために残されたポリシリコンに対する 、それによって注入するのに必要とされる注入エネルギに依存する。
理想的には、フィールド酸化物28aは要求されるフィールド反転電圧に調和し て、できるだけ薄くあるべきである。また重要なことには、この発明の利益を最 もよく実現するために、この層28gの厚さは、ウェーハの表面をわたって実質 的に均一であるべきである。シリコンが基礎の素子については、フィールド酸化 物は二酸化シリコンを含む。
次に、エッチストップ材料の薄い層28bがフィールド酸化物28aの上に形成 される。下文に理解されるように、エッチストップ材料28bは、二酸化シリコ ンとかなり異なるエッチレートを有する材料を含み、この発明の実施において重 要である。シリコンが基礎の素子については、適当なエッチストップ材料は、約 80OA±5%の厚さを有する、窒化シリコンを含む。窒化物はLPGVD ( 低圧化学気相成長)またはPECVD (プラズマ争エンハンストCVD)によ り生成され得る。最小および最大の厚さは、処理および装置のエツチング均一性 に依存する。
窒化物層28bの上にはもう1つの酸化物の層28cが形成され、厚さが約5. 500から6,500人に及ぶ。
この酸化物層はフィールド酸化物と同じような方法で都合良く形成される。この 酸化物層28cは、下部に論議されるように、形成されるべきポリシリコンプラ グの深さを決定し、第8b図に関連して、また下部に論議されるように、反コン タクトマスクにおけるエッチバックに続くポリシリコン層(相互接続ポリシリコ ン)について残されているものを決定する。このように、酸化物層28cの厚さ は、除去される約4.0OOAのポリシリコンおよびその段階において必要とさ れる残余の相互接続の厚さく約2. 00OA)の合計よりも大きくなければな らない。
最後に、ポリシリコンの層28dは、約2,000から2.500Aに及ぶ厚゛ さにまで、酸化物層28c上に形成される。この層28dは二重の目的を有し、 第5b図に関連して説明されるように、それはエッチマスクとして、およびリン グラフィ「エンハンスメント」層として両方で役に立つ。二酸化シリコンに対し て良いエッチレートを有するポリシリコン以外の材料、たとえば窒化シリコン、 が使用されてもよいが、ポリシリコンはいくつかの利点を有する。第1に、それ は均一の反射性を有し、それでリソグラフィが制御しやすい。第2に、それは二 酸化シリコンに対して20:1よりも良いエッチ比を有し、それでたとえ上に横 たわっているレジストがその後のエツチングの間に腐食され去ってしまっても、 それはエッチマスクを兼ね、それによって寸法制御損失を避ける。
前述の層28a−dは、欠陥密度を下げるために1回のポンプダウンで引き続い て生成されてもよい。
レジスト30の層が上部ポリシリコン層2gd上に次に形成され、「スロット」 マスク(第4b図における破線32)に露出され、それは同時にソース18、ゲ ート22およびドレイン20領域間に相互の自己整列を与える。スロットマスク 32は、延長部分33を介して他の素子へのコンタクト、および延長部分35を 介してゲート22へのコンタクト、およびそれのどれか他の組合わせの準備をま た含んでもよい。それは、半分のレベルである従来のポリシリコンに比べ、完全 なレベルの相互接続である。(従来の半分のレベルは接続を完了するためにもう 1つのレベルの相互接続を必要とする。)このような方法で、素子の詰めこみ密 度は、臨界的な整列要求なしに、先行技術のLOGO8方法のものをほぼ50% 上回るだけ増加させられるかもしれない。密度のそれ以上の増加は、より良いレ ジスト規定の技術が発展するにつれて、達せられるかもしれない。
スロットマスクは、フィールドにおけるポリシリコン相互接続領域、およびすべ ての素子のソース/ゲート/ドレイン領域を規定する。スロットマスクは、最小 の特徴、およびリソグラフィ的に規定されるかもしれない最小の特徴スペースで あり得る。理解されるように、ソース/ゲート/ドレイン領域は、ここまでお互 いに自己整列される。
レジスト30は、従来のように、電磁放射(可視の、紫外の、X線の、適当なよ うに)により露光され得、下にあ・る4つの層28の望まれない部分は、ソース 18、ゲート22およびドレイン20領域に対応する半導体サブストレート16 の部分を露出するために、たとえばエツチングにより、除去される。
4つの層28は次のとおりエツチングされる。第1に、ポリシリコン層28dの 露出された部分は、RIEエッチ装置を用いてエツチングされ、再び、RIEエ ッチ装置を用いて、酸化物層28Cの露出された部分のエツチングが続く。この 後者のエツチングは、窒化物層28bで止まり、その理由は、酸化物のエッチレ ートは、エッチパラメタで窒化物のものの約5倍に調整され得るからである。こ のエツチングの方法は、エツチングの制御された製造可能性を可能にし、その理 由は窒化物Ji28bは嵌め込みの「埋設の」エッチストップの役をするからで ある。
(層28d、28cの)2つのエツチングは、1つのポンプダウンで行なわれて もよい。この時点で、もし結局はポリシリコン層28dの下部から窒化物を除去 することが望まれるなら、窒化物層28bもまたフィールド酸化物までエツチン グされてもよい。
RIEエッチ方法は酸素およびフッ化ガス、たとえばCHFz 、CFa 、N Fs 、などの混合を使用する。酸化物および窒化物の間の制御されたエッチ比 は、ガスの比率および、いくつかの場合には、エッチ装置の動力を変えることに よって達せられる。RIEエッチ方法は周知であり、したがってそれ自体はこの 発明の一部分を形成しない。
新しいレジスト層36に関連して「活性」マスク(第5b図において実線34で 示され、溝10は明快さのために省略されている)を用いて、レジスト30は剥 がされ、ウェーハは再びマスクされる。このマスクの目的は2つある。
N1に、ソース18/ゲート22/ドレイン20領域において、エツチングはシ リコンまで、またはスロットの中の露出された酸化物まで完了される。満10に おけるこの露出された酸化物のいくらかは、シリコンサブストレート16までソ ース18、ゲート22、およびドレイン20の中のフィールド酸化物を取り除く のに必要とされる要求されるオーバエッチに対応して、エツチング(=500人 )されるであろう。
フィールド領域またはフィールド上の相互接続領域において、マスクはレジスト でこれを覆い、エツチングを妨げる。この方法で、フィールド領域における酸化 物288は、分M溝10(溝酸化物26を含む)により囲まれる領域の外側の、 フィールド領域におけるポリシリコン延長部分33.35の下で結局保持される 。これはポリシリコン相互接続を形成する。
窒化物層28bの露出した部分は、再びRIEを用い、フィールド酸化物層2g a上で止まるように、それからエツチングされる。エッチ比は、約3:1の窒化 物対酸化物よりも大きく調整される。フィールド酸化物層28aの露出された部 分は、その後エツチングされて、下にあるシリコン16の部分を露出する。再び 、上記に示されたように、窒化物層28bおよび酸化物層28aのエツチングは 、単にそれぞれの層の性質を適応させるために化学的性質を変更して、同じエッ チ装置で1つのポンプダウンで引き続いてなされ得る。
≧3:1の酸化物対窒化物のエッチ比で、土10%のエツチングの、および±1 0%の酸化物層28cの非均−で、および約6,000から7.0OOAに及ぶ 酸化物層の厚さで、層28cをオーバエッチし、なお窒化物層28bの上または 中で止まることが可能である。このことはそれ自体大きな製造の利点を与える。
エッチバックの先行技術の方法において、「時間を定められたエツチング」は非 均−問題を克服しなかった。レーザ終点方法はバッチ機械において1つのウェー ハを試し、同じ不確実性を免れない。このように、「埋設のエッチストップ」窒 化物層28bの使用は、この発明の処理を高めるさらにもう1つのエッチシーケ ンスに独特の解決法を提供するように理解される。第2のエツチングはその後窒 化物層28bを除去し、フィールド酸化物28gの上で止まる。
シリコンまでエッチダウンすることの完了で、素子の幅Wは、y−wとして第3 b図および第4b図において溝マスク10により規定された。Lと示された、チ ャネルの長さく幾何学的な)は、スロットマスク32を用い、第1のエツチング で既に規定された。このように、ソース18、ゲート22およびドレイン20領 域は、ゲートの下のチャネルの素子の長さしおよびゲートの下の幅Wとともに、 幾何学的に規定された。さらに、たとえばドレイン20領域に関連して示される ように(第2b図において33によりフィールド酸化物層28aを貫いてエツチ ングした後、レジスト層36が、従来の方法で除去され、薄い酸化膜38(ゲー ト酸化物)が半導体サブストレート16の露出された部分で成長する(第6a図 )。従来のように、ゲート酸化物38は、素子の縮小の割合に依存して、約15 0±10A、またはそれ未満の厚さに形成される。
ソース/ドレインエッチアウトマスク(第7b図で実線44により示される)は 、第7a図で42で示されるように、n−MOSおよびp−MOSゲート領域を 覆う、および保護するために使用される。次に、ソース18領域およびドレイン 20領域におけるゲート酸化物層のそれらの部分は、たとえばウェットエッチ浸 漬により、またはRIEエツチングにより、またはそれの組合わせで除去される 。
レジストはその後ウェーハからはぎ取られる。
ポリシリコン層は、約7.000人±5%の厚さまでブランケット生成され(1 μm特徴幅で)、その後エッチバックされる、またはポリッシュ(化学的/機械 的)バックされ上部の酸化物層2gc上で止まる。ポリシリコンは、スヘての相 互接続スロット32と同様、ソース18スロツト、ゲート22スロツト、および ドレイン20スロツトのすべてを充填する。(ゲート22スロツトを充填するポ リシリコンは第7a図に40gで示されている)。
ポリシリコン層は、ポリシリコンを平坦化するためにシリコンウェーハポリッシ ュにおけるのと同じような装置を用いて、ポリッシニバック(化学的/機械的) される。
次に、ドーピング周期が行なわれる。このドーピング周期において、ポリシリコ ンゲート40gは、方法の最後までドープされない。
この目的で、酸化物層48は至るところに形成され、たとえば、典型的に約12 5人±10%の厚さまで、約900℃で熱的に成長する。これはイオン注入スク リーン、および窒化物エッチストップを兼ね、よって、厚さはこれらの考慮すべ き事柄により決定される。Bl+イオンが、開かれているN+領領域同様に、そ れぞれ、素子のP−チャネルソース上のポリシリコンブラグ46s1および素子 のp−チャネルドレイン上のポリシリコンブラグ46dの中にP+ドーピングを 与えるために注入される。N+ドーピングを形成することが望まれるところでは 、約600から800人の厚さくPOCflsをマスクするのに十分である)の 窒化物層42が生成され、N+マスクを使用してマスクされ、下にある125人 酸化物層48と一緒にエツチングされ去り、N+領領域露出するためにポリシリ コンの上で止まり、それはその後P OCQ sでカウンタドープされる(これ らの領域は前もって砿素でドープされている)。
N+およびP+44マスク(第7b図に示される)は、P+マスク(P−MOS 素子)としてレジスト、およびN◆マスク(N−MOS素子)として窒化物を使 用し、次のように構成される。第7a図に見られるように、マスク42はドーピ ングからゲート領域22を保護する。
P+マスクがNMOS領域を完全に覆い、またP+ゲート領域も覆うこと以外は 、マスク44は同一である。N◆マスクはPMOS領域を完全に覆い、またN+ アゲート域もまた覆う。これはソース、ゲートおよびドレインがすべて同じドー ピングであり、すなわち、NMOS/PMOS領域が完全に開かれ、ゲートが保 護されていない、従来のN” /P+マスクと比べて異なる。
NおよびPウェル12が、溝形成に先立って既に適所にあるということが想起さ れるであろう。もちろん、各分離された領域のソースポリシリコンプラグおよび ドレインポリシリコンプラグ、または素子14は、その領域のウェル12のそれ と反対の導電率までドープされ、これらへのコンタクトが必要とされ、したがう てそれらが同じ導電率であるところは除く。
アセンブリは約900℃まで約60分間熱され、ドーパントが半導体の中のソー ス18とドレイン20の両方のためにN+およびP+接合/領域を形成するよう にドーパントをドライブする。たとえば、第8a図に見られるように、ドープさ れた領域18′、20′はそのドライブにより形成される。温度および時間は、 必要とされるプラグ深度および接合深度に依存して、変化させられてもよい。代 わりに、急速な熱焼なましが、接合をドライブするために使用されてもよい。
ゲートはまだドープされずにいて、その理由はゲートポリシリコン40gをドー プすることは、ドーパントの種類が薄いゲート酸化物38を貫通し下にある半導 体16に達する結果となり、電位の信頼性の問題を引き起こすからである。
ゲート領域22上のマスキング窒化物キャップ42は、次に除去される。
この段階では、3つの層(フィールド酸化物28a、窒化物28b、および酸化 物28C)が平坦化され、半導体サブストレート16上で、それぞれ、平坦化さ れたポリシリコンプラグ46s、46g、46dがソース18、ゲート22、お よびドレイン20に平坦化されていることがわかる。すべてのソース、ゲート、 ドレインおよび相互接続が相互に自己整列される。プラグの規定およびドライブ の後、下部に詳細に記述されるように、新しいコンタクト機構が、実施され得る 。
下部に詳細に記述されるように、素子はここでゲート端縁に接続されなければな らない。以下の論議はゲート酸化物38を劣化することなくゲート電極40gの すぐ上にコンタクトを形成することに関する。この構成は特徴サイズの調整を可 能にする。
従来のLOGOS技術において、ゲート領域上のポリシリコンの厚さは、横縦比 が悪くなるにつれて、平坦な、または小さいステップの高さを適度に呈するため に縮小させられなければならない。コンタクトは、ゲートブレークダウンを劣化 させることなしにゲート酸化物上のこのゲートの上に直接なされ得ない。
このように、この発明の新しいアプローチのもう1つの面は、それがコンタクト を作るステップにおいて、およびすぐそれに続く金属生成ステップにおいて、平 坦な表面が呈されることを可能にすることで、そのことは小さいジオメトリで製 造する利点を与える。この発明のアプローチはまた、従来の方法に比べて、補充 の技術および方法が多くを要求しないようにする。
「反コンタクト」レジストマスク(第8b図において交差した領域50により示 される)が、ポリシリコン層40g、46s、46d上の層52として形成され 、ゲートポリシリコン40gおよび他の所望のコネクタの領域、たとえばドレイ ンポリシリコン46dの一部分を覆うようにパターン化される。反コンタクトマ スク50において、レジストはコンタクトが必要とされるところに残され、これ らのコンタクト領域が通常開かれている従来のコンタクトマ゛スクと性質が異な る。
次に、ポリシリコン層46の露出された部分が、下にある酸化物に対してよい選 択性を持ち、N+、P+、またはドープされていないポリシリコンに関して選択 性のないエッチャントを用いて、ポリシリコンの特定の量を除去するために時間 が定められたエツチングでエツチングされる。
そのようなエッチャントの1つの例が、塩素が基礎のプラズマ化学である。特に 、約4.000A±5%のポリシリコンが除去される。この量はポリシリコンと 金属の間の酸化物間の厚さであるだろうし、キャパシタンスを考慮することによ って決定される。また、RIEポリシリコン/酸化物比は>20:1の選択度を 明示するので、コンタクトは酸化物に部分的に重なり得る。規定されつつあるコ ンタクトはレジストにおける特徴であり、従来のコンタクト機構におけるような レジストにおける開口ではなく、リングラフィをより簡単にする。
結果として生じる構造が第8a図に示される。フィールドにおけるポリシリコン 相互接続46cが、たとえばソースポリシリコン46sのような、コンタクトさ れるべきでないすべてのそれらの領域と同様、エツチングにより凹所を設けられ るということが理解されるであろう。
この段階におけるすべてのエッチバックされた領域は、1つの方向に同様の幅を 有する。フィールドにおけるポリシリコンは、それが(第8a図の平面に平行に )存在するスロットの幅である。第11a図に示されるように、およびさらに詳 細に下部で論忘されるように、非常に平坦な形状を褥るために塗布ガラスでこれ らの領域を充填することはこのように容易である。
パターン化されたレジスト52は、ゲート「ボタン」40gへのコンタクトと同 様、ポリシリコン46bの「ボタン」を残して、除去される。
コネクタマスク(第9b図において実線54により示される)が、レジスト層5 7を用いて酸化物層28cの部分55を規定するために使用される。これらの部 分55は、下にある窒化物層28bをエッチストップとして用いて、エツチング により除去される。窒化物層28bの露出した部分は、その後エツチングにより 除去され、フィールド酸化物層28mの上で止まる。
このエツチングは、相互接続を形成することにおいて上記で論議されたものと同 じであり、その点で言及されるすべての利点を有する。
適当なイオンの注入(ソース18′およびドレイン20′をゲート22′端縁に 接続するNチャネル24およびPチャネル24に対する)は、トランジスタ(N −およびP−型)を接続し、および形成するためにフィールド酸化物28mの露 出した部分を通してその後なされる。それを通して注入されるべき酸化物は、上 記で記述されたように、うまく制御される厚さまで生成された、フィールド酸化 物28aであるということは注目されるであろう。
p−チャネル(5X10” cm−2においてzlookeV)に対してB11 かまたはリンで、P−マスクを用いて、n−チャネル(5X10” cm−2に おいてり250keV)に対して、N−マスクを用いて、ウェーハがここで注入 される。この作業は、P−マスクおよびエツチング、P−注入、レジストはぎ取 り、N−マスクおよびエツチング、N−注入、およびレジストはぎ取りのシーケ ンスを用いてなされる。ソース18′/ドレイン20’m合は、ここでゲート領 域22′に接続され(第10a図に見られる)、MOS  FET素子14を完 成する。チャネル24は「+」で示される。
注入方向に対して直角の散乱のため、傾斜接合の結果となるゲート端縁の下の注 入「尾」が都合良くある。周知のように、これは短いチャネル素子にとってホッ トエレクトロン効果を下げるために有益である。
チャネル注入の間、ゲートポリシリコンプラグ40gもまた同じ注入で軽くドー プされる。ドーピングは、急速な熱焼なましにより、たとえば約800°から1 ,000℃で15から30秒、軽く進められる。この方法はチャネル24におけ る注入を活性化するが、しかし注入の過度の拡散を起こさず、それによつてゲー ト酸化物38の貫通を避ける。(ポリシリコンにおけるより速い拡散レートは、 しかしながら、この短い周期でゲートポリシリコンの実質的に均一のドーピング を可能にする。)この方法で、ゲート上のPポリシリコンプラグとNポリシリコ ンプラグの両方が、形成される。周知のように、薄いゲー)(100から150 A)上のP+ポリシリコンの形成は、先行技術において今まで確実にはなしとげ られなかった。
ポリシリコンの露出された部分は、たとえばTiSiまたはCoSi2で、選択 的にシリサイド化される。シリサイド化されたちの56は、第10a図に見られ るように、ポリシリコンボタンの上面および露出した側面の部分、またはプラグ 40g、46s、46d、46bを覆う。シリサイド化処理は、約500から8 00人の厚さまでチタンをブランケットスパッタリングすること、および急速な 熱焼なましにより約650℃まで加熱することを、都合良く含む。望ましくは、 約2から4Ω/平方の面積抵抗が得られる。
シリサイド化は、選択的な処理である。シリコンまたはポリシリコンのみに反応 が起こる。反応なしの生成されたチタンが、湿式の化学溶剤の中で剥ぎ取られる 。ケイ化物が、要求される抵抗を備えるために、その後約800”Cまで再び急 速な熱焼なましされる。
プラグ抵抗の低下に必須ではないが、非ダイオードオーミック接触を与えるため に、N+/P+ポリシリコンプラグの交点を結ぶ(strap)ことは必須であ る。結ぶこと(strapping)は、また各ポリシリコンプラグおよびポリ シリコン相互接続の直列の抵抗をシャントする。
このように、第10a図に見られるように、各プラグの抵抗は、フィールド酸化 物28aの厚さだけの関数であり、それはウェーハ全体の上で実質的に一定であ る。
ポリシリコンプラグを結ぶことは、いかなるドープされていない、およびドープ された(N+ 、P+ )プラグに対してもなされてよい。
次に、約1,0OOAの、酸化物のプラズマ・エンハンスト化学気相成長が、シ リサイド化された領域上に層6゜を形成するために用いられる。
塗布ガラス58が、エッチアウトされた領域を充填するために表面のいたるとこ ろにその後与えられる。すべてのスロットは同様の寸法であるので、それですべ てのスロットは平らに充填され、必要とされるように、ポリシリコンプラグ表面 にまでエッチバックされる(RI E)またはポリッシニバックされる。2つの FJ58.60の間の違ったエッチレートのため、平坦化が高められる。結果は 、「ボタン」の表面、たとえば、40g、46bが露出した状態で、平坦な形状 である。
同様の幅のスロットに塗布ガラス(SOG)を使用することは、大きく異なるサ イズの特徴をSOGで充填しようと努める問題を首尾良く克服することは理解さ れるであろう。もし広い領域が試みられると、SOGは「懸垂線」で充填し、平 坦ではない。SOGはまたポリシリコンおよび金属層(次に生成されるべき)の 間の酸化物間の厚さであるので、この厚さルリ御は容】および性能に直接影響し 、その両方がこの方法により扱われる。
ここの教示により形成されるような素子は、低い重複容量を有する。上記で論議 された平坦化されたコンタクト機構を使用することにより、ソース/ゲート/ド レインプラグの並列配置により形成されるゲート22/ドレイン20ミラー容量 は、減少され得、正確に制御され得る/モデル化され得る。(容量の減少は、コ ンタクトが全く必要とされないそれらの領域におけるポリシリコンをエッチバッ クすることの結果として得られる。)エッチバックされるプラグと比べると、付 加的なミラー容量が、同じ素子上の隣接の電極にコンタクトがなされるところに 起こるであろうが、非常に増加される密度に対して、これはわずかな不利益であ る。
最後に、そしてたぶん最も重要なことに、この機構におけるコンタクトは、ポリ が最も厚いところでなされ、たとえば、第11a図においてゲート電極40g上 で、それはこのアプローチの独特の特徴で、強力な密度の利点を与える。先行技 術において、既に論議された項目のために、ゲートはLOGOS技術のLOGO S領域上で接続され、したがってより大きい領域を使い果たす。さらに、金属コ ンタクトがポリのプラグになされるので、この発明の機構は接合を浅くするため に、よりたしかなコンタクトを与える。
これらは、上述のように、金属生成およびエツチングに先立ち、選択的にシリサ イド化され得る。
次に、第12a図に示されるように、金属層62がウェーハ全体の上に生成され る。金属62は、シリサイド56を介して、高められたポリシリコンプラグ、ま たはボタン、ここでは、40gおよび46bにコンタクトする。金属はパターン 化され、従来のようにエツチングされるが、平坦な形状は必要とするオーバエッ チを減らし、ブリッジングを克服し、従来の機構に比べて引き起こす問題を減ら す。
金属層62のエツチングされた部分は、たとえばPECVD酸化物のような、酸 化物61と直線にされ、たとえば塗布ガラスのような、平坦化材料65で充填さ れる。この方法で、金属部分62は、お互いに物理的に分離され、電気的に絶縁 される。
上記のように、第13a図に示される、第2の金属の層64を形成するために、 塗布ガラス(層65)(またはどれか他の平坦化する層)が、第1の金属層62 を平坦化するために使用されてもよいし、層62の表面までエッチバックまたは ポリッシニバックされてもよい。薄い窒化物層66が、平坦化されたガラス、お よび金属層62.65上に生成され、その上のコンタクト酸化物層67の形成が それに続く。
コンタクト68のエツチングが、酸化物67を通って窒化物66までその後なさ れ、再び窒化物層をエッチストップとして用いて、下にある金属62の部分を露 出するために窒化物のエツチングがそれに続く。再び、2つのエツチングが1つ のステップで行なわれる。もし何か誤整列があれば、下にある塗布ガラス層66 はオーバエッチされないであろう(第14a図を見よ)。また、オーバエッチ問 題なしにリソグラフィを高めるために、コンタクトが金属部分62に重複するよ うに引き延ばされ得る。
コンタクトを形成するために結果として生じる金属領域は、いわゆる先行技術の 「ドッグ・ボーン」、または縁どられた、コンタクトよりも小さいということは 理解されるであろう。
いかなる導電性材料も、第1の金属層62および第2の金属層64に用いられて もよい。アルミニウムが好ましくは用いられるが、他の導電性材料、たとえばタ ングステン、モリブデンおよびその技術において周知の他のものが代わりに使わ れてもよい。
たとえば第1の金属62に対してタングステンの選択的な成長のような平゛坦化 方法を用いることによって、第2の金属64の生成に先立って、平坦な表面を形 成するためにコンタクト68を「プレートアップ」することが可能である。第1 の金属62に対して(フィールド上および素子に対して)異なったコンタクトの 高さを有するLOGOS方法とは性質が異なるように、この技術は平坦な形に充 填することがよりたやすい。LOGOS技術は浅い深度および深い深度の間の折 衷案であろう。もし深いコンタクトが充填されると、浅いものは、エッチバック されなければならないであろう突出ているタングステンの柱を有するであろう。
付加的なエッチバックなしにこの方法の選択的なタングステンブレーティング製 作を行なうことは、はぼ等しいコンタクト深度で平坦な表面を要求する。また、 その方法は、平坦化の合理的な仕事がなされるという条件で、金属の次の平面に ついて反復され得、そのことは他の方法に比べてこの発明の方法で再びより容易 である。
前述の新しい方法の注目されるべきいくつかの面がある。
第1に、ゲート電極が厚い。したがって、貫通を許容する、結晶粒界の存在のた め、エッチャントによるゲート電極の貫通は全く可能ではない。このことは、ウ ェットエツチング、反応性イオンエツチングなどにずっと敏感な、先行技術の薄 いゲートポリシリコン電極と全く異なる。
第2に、相互接続はすべて自己整列である。1つのマスクがシリコンに対するす べてのコンタクトを規定する。
第3に、1つのマスクの使用の結果として、ソースおよびドレイン領域、チャネ ルコネクタ領域およびチャネルは、各トランジスタにおいてすべて自己整列であ る。
第4に、相互に自己整列の機構を用いて、この発明の方法は、リソグラフィの性 能によってのみ制限される、ずっと小さい寸法までの縮小性が可能である。
最後に、この発明の好ましい方法を利用することの結果は、相互接続およびコン タクトが平坦化されるということである。しかしながら、平坦性は1つの素子か ら次への相互接続、たとえば46cにとって必須ではない。他方、たとえば40 g、46dのようなコンタクトは、完全に平坦化される必要はないが、たとえば 金属層62のような上にある金属層によりそこにコンタクトがなされるためには 、それらは少なくとも部分的に平坦化されなければならない。
この発明の主要な方法のいくつかの修正がなされてもよい。
1つの修正において、!二とえばソース/ドレインコンタクトのために、および 相互接続のために、タングステンがポリシリコンの代わりに使用されてもよい。
もしタングステンが使用されると、その方法は最初にサブストレートを注入する ように修正されなければならず、その後タングステンを生成する。この修正にお いて、たとえばゲートにおいて、使用されるいかなるポリシリコンも、またサブ ストレートの注入の後生成され、その後のどんな注入も、ポリシリコンをドープ することであり、いかなる接合も形成しないことである。ポリシリコンゲートお よびタングステン相互接続の交点は、この交点におけるタングステンポリシリサ イドの形成のため、オーミックであろう。
または、N+およびP+ポリシリコンプラグは、たとえばいたるところにN+ポ リシリコンを生成し、それが望まれないそれらの領域からドープされたポリシリ コンをエッチアウトし、その後生るところにP+ポリシリコンを生成し、ポリッ シュバックすることにより本来の場所に生成され得る。
もう1つの修正では、ゲートポリシリコン40gは、ソースおよびドレインドー ピングと同時にドープされ得る。
しかしながら、そのような修正は、前に論議されたように、P−チャネル素子の 安定性および信頼度に影響する、温度および水素雰囲気の存在のため、人にその 後の方法において注意深くあることを要求する。
上に記述されたように、この発明の方法は、素子の輪郭を描くために、酸化物2 6で充填される、分離溝10の形成で好ましくは始まる。しかしながら、この発 明の方法は、周知のLOGOS方法に完全に取って代わるために最も好ましくは 使用されるが、その方法の種々のエレメントが、の短絡を妨げるために必要とさ れる。
44B15 /?11w −、≦1に一、ゴロ尤今t −a g ts :舎の TF; < I峙 豐この発明の方法にまとめられてもよい。
たとえば、LOCO5方法の変形である、「凹所のある酸化物」機構が、この発 明の溝、または「額縁」機構の代わりに利用されてもよい。この発明の方法と対 照された、代わりの方法は次のとおりである。
扁1に、この発明の方法におけるように、P/Nウェル12の輪郭が描かれる。
次に、しかしながら、第1a図に示されように、「額縁」およびフィールド(相 互接続)領域を規定する、分離マスクを使用する代わりに、従来のしacos方 法に現今用いられているそれと同じ分離マスク80が使用される。その結果は第 158図に描かれ、「可変の幅」額縁技術として見られ得る。
この発明の方法の規定/エッチバックステップにおけるように、エツチングされ た領域10′ はその後酸化物26′で充填され、エッチバックされ、窒化物8 0が剥ぎ取られる。その結果は第16a図に示される。
次の方法ステップにおいて、TEOS酸化物288′が任意に生成される。もし なされると、この酸化物の厚さはずつと薄くなり得、その理由はそれはもはやL OGOS方法のフィールド酸化物ではないからである。厚さは約400から1, 000八に及び得、より低い厚さの値においては、酸化物は生成されるというよ りはむしろ成長させられるのかもしれない。その酸化物は、第10a図における ように、プラグの下の接合にシリサイド(プラグ側壁上の)はプラグ相互接続お よび生成されるべき金属の間の最後の■収りエッ″r入トッノ眉でざ(1夕廖悟 垣り1し収t1−の発明のものと同じであり、下にある酸化物288′層上に窒 化物28b1酸化物28C1およびポリシリコン28d層を備える。その方法は コネクタマスク、エツチング、およびイオン注入の利用まで続けられ、第17a 図に描れる構造のもとになり、それは第9a図に描かれるもの類似する。約40 0人のオーダで薄い酸化物層288′使用する能力は、コネクタ24に対するイ オン注入エネギに関して利点を与えることは注目されるべきである。
相互接続46cに関して記述された代わりの方法は、ブストレートシリコンに対 するずっと下げられたポリシコン相互接続容量を供給するということはまた理解 されであろう。縮められたプラグの高さく約3,000から00人へのTEOS フィールド酸化物288′の削減のめ)は、2つの方法の一方で使用され得、1 、  プラグの高さを約1.2μmから約0.9μmで(自動的に)縮小する、 または 2、 酸化物28a′の厚さを約3.000−400の差だけ増加し、ポリッシ ュ後のプラグの高さがこの発の主要な方法におけるのとおよそ同じになるように する反コンタクトマスク50におけるエッチバックは、二二約4,0OOAから 4,000+ (3,000−400まで、または約7,0OOAまで増加させ られ得る。こしかしフィールドポリシリコン下にフィールド酸化物(または薄い 酸化物)がないので、このことは反コンタクトにおいてもっと多量のエッチバッ クをさせ、それで、下げらか    れた容量を供給し、同時にそれでも2.0 00Å以上のボと    リシリコン相互接続を保持し、ポリシリコンと金属の 間のを    酸化物は厚くなり得ることは理解されるであろう。
ル     この発明の主要な方法により達せられる構造の一部分は第18a図 に描かれ、この代わりの方法により達せられるサ   同じ部分が第19a図に 描かれる。第19a図は凹所を持υ    った酸化物層70を伴なう凹所を持 ったLOCO5機構をる    示し、任意のTEOS層を省く。ポリシリコン 46cと上4    ある金属層62の間の容量は、代わりの方法でずつと下げ た    られ、性能の利点を与えるということは理解されるであろう。
ま     最後に、コネクタマスクの整列は、凹所のあるLOCO8方法また は同様の方法にとってずっとより重要でない。
人   主要な方法において、コネクタマスクは、額縁酸化物26明   の内 側で整列させられなければならない。代わりの方法において、整列に関してずっ と沢山の自由があり、その理由で   はフィールド酸化物288′はここで素 子間で連続的であ入)   るからである。
れ     LOCOS方法の他の修正が、この発明のいくらかのまたはすべて の教示を組入れるためになされてもよいということは当業者に明らかであるであ ろう。さらに、その開示は電界効果トランジスタに向けられてきたが、この発明 の教示はまたバイポーラトランジスタに、およびFET5およびバイポーラ素子 の組合わせにも適用できる。
しかしながら、LOGOS方法はその「バーズ・ピーク」問題で有名であり、こ の発明の主要な方法で達せられるかもしれない平坦さは、それほどよくないであ ろうことは思い起こされるべきである。平坦さは、もしLOGOS方法が「凹所 のあるLOCOSJとして知られる上に記述された修正を使用することにより利 用されると、改善されるかもしれない。いずれにしても、この発明の方法は、L OGO8方法または修正されたLOGOS方法に比べて素子のより高度の詰めこ み密度を提供するであろうということは注目されるべきである。
この発明の好ましい実施例の前述の記述は、図解および記述の目的で提示された 。あますところのないこと、または発明を開示された正にその形に制限すること は意図されていない。明らかに、多くの修正および変形がこの技術の熟達した実 務家に明らかであろう。この発明は、MOSまたはバイポーラまたは他の方法の 他の製作技術において実施されるかもしれないことはあり得る。同様に、同じ結 果を遂げるために、記述されたいかなる方法ステップも、他のステップとI換で きるかもしれない。その実施例は、この発明の原理、および実際的な適用を最も 良く説明するために、選択され、記述され、それによって当業者が、種々の実施 例について、および企図される個々の使用目的に適合するような種々の修正で、 この発明を理解することを可能にする。この発明の範囲は、ここに添付の請求の 範囲、およびその均等物により規定されるということが意図される。
FIG、15A ANY  REFERENCE  T。
(See Article 14(2))H01L  27108   321   F

Claims (1)

  1. 【特許請求の範囲】 1.それにコンタクトを有するエレメントを含む半導体素子において導電性材料 を含む相互接続であって、前記エレメントに対する前記相互接続の自己整列を特 徴とする相互接続。 2.前記相互接続はさらに平坦さを特徴とする、請求項1に記載の相互接続。 3.ポリシリコンまたはタングステンを含む、請求項1に記載の相互接続。 4.前記ポリシリコンがドープされないポリシリコン、n−ドープされたポリシ リコン、またはp−ドープされたポリシリコンを含む、請求項3に記載の相互接 続。 5.シリサイド化されたポリシリコンを含む、請求項4に記載の相互接続。 6.それにコンタクトを有するソース、ゲートおよびドレイン領域を含む電界効 果トランジスタにおいて導電性材料を含む相互接続であって、 前記コンタクトに対する前記相互接続の自己整列を特徴とする相互接続。 7.前記相互接続が平坦性を有する、請求項6に記載の相互接続。 8.ポリシリコンまたはタングステンを含む、請求項6に記載の相互接続。 9.前記ポリシリコンがドープされないポリシリコン、n−ドープされたポリシ リコン、またはp−ドープされたポリシリコンを含む、請求項8に記載の相互接 続。 10.シリサイド化されたポリシリコンを含む、請求項9に記載の相互接続。 11.それにコンタクトを有するソース、ゲートおよびドレイン領域を含むCM OS素子においてポリシリコンを含む相互接続であって、 前記コンタクトに対する前記相互接続の自己整列、および平坦性を特徴とする相 互接続。 12.前記ポリシリコンがドープされないポリシリコン、n−ドープされたポリ シリコン、またはp−ドープされたポリシリコンを含む、請求項11に記載の相 互接続。 13.シリサイドイヒされたポリシリコンを含む、請求項12に記載の相互接続 。 14.半導体材料の主表面に形成される半導体素子における相互接続を形成する ための、および前記相互接続を前記素子のエレメントに整列するための方法であ って、(a)その範囲内に個々の素子が、前記サブストレートに酸化物領域を形 成することによって作られる活性領域を描くことと、 (b)前記半導体表面上に多層構造を形成することとを含み、前記多層構造は少 なくとも1つのエッチストップ層を含み、さらに (c)前記素子の種々のエレメントに対応する複数個のスロットをリソグラフィ 的に規定するために前記多層構造上に形成されるレジスト層に関連してスロット マスクを使用し、それによって前記エレメントをお互いに自己整列することと、 (d)前記エッチストップ層で止まる、前記スロットに対応する前記多層構造の 部分をエッチングすることと、(e)前記レジスト層を剥ぎ取ることと、(f) 個々の素子と関連した前記スロットの1群を取囲む活性領域のみを規定するため に前記多層構造上に形成されるもう1つのレジスト層と関連して活性マスクを用 いることと、 (g)前記スロットにおける前記多層構造のいかなる残余の部分も除去すること と、 (h)導電性プラグを形成するために導電性材料で前記スロツトを充填すること とを含む方法。 15.前記多層構造が、 (a)フィールド酸化物から本質的になる第1の層と、(b)前記フィールド酸 化物とはかなり違ったエッチレートを有するエッチストップ材料から本質的にな る第2の層と、 (c)酸化物から本質的になる第3の層と、(d)ポリシリコンまたは窒化シリ コンから本質的になる第4の層とを含む、請求項14に記載の方法。 16.前記導電性材料がポリシリコンまたはタングステンを含む、請求項15に 記載の方法。 17.前記相互接続を前記活性領域に接続するために前記ポリシリコンが充填さ れたスロットの中にドーパントの種類を注入することをさらに含む、請求項16 に記載の方法。 18.それの導電率を変化するために前記ポリシリコンプラグの少なくともいく つかにドーピングすることをさらに含む、請求項16に記載の方法。 19.前記相互接続を前記多層構造の表面に平坦化することをさらに含む、請求 項15に記載の方法。 20.半導体の主表面に形成される電界効果トランジスタにおいて自己整列した 平坦化された相互接続を形成するための方法であって、ソース、ゲートおよびド レインエレメントが形成されることになっていて、(a)その範囲内に個々の素 子が、前記サブストレートに酸化物領域を形成することによって作られる活性領 域を描くことと、 (b)前記半導体表面上に多層構造を形成することとを含み、前記多層構造が少 なくとも1つのエッチストップ層を含み、さらに (c)前記素子の種々のエレメントに対応する複数個のスロットをリソグラフィ 的に規定するために前記多層構造上に形成されるレジスト層に関連してスロット マスクを使用し、それによって前記エレメントをお互いに自己整列することと、 (d)前記エッチストップ層で止まる、前記スロットに対応する前記多層構造の 部分をエッチングすることと、(e)前記レジスト層を剥ぎ取ることと、(f) 個々の素子と関連した前記スロットの1群を取囲む前記活性領域のみを規定する ために前記多層構造上に形成されるもう1つのレジスト層と関連して活性マスク を使用することと、 (g)その中の前記フィールド酸化物と一緒に、前記スロットの中の前記エッチ ストップ層のいかなる残余の部分も除去することと、 (h)前記素子の前記ゲートに関連した前記スロットの中の前記半導体の露出し た部分の上に薄い酸化物を形成することと、 (i)前記スロットの下にある領域にイオンを注入することにより、導電性プラ グを形成するために導電性材料で前記スロットを充填すること、および前記サブ ストレートにN領域およびP領域を形成することと、(j)前記素子の前記ソー スおよびドレインに関連した前記導電性スロットの中にドーパントの種類を注入 することと、 (j)それの導電率を変化するために前記ポリシリコンプラグの少なくともいく つかをドーピングすることとを含む方法。 21.前記多層構造が、 (a)フィールド酸化物から本質的になる第1の層と、(b)前記フィールド酸 化物とかなり違ったエッチレートを有するエッチストップ材料から本質的になる 第2の層と、 (c)酸化物から本質的になる第3の層と、(d)前記フィールド酸化物とかな り違ったエッチレートを有する材料から本質的になる第4の層とを含む、請求項 20に記載の方法。 22.前記第4の層がポリシリコンまたは窒化シリコンからなる群から選択され た材料を含む、請求項21に記載の方法。 23.前記導電性材料がポリシリコンおよびタングステンからなる群から選択さ れた材料を含む、請求項20に記載の方法。 24.前記導電性材料がポリシリコンから本質的になり、および前記スロットが 前記ポリシリコンで充填され、および前記N領域および前記P領域が前記スロッ トの中の前記ポリシリコンの中にイオンを注入することにより前記サブストレー トに形成される、請求項23に記載の方法。 25.前記導電性材料がタングステンから本質的になり、前記N領域および前記 P領域が前記スロットを通してその中にイオンを注入することにより前記サブス トレートに形成される、および前記スロットがその後前記タングステンで充填さ れる、請求項20に記載の方法。 26.前記スロットを前記導電性材料で充填した後、前記導電性材料が前記多層 構造の表面に平坦化される、請求項20に記載の方法。 27.N−ウェルおよびP−ウェルが半導体の主表面に形成され、およびソース 、ゲートおよびドレインエレメントが形成されることになっているCMOS素子 において自己整列した平坦化された相互接続を形成するための方法であって、 (a)その範囲内で個々の素子が、前記サブストレートに酸化物領域を形成する ことによって作られる活性領域を描くことと、 (b)前記半導体表面上に多層構造を形成することとを含み、前記多層構造は、 (1)フィールド酸化物から本質的になる第1の層と、 (2)前記フィールド酸化物とかなり違ったエッチレートを有するエッチストッ プ材料から本質的になる第2の層と、 (3)酸化物から本質的になる第3の層と、(4)ポリシリコンまたは窒化シリ コンから本質的になる第4の層とを含み、さらに (b)前記素子の種々のエレメントに対応する複数個のスロットをリソグラフィ 的に規定するために前記多層構造上に形成されるレジスト層に関連してスロット マスクを用い、それによって前記エレメントをお互いに自己整列することと、 (c)前記エッチストップ層で止まる、前記スロットに対応する前記第4および 第3の部分をエッチングすることと、 (d)前記レジスト層を剥ぎ取ることと、(e)個々の素子と関連した前記スロ ットの1群を取囲む前記活性領域のみを規定するために前記多層構造上に形成さ れるもう1つのレジスト層と関連して活性マスクを用いることと、 (f)その中の前記フィールド酸化物と一緒に、前記スロットの中の前記エッチ ストップ層のいかなる残余の部分も除去することと、 (g)前記素子の前記ゲートと関連した前記スロットの中の前記半導体の露出し た部分の上に薄い酸化物を形成することと、 (h)ポリシリコンプラグを形成するために前記スロットをポリシリコンで充填 すること、および前記多層構造の表面に平坦化することと、 (i)前記素子の前記ソースおよびドレインと関連した前記ポリシリコンを充填 されたスロットの中にドーパントの種類を注入することと、 (j)それの導電率を変更するために前記ポリシリコンプラグの少なくともいく つかをドーピングすることとを含む方法。
JP1500618A 1987-12-02 1988-12-02 半導体素子のための自己整列した相互接続 Pending JPH02502414A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12800287A 1987-12-02 1987-12-02
US128,002 1987-12-02

Publications (1)

Publication Number Publication Date
JPH02502414A true JPH02502414A (ja) 1990-08-02

Family

ID=22433098

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1500618A Pending JPH02502414A (ja) 1987-12-02 1988-12-02 半導体素子のための自己整列した相互接続

Country Status (4)

Country Link
US (1) US4974055A (ja)
EP (1) EP0344277A4 (ja)
JP (1) JPH02502414A (ja)
WO (1) WO1989005519A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5081516A (en) * 1987-12-02 1992-01-14 Advanced Micro Devices, Inc. Self-aligned, planarized contacts for semiconductor devices
US5200635A (en) * 1988-12-21 1993-04-06 Hitachi, Ltd. Semiconductor device having a low-resistivity planar wiring structure
US5571738A (en) * 1992-09-21 1996-11-05 Advanced Micro Devices, Inc. Method of making poly LDD self-aligned channel transistors
US5439831A (en) * 1994-03-09 1995-08-08 Siemens Aktiengesellschaft Low junction leakage MOSFETs
US5380671A (en) * 1994-06-13 1995-01-10 United Microelectronics Corporation Method of making non-trenched buried contact for VLSI devices
TW290717B (en) * 1994-10-28 1996-11-11 Advanced Micro Devices Inc Method to prevent formation of defects during multilayer interconnect processing
US5471093A (en) * 1994-10-28 1995-11-28 Advanced Micro Devices, Inc. Pseudo-low dielectric constant technology
US5534731A (en) * 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
US5559055A (en) * 1994-12-21 1996-09-24 Advanced Micro Devices, Inc. Method of decreased interlayer dielectric constant in a multilayer interconnect structure to increase device speed performance
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
US6165895A (en) * 1999-06-28 2000-12-26 United Semiconductor Corp. Fabrication method of an interconnect
US6266281B1 (en) 2000-02-16 2001-07-24 Advanced Micro Devices, Inc. Method of erasing non-volatile memory cells
US6243300B1 (en) 2000-02-16 2001-06-05 Advanced Micro Devices, Inc. Substrate hole injection for neutralizing spillover charge generated during programming of a non-volatile memory cell
US6215702B1 (en) 2000-02-16 2001-04-10 Advanced Micro Devices, Inc. Method of maintaining constant erasing speeds for non-volatile memory cells
US7667242B1 (en) 2006-10-23 2010-02-23 Northrop Grumman Systems Corporation Systems and methods for maximizing breakdown voltage in semiconductor devices
US7557046B1 (en) 2006-10-23 2009-07-07 Northrop Grumman Systems Corporation Systems and methods for interconnect metallization using a stop-etch layer

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3388000A (en) * 1964-09-18 1968-06-11 Texas Instruments Inc Method of forming a metal contact on a semiconductor device
JPS6041470B2 (ja) * 1976-06-15 1985-09-17 松下電器産業株式会社 半導体装置の製造方法
US4135954A (en) * 1977-07-12 1979-01-23 International Business Machines Corporation Method for fabricating self-aligned semiconductor devices utilizing selectively etchable masking layers
NL190710C (nl) * 1978-02-10 1994-07-01 Nec Corp Geintegreerde halfgeleiderketen.
JPS54140483A (en) * 1978-04-21 1979-10-31 Nec Corp Semiconductor device
JPS55163860A (en) * 1979-06-06 1980-12-20 Toshiba Corp Manufacture of semiconductor device
US4447824A (en) * 1980-08-18 1984-05-08 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
US4374700A (en) * 1981-05-29 1983-02-22 Texas Instruments Incorporated Method of manufacturing silicide contacts for CMOS devices
US4600624A (en) * 1983-09-20 1986-07-15 International Business Machines Corporation Composite insulator structure
US4541893A (en) * 1984-05-15 1985-09-17 Advanced Micro Devices, Inc. Process for fabricating pedestal interconnections between conductive layers in an integrated circuit
US4692786A (en) * 1985-02-07 1987-09-08 Lindenfelser Timothy M Semi-conductor device with sandwich passivation coating
US4764484A (en) * 1987-10-08 1988-08-16 Standard Microsystems Corporation Method for fabricating self-aligned, conformal metallization of semiconductor wafer

Also Published As

Publication number Publication date
EP0344277A1 (en) 1989-12-06
US4974055A (en) 1990-11-27
EP0344277A4 (en) 1990-05-14
WO1989005519A1 (en) 1989-06-15

Similar Documents

Publication Publication Date Title
US6353249B1 (en) MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US7045413B2 (en) Method of manufacturing a semiconductor integrated circuit using a selective disposable spacer technique and semiconductor integrated circuit manufactured thereby
US6268637B1 (en) Method of making air gap isolation by making a lateral EPI bridge for low K isolation advanced CMOS fabrication
US6252277B1 (en) Embedded polysilicon gate MOSFET
US5777370A (en) Trench isolation of field effect transistors
US4977108A (en) Method of making self-aligned, planarized contacts for semiconductor devices
US5081516A (en) Self-aligned, planarized contacts for semiconductor devices
US5028555A (en) Self-aligned semiconductor devices
JPH11224949A (ja) サブミクロン金属ゲートmosトランジスタおよびその形成方法
JPS6232630A (ja) コンタクトプラグの形成方法
JPH02502414A (ja) 半導体素子のための自己整列した相互接続
JP2000082682A (ja) 半導体―絶縁層の製造方法及びそれを有する素子の製造方法
US6214656B1 (en) Partial silicide gate in sac (self-aligned contact) process
US4964143A (en) EPROM element employing self-aligning process
EP0344292B1 (en) A process of fabricating self-aligned semiconductor devices
US5055427A (en) Process of forming self-aligned interconnects for semiconductor devices
US6784073B1 (en) Method of making semiconductor-on-insulator device with thermoelectric cooler
US5057902A (en) Self-aligned semiconductor devices
KR100470292B1 (ko) 강유전체 메모리 트랜지스터의 제조방법
US5894169A (en) Low-leakage borderless contacts to doped regions
JPH08264789A (ja) 絶縁ゲート半導体装置および製造方法
US6569735B2 (en) Manufacturing method for isolation on non-volatile memory
KR100311842B1 (ko) 컨택트 형성 방법 및 반도체 장치
US6060376A (en) Integrated etch process for polysilicon/metal gate
JPH02502415A (ja) 半導体素子のための自己整列した、平坦化されたコンタクト