JPH02125447A - Semiconductor device and manufacture thereof - Google Patents

Semiconductor device and manufacture thereof

Info

Publication number
JPH02125447A
JPH02125447A JP15351689A JP15351689A JPH02125447A JP H02125447 A JPH02125447 A JP H02125447A JP 15351689 A JP15351689 A JP 15351689A JP 15351689 A JP15351689 A JP 15351689A JP H02125447 A JPH02125447 A JP H02125447A
Authority
JP
Japan
Prior art keywords
film
oxidation
semiconductor device
forming
metal wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP15351689A
Other languages
Japanese (ja)
Inventor
Shigeru Kawamata
川又 繁
Atsushi Numata
敦 沼田
Michio Ogami
大上 三千男
Yutaka Misawa
三沢 豊
Naohiro Monma
直弘 門馬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi Power Semiconductor Device Ltd
Original Assignee
Hitachi Ltd
Hitachi Haramachi Electronics Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi Haramachi Electronics Ltd filed Critical Hitachi Ltd
Publication of JPH02125447A publication Critical patent/JPH02125447A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Abstract

PURPOSE:To improve adhesive properties of Cu wirings with an insulating film and to prevent the wirings from oxidizing by covering the surface of the wirings with a conductive oxidation preventive film. CONSTITUTION:The surface of a semiconductor substrate 1 formed with a buffer film 4 is coated with a conductive oxidation preventive film (barrier metal film) which has a function of the conductive oxidation preventive film made of Mo, etc., to form a barrier metal film 5-1. Then, a Cu film 6 is formed on the film 5-1, and exposed with vapor of benzotriazole to form an oxidation preventive film 7-1 of a several-molecular layer thereon. Thereafter, a wiring pattern is formed with resist 8 therein. Subsequently, the films 7-1, 6 and 5-1 are sequentially etched from the surface by ion beam etching until arriving at the film 4, the film 4 and the resist 8 are thereafter removed to form Cu wirings 6-1. Then, the side face of the wirings 6-1 is further covered with the film 7-1 by a similar method to completely cover the wirings 6-1 with the film 7-1.

Description

【発明の詳細な説明】 (産業上の利用分野) 本発明はLSIなどの半導体装置およびその製造方法に
係り、特にCuなどのように、絶縁膜との接着性が悪く
、かつ酸化され易く、しかも化合物の蒸気圧が高い金属
を配線材料として用いた半導体装置およびその製造方法
に関する。
DETAILED DESCRIPTION OF THE INVENTION (Industrial Application Field) The present invention relates to semiconductor devices such as LSIs and methods of manufacturing the same, and particularly relates to semiconductor devices such as LSIs, which have poor adhesion to insulating films and are easily oxidized, such as Cu. Moreover, the present invention relates to a semiconductor device using a metal whose compound has a high vapor pressure as a wiring material, and a method for manufacturing the same.

(従来の技術) 近年、LSIの高集積化に伴って電極配線の微細化が進
められているが、電極配線が微細になると電流密度が増
大し、耐エレクトロマイグレーション性が低下する。ま
た、微細になった配線はパッシベーション膜による応力
で断線し易くなり、耐ストレスマイグレーション性も低
下する。
(Prior Art) In recent years, as LSIs have become more highly integrated, electrode wiring has become finer. However, as the electrode wiring becomes finer, current density increases and electromigration resistance deteriorates. Furthermore, finer interconnects are more likely to break due to stress caused by the passivation film, and stress migration resistance is also reduced.

従来、LSIの配線材料には一般にAl系合金が用いら
れてきたが、該Al系合金では微細化による前記問題を
解決できない。そこで、最近ではAl系合金に比べて電
気抵抗が約2/3と低く、耐マイグレーション性が約2
桁高いCu系合金が新しい配線材料として注目されてい
る。
Conventionally, Al-based alloys have generally been used as wiring materials for LSIs, but these Al-based alloys cannot solve the problems described above due to miniaturization. Therefore, recently, the electrical resistance is about 2/3 lower than that of Al-based alloys, and the migration resistance is about 2 times lower than that of Al-based alloys.
Cu-based alloys, which are of an order of magnitude higher, are attracting attention as new wiring materials.

(発明が解決しようとする課題) 上記したCu系合金は様々な効果をもたらすものの、こ
れをLSIの配線に適用するに当っては解決しなければ
ならない課題がいくつかある。
(Problems to be Solved by the Invention) Although the above-mentioned Cu-based alloy brings about various effects, there are some problems that must be solved when applying it to LSI wiring.

第1の問題点はCuと絶縁膜との接着性が悪いことであ
る。
The first problem is that the adhesion between Cu and the insulating film is poor.

すなわち、LSI等の高集積半導体装置では多層配線が
施され、配線間にはS io 2等から成る層間絶縁膜
が形成される。ところが、CuはA!に比べて層間絶縁
膜との接着性が悪いために、配線が剥離し易いという問
題が発生する。
That is, in a highly integrated semiconductor device such as an LSI, multilayer wiring is provided, and an interlayer insulating film made of S io 2 or the like is formed between the wirings. However, Cu is A! Since the adhesion with the interlayer insulating film is poor compared to that of the conventional method, a problem arises in that the wiring is easily peeled off.

第2の問題点はCuが酸化および腐食され易いことであ
る。
The second problem is that Cu is easily oxidized and corroded.

すなわち、Cu薄膜は約100℃の大気中で内部まで容
易に酸化される。このため、LSIの配線形成プロセス
で広く行われている100℃から450℃での前処理や
アニール等の際にに、Cuが酸素に触れないように不活
性ガス雰囲気で実施することになる。ところが、このよ
うな酸素フリーの高純度ガスを用いて酸素の混入を防止
する雰囲気の管理は難しい。
That is, the Cu thin film is easily oxidized to the inside in the atmosphere at about 100°C. For this reason, pretreatment and annealing at 100° C. to 450° C., which are widely performed in the LSI wiring formation process, are performed in an inert gas atmosphere to prevent Cu from coming into contact with oxygen. However, it is difficult to control an atmosphere that prevents oxygen from being mixed in using such oxygen-free, high-purity gas.

さらに、層間絶縁膜として、酸素雰囲気で形成するS 
io 2膜を使用できず、またレジスト除去に酸素プラ
ズマアッシャ−を使用できないために、配線の信頼性が
低下し、製品のコストが高くなる問題があった。
Furthermore, as an interlayer insulating film, S is formed in an oxygen atmosphere.
Since the IO 2 film cannot be used and the oxygen plasma asher cannot be used to remove the resist, there is a problem that the reliability of the wiring is lowered and the cost of the product is increased.

第3の問題点はCuがエツチングしにくいことである。The third problem is that Cu is difficult to etch.

すなわち、Cuはハロゲン化合物の蒸気圧が低いため、
Al系合金の加工に用いられる反応性ドライエツチング
が使えない。このため、Cu配線の加工には過硫酸アン
モニウムによるウェットエツチングか、物理的に加工す
るイオンビームエツチング等が用いられる。
In other words, since Cu has a low vapor pressure of a halogen compound,
Reactive dry etching, which is used for processing Al-based alloys, cannot be used. For this reason, wet etching using ammonium persulfate or ion beam etching for physically processing is used to process the Cu wiring.

ところが、ウェットエツチングの加工寸法は3μmが限
界であり、LSIには使用できない。また、イオンビー
ムエツチングでに、 (1)加工後の断面形状がテーパ付きになり微細加工が
困難である、 (2)イオン損傷によるダメージが大きい(3)Cuと
絶縁膜との選択比が小さいために絶縁膜がオーバエツチ
ングされて薄くなる、(4)エツチングの終点判定が難
しい等の問題があった。
However, the processing size of wet etching is limited to 3 μm and cannot be used for LSI. In addition, ion beam etching has the following problems: (1) The cross-sectional shape after processing becomes tapered, making microfabrication difficult. (2) There is large damage due to ion damage. (3) The selectivity ratio between Cu and the insulating film is small. Therefore, there are problems such as over-etching of the insulating film and making it thinner, and (4) difficulty in determining the end point of etching.

本発明の目的に、このような問題を解決するためになさ
れたもので、Cu配線と絶縁膜との接着性を向上させ、
かつCu配線が酸化されるのを防止すると共に、加工ダ
メージの少ない微細配線を容易に形成できる信頼性の優
れた半導体装置とその製造方法を提供することにある。
The purpose of the present invention was to solve such problems by improving the adhesion between the Cu wiring and the insulating film,
Another object of the present invention is to provide a highly reliable semiconductor device that can prevent Cu wiring from being oxidized and easily form fine wiring with little processing damage, and a method for manufacturing the same.

(課題を解決するための手段) 上記した問題点を解決するために、本発明に、表面に半
導体素子が形成された半導体基体と、該半導体素子と外
部配線とを互いに接続するための少なくとも銅を含有す
る金属配線とを具備した半導体装置において、該金属配
線の表面を導電性酸化防止膜で覆った点に特徴がある。
(Means for Solving the Problems) In order to solve the above-mentioned problems, the present invention provides a semiconductor substrate having a semiconductor element formed on its surface, and at least copper for connecting the semiconductor element and external wiring to each other. A semiconductor device equipped with a metal wiring containing a metal wiring is characterized in that the surface of the metal wiring is covered with a conductive anti-oxidation film.

さらに、その製造方法として、半導体基板の表面に形成
される絶縁膜の表面であって、金属配線が形成される領
域以外の領域に第1の緩衝膜を形成する工程と、全面に
金属薄膜を形成する工程と、該金属薄膜の全面に酸化防
止膜を形成する工程と、前記金属薄膜のうち、金属配線
となる領域を覆うように、前記酸化防止膜の表面に第2
の緩衝膜を形成する工程とを有し、半導体基体の表面か
らのエツチングを、前記第1の緩衝膜が露出するまで行
うようにした点に特徴がある。
Furthermore, the manufacturing method includes a step of forming a first buffer film on the surface of the insulating film formed on the surface of the semiconductor substrate in an area other than the area where the metal wiring is formed, and a step of forming a metal thin film on the entire surface. forming a second oxidation prevention film on the entire surface of the metal thin film, and forming a second oxidation prevention film on the surface of the oxidation prevention film so as to cover the area of the metal thin film that will become the metal wiring.
The method is characterized in that etching is performed from the surface of the semiconductor substrate until the first buffer film is exposed.

(作用) 上記したように、金属配線の全面を導電性の酸化防止膜
で覆ったので、金属配線と絶縁膜との接着性が向上し、
かつ耐マイグレーション性の高い、Cu等の酸化されや
すい金属を半導体装置の配線材として使用することがで
きるようになり、半導体装置の信頼性を向上させること
ができるようになる。
(Function) As mentioned above, since the entire surface of the metal wiring is covered with a conductive oxidation prevention film, the adhesion between the metal wiring and the insulating film is improved.
In addition, it becomes possible to use a metal that is easily oxidized, such as Cu, which has high migration resistance, as a wiring material for a semiconductor device, and it becomes possible to improve the reliability of the semiconductor device.

しかも、酸素を含んだ雰囲気中でも加熱処理を行うこと
ができるようになるので、製造装置およびその維持管理
を罠雑にすることが無い。
Moreover, since the heat treatment can be performed even in an atmosphere containing oxygen, the production equipment and its maintenance and management will not be complicated.

さらに、緩衝膜を形成することによって、イオンビーム
エツチング等の物理的エツチングを、半導体基体に損傷
を与えることなく行うことができるようになるので、金
属薄膜の精密な加工が可能となる。
Further, by forming the buffer film, physical etching such as ion beam etching can be performed without damaging the semiconductor substrate, so that precise processing of the metal thin film becomes possible.

したがって、微細な配線パターンを形成することが可能
となり、集積度の高い半導体装置を提供することができ
るようになる。
Therefore, it becomes possible to form fine wiring patterns, and it becomes possible to provide a semiconductor device with a high degree of integration.

(実施例) 以下、本発明の実施例を図を用いて説明する。(Example) Embodiments of the present invention will be described below with reference to the drawings.

本実施例ではトランジスタ等の半導体素子が形成された
半導体装置において、該半導体素子と外部配線とを継ぐ
高信頼性の配線構造について述べる。
In this embodiment, a highly reliable wiring structure connecting the semiconductor element and external wiring in a semiconductor device in which a semiconductor element such as a transistor is formed will be described.

[第1実施例] 第1図において、半導体素子が形成された半導体基板1
の表面にS iO2絶縁膜3を被着した後、リソグラフ
ィ技術によって半導体素子の拡散層2とのコンタクト領
域に所定のパターンを形成する。
[First Example] In FIG. 1, a semiconductor substrate 1 on which a semiconductor element is formed
After depositing the SiO2 insulating film 3 on the surface of the semiconductor element, a predetermined pattern is formed in the contact region with the diffusion layer 2 of the semiconductor element by lithography.

次に、反応性イオンエツチングにより前記S io 2
絶縁膜3の所定の箇所をエツチングしてコンタクト孔1
5を形成する。
Next, by reactive ion etching, the S io 2
Contact hole 1 is formed by etching a predetermined portion of insulating film 3.
form 5.

次に、コンタクト孔15が形成された5i02絶縁膜3
の表面に緩衝膜となるレジストを塗布し、金属配線が形
成される領域のレジストをリソグラフィ技術によって除
去して緩衝膜4を形成する。
Next, the 5i02 insulating film 3 in which the contact hole 15 was formed
A resist serving as a buffer film is applied to the surface of the buffer film 4, and the resist in areas where metal wiring is to be formed is removed by lithography to form a buffer film 4.

緩衝膜4の厚さは配線材料をエツチングする際のオーバ
エツチングに耐える厚さであれば良い【同図(a)〕。
The thickness of the buffer film 4 may be sufficient as long as it can withstand over-etching when etching the wiring material [FIG. 4(a)].

次に、緩衝膜4が形成された半導体基板1の表面に、M
o等から成り導電性の酸化防止膜として機能する導電性
酸化防止膜(以下、バリアメタル膜と表現する場合もあ
る)をスパッタ法で厚さ50nm被着してバリアメタル
膜5−1を形成する。なお、バリアメタル膜5−1は緩
衝膜4の形成前に被着しても良い。
Next, on the surface of the semiconductor substrate 1 on which the buffer film 4 was formed, M
A barrier metal film 5-1 is formed by depositing a conductive oxidation film (hereinafter also referred to as a barrier metal film) to a thickness of 50 nm by sputtering, which functions as a conductive oxidation prevention film and is made of a material such as do. Note that the barrier metal film 5-1 may be deposited before the buffer film 4 is formed.

次に、バリアメタル膜5−1の表面にCuをスパッタで
厚さ800nm彼着してCu膜6を形成する。このよう
にして形成されたCu膜6をベンゾトリアゾール、又は
アゾ基若しくはアミノ基を有する芳香族アミン系有機物
の蒸気あるいは液体中にさらすことによってCuと反応
させ、その表面に少なくとも数分子局の厚さから成る重
合体である酸化防止膜7−1を形成する〔同図(b)〕
Next, Cu is deposited on the surface of the barrier metal film 5-1 to a thickness of 800 nm by sputtering to form a Cu film 6. The Cu film 6 thus formed is exposed to a vapor or liquid of benzotriazole or an aromatic amine-based organic substance having an azo group or an amino group to react with Cu, and the surface of the Cu film 6 is coated with a thickness of at least several molecules. An anti-oxidation film 7-1 is formed, which is a polymer consisting of
.

その後、酸化防止膜7−1の表面にリングラフィ技術に
よって配線パターンをレジスト8で形成する〔同図(C
)〕。
Thereafter, a wiring pattern is formed using a resist 8 on the surface of the oxidation prevention film 7-1 by phosphorography technique [FIG.
)].

次に、イオンビームエツチングにより表面から酸化防止
膜7−1、Cu膜6、およびバリアメタル膜5−1を緩
衝膜4に達するまで順次エツチングし、その後、レジス
トから成る緩衝膜4、レジスト8を除去してCu配線6
−1を形成する〔同図(d)〕。
Next, the anti-oxidation film 7-1, the Cu film 6, and the barrier metal film 5-1 are sequentially etched from the surface by ion beam etching until they reach the buffer film 4, and then the buffer film 4 made of resist and the resist 8 are etched. Remove Cu wiring 6
-1 [Figure (d)].

本実施例では緩衝膜4にレジストを用いたが、ポリイミ
ド系有機物を用いても良い。なお、ポリイミドを用いた
場合はそのまま残しても良い。
In this embodiment, a resist is used for the buffer film 4, but a polyimide-based organic material may also be used. Note that if polyimide is used, it may be left as is.

その後、加工されたCu配線6−1の側面にも酸化防止
膜7−1を前記と同様な方法でさらに被着し、Cu配線
6−1を酸化防止膜7−1で完全に覆う。
Thereafter, an anti-oxidation film 7-1 is further applied to the side surface of the processed Cu wiring 6-1 in the same manner as described above, and the Cu wiring 6-1 is completely covered with the anti-oxidation film 7-1.

次に、半導体基板1の表面に生じた凹部にポリイミド系
有機物、SOG膜、マイクロ波プラズマCVD膜、およ
びRFプラズマCVD膜のいづれかの単層又はこれらの
積層物から成る平坦化膜9を被着して表面をほぼ平坦化
した後、S r 02 。
Next, a flattening film 9 made of a single layer of a polyimide-based organic material, an SOG film, a microwave plasma CVD film, and an RF plasma CVD film or a laminate thereof is deposited on the recesses formed on the surface of the semiconductor substrate 1. After substantially flattening the surface, S r 02 was applied.

Si3N4又はポリイミド系有機物等から成る保護膜あ
るいは層間絶縁膜10−1を被着することにより高信頼
性の配線構造を有する半導体装置を得ることができる〔
同図(0)〕。なお、前記SiO□、S ia N4 
If!に、化学量論的組成以外の膜であっても良い。
A semiconductor device having a highly reliable wiring structure can be obtained by depositing a protective film or interlayer insulating film 10-1 made of Si3N4 or a polyimide organic material.
Same figure (0)]. Note that the SiO□, S ia N4
If! Additionally, the film may have a composition other than the stoichiometric composition.

また、本実施例では酸化防止膜7−1がCuと有機物と
の重合体で形成されているため合金化反応がなく、抵抗
値の低いCu配線を得ることができる。なお、本実施例
では一層目の配線の形成方法についてのみ説明したが、
上記した処理を繰り返せば、本発明が多層配線にも適用
できることは明らかであろう。
Furthermore, in this embodiment, since the anti-oxidation film 7-1 is formed of a polymer of Cu and an organic substance, there is no alloying reaction, and a Cu wiring having a low resistance value can be obtained. Note that in this example, only the method for forming the first layer wiring was explained.
By repeating the above-described process, it will be clear that the present invention can be applied to multilayer wiring.

[第2実施例] 本発明の第2実施例を第2図を用いて説明する。[Second example] A second embodiment of the present invention will be described with reference to FIG.

なお、同図において第1図と同一符号は同−又は同等物
を示す。
In this figure, the same reference numerals as in FIG. 1 indicate the same or equivalent parts.

本実施例は2層のCu配線6−1.6−2の上、下にバ
リアメタル膜5−1〜5−4を形成し、各上側バリアメ
タル膜5−2.5−4の表面をS is N 4から成
る酸化防止膜7−1.7−2で覆った配線構造である。
In this embodiment, barrier metal films 5-1 to 5-4 are formed above and below the two-layer Cu wiring 6-1, 6-2, and the surface of each upper barrier metal film 5-2, 5-4 is This is a wiring structure covered with an oxidation prevention film 7-1 and 7-2 made of S is N4.

なお、後にCu配線6−1となるCu膜6を形成するま
での工程は前記第1実施例と同じであるので、その説明
は省略する。
Note that the steps up to the formation of the Cu film 6, which will later become the Cu wiring 6-1, are the same as those in the first embodiment, so a description thereof will be omitted.

同図において、Cu膜6を形成後、該Cu膜6の表面に
MOから成るバリアメタル膜5−2を接着する。このバ
リアメタル膜5−2に、後述するように5t3N4より
成る酸化防止膜7−1とCu膜6との反応を防止する膜
であるが、酸化防止膜としての作用もあり、他にCu膜
6と酸化防止膜7−1との接着強度を高める効果もある
In the figure, after forming a Cu film 6, a barrier metal film 5-2 made of MO is adhered to the surface of the Cu film 6. This barrier metal film 5-2 is a film that prevents the reaction between the anti-oxidation film 7-1 made of 5t3N4 and the Cu film 6, as will be described later. It also has the effect of increasing the adhesive strength between 6 and the antioxidant film 7-1.

次に、バリアメタル膜5−1.5−2とCu膜6とをイ
オンビームエツチング装置でエツチングしてCu配線6
−1を形成する。
Next, the barrier metal film 5-1, 5-2 and the Cu film 6 are etched using an ion beam etching device to etch the Cu wiring 6.
-1 is formed.

その後、少なくともCu配線6−1の側面を含む半導体
基板1の表面にプラズマCVDでSi3N4から成る酸
化防止膜7−1を接着する。
Thereafter, an anti-oxidation film 7-1 made of Si3N4 is bonded to the surface of the semiconductor substrate 1, including at least the side surfaces of the Cu wiring 6-1, by plasma CVD.

該Si  N  膜に、S iH4とNH3とを反応さ
せ、H2の還元雰囲気で接着されるのでCu配線6−1
は酸化されない。
The Cu wiring 6-1 is bonded to the SiN film by reacting SiH4 and NH3 in a reducing atmosphere of H2.
is not oxidized.

その後、表面を第1実施例と同様に、平坦化膜9で平坦
化し、その表面に51020)あるいはポリイミド等か
ら成る層間絶縁MIO−1をさらに被着する。
Thereafter, the surface is flattened with a flattening film 9 in the same manner as in the first embodiment, and an interlayer insulating layer MIO-1 made of 51020) or polyimide is further deposited on the surface.

次に、層間絶縁膜10−1にコンタクト孔12を開口し
、前記第1実施例と同様に、層間絶縁膜の表面であって
金属配線6−2が形成される領域以外の領域に緩衝膜(
図示せず)を形成し、さらに、該層間絶縁膜10−1、
緩衝膜およびコンタクト孔12の内部を覆うようにバリ
アメタル膜5−4を形成する。
Next, a contact hole 12 is opened in the interlayer insulating film 10-1, and a buffer film is formed on the surface of the interlayer insulating film in an area other than the area where the metal wiring 6-2 is formed, as in the first embodiment. (
(not shown), and further, the interlayer insulating film 10-1,
A barrier metal film 5-4 is formed to cover the buffer film and the inside of the contact hole 12.

なお、その後の金属配線6−20)酸化防止膜7−2の
形成方法、並びにそれらのエツチング方法、およびエツ
チング後の絶縁膜10−2の形成方法に関してに、前記
第1実施例の場合と同様であるのでその説明は省略する
Note that the method for forming the subsequent metal wiring 6-20) oxidation prevention film 7-2, the etching method thereof, and the method for forming the insulating film 10-2 after etching are the same as in the first embodiment. Therefore, its explanation will be omitted.

本実施例でに、Cu配線6−1.6−2が、共にM O
T  S t a N J−で覆われる構造になってい
るため、Cu配線6−1.6−2が直接外部雰囲気(酸
素)に触れることがなく、2層Cu配線を有する高信頼
性の半導体装置を得ることができる。
In this example, Cu wiring 6-1 and 6-2 are both M O
Because the structure is covered with T S ta N J-, the Cu wiring 6-1, 6-2 does not come into direct contact with the external atmosphere (oxygen), making it a highly reliable semiconductor with two-layer Cu wiring. You can get the equipment.

また、本実施例ではCu配線6−1.6−2がバリアメ
タル膜と酸化防止膜とで2ffiに覆われた配線構造に
ついて説明したが、以下第3実施例で説明するように、
Cu配線6に直接Si3N4から成る酸化防止膜7−3
を形成しても同様の効果を得ることができる。
Further, in this embodiment, a wiring structure in which the Cu wiring 6-1, 6-2 is covered with 2ffi by a barrier metal film and an anti-oxidation film has been described, but as described in the third embodiment below,
An anti-oxidation film 7-3 made of Si3N4 is applied directly to the Cu wiring 6.
A similar effect can be obtained by forming a .

[第3実施例] 第3図は本発明の第3実施例の部分断面図であり、第1
図または第2図と同一の符号は同一または同等部分を表
している。
[Third Embodiment] FIG. 3 is a partial sectional view of the third embodiment of the present invention, and the first
The same reference numerals as in the figures or FIG. 2 represent the same or equivalent parts.

本実施例でに、前記第2実施例とは異なって、Cu配線
6−1.6−2の上面にバリアメタル膜5−2.5−4
を接着すること無く、直接Si3N4から成る酸化防止
膜7−1.7−2が形成されていることが特徴的である
In this embodiment, unlike the second embodiment, a barrier metal film 5-2, 5-4 is formed on the upper surface of the Cu wiring 6-1, 6-2.
It is characteristic that the anti-oxidation film 7-1, 7-2 made of Si3N4 is directly formed without bonding.

さらに、Cu配線6−1の表面に形成する酸化防止膜7
−1の厚さを比較的厚くし、該酸化防止膜をそれぞれ層
間絶縁膜あるいは保護膜としても機能させていることが
特徴的である。
Further, an anti-oxidation film 7 formed on the surface of the Cu wiring 6-1
The characteristic feature is that the thickness of -1 is relatively thick, and the anti-oxidation film also functions as an interlayer insulating film or a protective film, respectively.

このような構成によれば、第2図に示した実施例の場合
と比較して、その製造工程が簡略化される。
According to such a configuration, the manufacturing process is simplified compared to the case of the embodiment shown in FIG.

なお、上記した3つの実施例においてに、導電性の酸化
防止膜として機能するバリアメタル膜5−1〜5−4を
MOによって形成するものとして説明したが、TiN、
TiWSWSV%Ta。
In the three embodiments described above, the barrier metal films 5-1 to 5-4 functioning as conductive oxidation-preventing films were described as being formed of MO, but TiN,
TiWSWSV%Ta.

Z rs P t %T I % Cr、Pb5Ai、
A11%Ni、Co%Fe、Ifの単体、またはこれら
のシリサイドであっても同様の効果を達成することかで
きる。
Z rs P t % T I % Cr, Pb5Ai,
Similar effects can be achieved by using A11%Ni, Co%Fe, If alone, or their silicides.

同様に、酸化防止膜7−1.7−2は有機物による重合
体あるいはSi3N4によって形成するものとして説明
したが、M o −W −T a s A f、Ti、
Cr、、Cu等の各窒化物、またはそれらを積層した多
層膜であっても良い。
Similarly, the anti-oxidation film 7-1.7-2 has been described as being formed from an organic polymer or Si3N4;
It may be nitrides such as Cr, Cu, etc., or a multilayer film made by laminating them.

ところで、このようなバリアメタルによるCu配線の被
覆に、前記したようにCu配線の耐酸化性を向上させた
り、剥離強度を向上させたりする上では顕著な効果を達
成できるが、耐エレクトロマイグレーションの向上とい
う立場から見ると、被覆するバリアメタルの種類によっ
ては何も被覆しない方が優れている場合がある。
By the way, coating Cu wiring with such a barrier metal can achieve remarkable effects in improving the oxidation resistance and peeling strength of Cu wiring as described above, but it does not improve electromigration resistance. From the viewpoint of improvement, depending on the type of barrier metal to be coated, it may be better not to coat at all.

第4図は0. 5μmの厚さで形成された熱酸化膜の上
に、膜厚0.1μmのバリアメタルW1TiN、Moを
それぞれ被着し、その上に膜厚0.8μmのCu配線を
幅2μm1長さ1■−に形成し、この配線パターンに1
50℃の空気中で8X10  A/Cm2の電流を流し
たときの、その前後における抵抗上昇率を時間と共に表
したものである。
Figure 4 shows 0. On the thermal oxide film formed with a thickness of 5 μm, barrier metals W1TiN and Mo with a film thickness of 0.1 μm are respectively deposited, and on top of that, Cu wiring with a film thickness of 0.8 μm is formed with a width of 2 μm and a length of 1 cm. -, and 1 to this wiring pattern.
This graph shows the rate of increase in resistance over time before and after a current of 8×10 A/Cm2 was passed in air at 50°C.

本実験結果によれば、バリアメタルとしてWlTiNを
用いると、同じ<Moを用いた場合あるいはCu単体の
場合に比べて抵抗上昇率が高く、しかも早く断線してし
まうことが分かる。
According to the results of this experiment, it can be seen that when WlTiN is used as the barrier metal, the rate of increase in resistance is higher than when the same <Mo is used or when Cu alone is used, and the wire breaks more quickly.

具体的に説明すれば、試験時間500時間における抵抗
上昇・率に、Cu/Moの2層構造では約5%、Cu単
層構造では約8%であるのに対して、Cu/Wの2層構
造では約35%、Cu/TiNの2層構造では約30%
となってしまう。
To be more specific, the resistance increase rate after 500 hours of test time is about 5% for the Cu/Mo two-layer structure and about 8% for the Cu single-layer structure, whereas the rate of resistance increase for Cu/W is about 5%. Approximately 35% for layered structure, approximately 30% for Cu/TiN two-layer structure
It becomes.

また、断線時間を比較しても、Cu/Moの2層構造は
Cu単層構造の場合と同様に1000時間経過時でも断
線しないのに対して、Cu/Wの2層構造では約700
時間経過時、Cu/TiNの2層構造では約500時間
経過時に断線してしまう。
Also, when comparing the disconnection times, the Cu/Mo two-layer structure does not disconnect even after 1000 hours, similar to the Cu single-layer structure, whereas the Cu/W two-layer structure does not disconnect after about 700 hours.
When time elapses, the Cu/TiN two-layer structure breaks after about 500 hours.

さらに、以下に説明するように、発明者等が行った実験
によればアニール処理後の抵抗変化量も、Cu / M
 oの2層構造に、Cu/Wの2層構造およびCu/T
iNの2層構造に比べて小さく、Cu単層構造のそれと
ほぼ同じであることが確認された。
Furthermore, as will be explained below, according to experiments conducted by the inventors, the amount of resistance change after annealing also decreases when Cu/M
o two-layer structure, Cu/W two-layer structure and Cu/T
It was confirmed that it was smaller than the iN two-layer structure and almost the same as the Cu single-layer structure.

第5図に、Cu単層、Cu/Wの2層、Cu/TiNの
2層、およびCu / M oの2層構造配線のそれぞ
れに、450℃、1時間のアニール処理を行った前後に
おける抵抗値の変化を示した図である。
Figure 5 shows the results before and after annealing at 450°C for 1 hour on a single layer of Cu, two layers of Cu/W, two layers of Cu/TiN, and two layers of Cu/Mo. FIG. 3 is a diagram showing changes in resistance value.

本実験結果によれば、Cu単層およびCu/Moの2層
構造での抵抗値の変化量に比べて、Cu/WおよびCu
 / T i Nの2層構造でのそれが大きいことが分
かる。
According to the results of this experiment, compared to the amount of change in resistance value in Cu single layer and Cu/Mo two layer structure, Cu/W and Cu
It can be seen that it is large in the two-layer structure of /T i N.

これに、Cu/W、 Cu/T i Nの2層構造でに
、WおよびTiNがCuと反応し、反応生成物を多く形
成してしまうのに対して、Cu / M oの2層構造
ではMOがCuとさほど反応せず、反応生成物をさほど
形成しないことによる。
In addition, in the two-layer structure of Cu/W and Cu/TiN, W and TiN react with Cu and form many reaction products, whereas in the two-layer structure of Cu/Mo This is because MO does not react much with Cu and does not form much reaction products.

したがって、バリアメタル膜に酸化防止だけでなく高エ
レクトロマイグレーション、低抵抗の維持をも要求する
のであれば、バリアメタルとしてMoを用いるとか望ま
しい。
Therefore, if the barrier metal film is required not only to prevent oxidation but also to maintain high electromigration and low resistance, it is desirable to use Mo as the barrier metal.

〔第4実施例] 第6図(a)は本発明の第4実施例の断面図であり、同
図(b)はその側面の断面図である。
[Fourth Embodiment] FIG. 6(a) is a sectional view of a fourth embodiment of the present invention, and FIG. 6(b) is a side sectional view thereof.

本実施例でに、バリアメタル5−1を介して半導体基板
1上に形成されたCu配線6の側面および上面をフッ化
または窒化し、そこにCuのフッ化物またはCuの窒化
物11を形成した点に特徴があり、このような構造は以
下のような製造方法によって達成される。
In this example, the side and top surfaces of the Cu wiring 6 formed on the semiconductor substrate 1 via the barrier metal 5-1 are fluoridated or nitrided, and Cu fluoride or Cu nitride 11 is formed thereon. This structure is achieved by the following manufacturing method.

初めに拡散層2のStとCu配線との反応を押さえ、絶
縁膜3とCu配線6との接着性を向上させるためのバリ
アメタル5−1を、絶縁膜3およびコンタクト孔15の
表面に0.1μm彼着し、さらにその上にCu配線6と
なるCuを例えば0.8μm被着したのち、前記と同様
の方法によってパターニングしてCu配線6を形成する
First, a barrier metal 5-1 is deposited on the surface of the insulating film 3 and the contact hole 15 in order to suppress the reaction between the St of the diffusion layer 2 and the Cu wiring and to improve the adhesion between the insulating film 3 and the Cu wiring 6. After depositing a layer of 0.1 .mu.m, for example, 0.8 .mu.m of Cu, which will become the Cu wiring 6, the Cu wiring 6 is formed by patterning in the same manner as described above.

次いで、露出したCu配線6の側面および上面をフッ化
または窒化し、そこにCuのフッ化物またはCuの窒化
物11を形成する。フッ化する場合にに、NF3、CF
4等のフッ素含有ガスを、また窒化する場合にはNH3
、N2等の窒素含有ガスをそれぞれ反応ガスとして用い
、例えば光励起法、プラズマ発生装置等を用いたプラズ
マ処理方法によって反応させる。
Next, the exposed side surfaces and top surface of the Cu wiring 6 are fluoridated or nitrided to form Cu fluoride or Cu nitride 11 thereon. In case of fluorination, NF3, CF
Fluorine-containing gas such as No. 4, or NH3 in the case of nitriding
, N2, and the like are used as reaction gases, and the reaction is carried out by, for example, a photoexcitation method, a plasma processing method using a plasma generator, or the like.

なお、フッ素処理ないし窒化処理によって形成するフッ
素鋼ないし窒化銅11の厚さに、その後の熱処理に耐え
られる程度である0、1μmはどにすることが望ましい
Note that it is desirable that the thickness of the fluorine steel or copper nitride 11 formed by the fluorine treatment or nitriding treatment be 0.1 μm, which is enough to withstand the subsequent heat treatment.

本実施例によれば、Cu配線の側面および上面が、耐酸
化性に優れたフッ素鋼ないし窒化銅で覆われるので、そ
の後に層間絶縁膜を形成する際にもCu配線が酸化され
ることがない。
According to this example, the side and top surfaces of the Cu wiring are covered with fluorine steel or copper nitride, which has excellent oxidation resistance, so that the Cu wiring is prevented from being oxidized even when an interlayer insulating film is formed later. do not have.

[第5実施例] 第7図は本発明の第5実施例の断面図であり、前記第1
図ないし第3図と同一の符号は同一または同等部分を表
している。
[Fifth Embodiment] FIG. 7 is a sectional view of a fifth embodiment of the present invention.
The same reference numerals as in the figures to FIG. 3 represent the same or equivalent parts.

本実施例ではコンタクト孔15.12内にバリアメタル
13を埋め込んだ点に特徴があり、このような構造は以
下のような製造方法によって達成される。
This embodiment is characterized in that the barrier metal 13 is embedded in the contact holes 15.12, and such a structure is achieved by the following manufacturing method.

半導体素子が形成された半導体基板1の表面にS 10
2絶縁II!3を被着した後、リングラフィ技術によっ
て半導体素子の拡散層2とのコンタクト領域に所定のパ
ターンを形成する。次に、反応性イオンエツチングによ
り前記S iO2絶縁膜3の所定の箇所をエツチングし
てコンタクト孔15を形成する。
S10 is applied to the surface of the semiconductor substrate 1 on which the semiconductor element is formed.
2 insulation II! 3, a predetermined pattern is formed in the contact region with the diffusion layer 2 of the semiconductor element by phosphorography technique. Next, a contact hole 15 is formed by etching a predetermined portion of the SiO2 insulating film 3 using reactive ion etching.

次いで、コンタクト孔15内にバリアメタル13を絶縁
膜3の高さに相当する部分まで埋め込んだ後、絶縁膜3
および該バリアメタル13の表面にさらにバリアメタル
膜5−1を0.1μmはど被着する。
Next, after filling the barrier metal 13 into the contact hole 15 to a portion corresponding to the height of the insulating film 3, the insulating film 3 is
A barrier metal film 5-1 is further deposited on the surface of the barrier metal 13 to a thickness of 0.1 μm.

このとき、Cu配線に高エレクトロマイグレーション、
低抵抗を要求、するのであれば、前記したように、バリ
アメタル膜5−1としてMOを用いることが望ましい。
At this time, high electromigration occurs in the Cu wiring.
If low resistance is required, it is desirable to use MO as the barrier metal film 5-1, as described above.

次いでCu配線となるCu膜を例えば0.8μm*iし
たのち、バリアメタル膜5−1とCu膜とを前記と同様
にしてエツチングしてCu配線6−1を形成する。
Next, after the thickness of the Cu film that will become the Cu wiring is, for example, 0.8 μm*i, the barrier metal film 5-1 and the Cu film are etched in the same manner as described above to form the Cu wiring 6-1.

ここで、外部に露出したCu配線の上面および側面にに
、前記と同様にして酸化防止膜、バリアメタル、フッ化
銅等を形成するようにしても良いが、以下に説明するよ
うに、層間絶縁膜としてCu配線を酸化したり腐蝕した
りしない絶縁膜または絶縁膜の被着方法を採用するので
あれば、前記酸化防止膜等を形成する必要は無い。
Here, an anti-oxidation film, barrier metal, copper fluoride, etc. may be formed on the top and side surfaces of the Cu wiring exposed to the outside in the same manner as described above. If an insulating film or a method of depositing an insulating film that does not oxidize or corrode the Cu wiring is used as the insulating film, there is no need to form the oxidation prevention film or the like.

このような層間絶縁膜としてに、常温の液体で被着でき
るポリイミドおよびS OG (Spin 0nGla
ss )膜や、低温被着が可能な光CVD膜およびマイ
クロ波プラズマCVD膜や、S i H4とHとを反応
させ、H2の還元雰囲気で被着するRFプラズマCVD
膜などの単層膜またはこれらの多層膜が考えられる。
As such an interlayer insulating film, polyimide and SOG (Spin 0nGla), which can be deposited with room temperature liquid, are used.
ss) film, photo-CVD film and microwave plasma CVD film that can be deposited at low temperatures, and RF plasma CVD film that reacts SiH4 and H and deposits in a reducing atmosphere of H2.
A single layer film such as a membrane or a multilayer film thereof can be considered.

上記したいずれかから成る層間絶縁膜14を形成したな
らば、第1層配線となる前記Cu配線6−1と第2層配
線となる前記Cu配線6−2とを接続するためのフンタ
クト孔12を前記層間絶縁膜14に開口し、該コンタク
ト孔内にバリアメタル13を選択的に埋め込む。
Once the interlayer insulating film 14 made of any of the above-mentioned materials is formed, a contact hole 12 is formed for connecting the Cu wiring 6-1, which will become the first layer wiring, and the Cu wiring 6-2, which will become the second layer wiring. An opening is formed in the interlayer insulating film 14, and a barrier metal 13 is selectively buried in the contact hole.

その後に、前記と同様にしてバリアメタル膜5−3、第
2層配線となるCu配線6−2を形成する。
After that, a barrier metal film 5-3 and a Cu wiring 6-2, which will become a second layer wiring, are formed in the same manner as described above.

本実施例によれば、コンタクト孔開口部においてCu配
線が凹状にならないので、断線に対する強度が向上する
According to this embodiment, since the Cu wiring does not become concave at the contact hole opening, the strength against disconnection is improved.

[第6実施例] 第8図は本発明の第6実施例の断面図であり、前記第7
図と同一の符号は同一または同等部分を表している。
[Sixth Embodiment] FIG. 8 is a sectional view of the sixth embodiment of the present invention.
The same reference numerals as in the figures represent the same or equivalent parts.

上記した実施例でに、いずれも拡散層2とCu配線6と
の間にバリアメタル5−1のみを介在させたが、コンタ
クト抵抗を低減させるためにに、拡散層2とバリアメタ
ル5との間に、M o S l 20)WSi20)T
lSi20)PtSiなどから成るシリサイド層16を
形成することが望ましい。
In the above embodiments, only the barrier metal 5-1 was interposed between the diffusion layer 2 and the Cu wiring 6, but in order to reduce the contact resistance, the diffusion layer 2 and the barrier metal 5 were In between, M o S l 20) WSi20) T
It is desirable to form a silicide layer 16 made of PtSi or the like.

そこで、本実施例ではコンタクト部にシリサイド層16
を形成し、コンタクト部のみを、Cu6/バリアメタル
5−1/シリサイド16/拡散層2といった構造にした
Therefore, in this embodiment, a silicide layer 16 is formed in the contact portion.
was formed, and only the contact portion had a structure of Cu6/barrier metal 5-1/silicide 16/diffusion layer 2.

該シリサイド16に、コンタクト孔15を開口後、そこ
にM o 、、Ws T is P tなどの金属を肢
管し、さらに約600℃で熱処理を施すことによって形
成することができる。なお、このシリサイド16に、シ
リサイド膜を直接破着させることによって形成しても良
い。
The contact hole 15 can be formed by opening a contact hole 15 in the silicide 16, filling the contact hole 15 with a metal such as M o , Ws T is P t, and further performing heat treatment at about 600° C. Note that the silicide film may be formed by directly breaking a silicide film on this silicide 16.

本実施例によれば、コンタクト抵抗を低減させ、さらに
高性能の半導体装置を提供できるようになる。
According to this embodiment, contact resistance can be reduced and a semiconductor device with even higher performance can be provided.

なお、上記した6つの実施例においてに、金属配線の材
質をCuとして説明したが、Cu系の合金であっても良
く、この場合に添加する金属としてに、Ag、AI、N
i、Fe%Znx Zr等が望ましい。
In addition, in the above-mentioned six embodiments, the material of the metal wiring was explained as Cu, but it may be a Cu-based alloy, and in this case, the metal added may be Ag, AI, N.
i, Fe%Znx Zr, etc. are preferable.

さらに、本発明は上記した6つの実施例のみに限定され
るものではなく、これらの実施例を適宜に組み合わせた
ものであっても良い。
Furthermore, the present invention is not limited to the six embodiments described above, but may be any combination of these embodiments as appropriate.

(発明の効果) 以上の説明から明らかなように、本発明によれば次のよ
うな効果が達成される。
(Effects of the Invention) As is clear from the above description, the following effects are achieved according to the present invention.

(1)配線材としての金属薄膜の表面がバリアメタル膜
で覆われているので、絶縁膜との接着性が向上し、配線
材の剥離を防止することができるようになる。
(1) Since the surface of the metal thin film serving as the wiring material is covered with the barrier metal film, the adhesion with the insulating film is improved and peeling of the wiring material can be prevented.

(2)配線材としての金属薄膜の表面が酸化防止膜で国
われているので、酸化され易いが耐マイグレーション性
の高いCu等の金属を半導体装置の配線材として使用す
ることができるようになり、半導体装置の信頼性を向上
させることができるようになる。
(2) Since the surface of the metal thin film used as the wiring material is coated with an anti-oxidation film, metals such as Cu, which are easily oxidized but have high migration resistance, can now be used as the wiring material for semiconductor devices. , it becomes possible to improve the reliability of the semiconductor device.

(3)酸化しやすい金属を配線材として使用する場合で
も、絶縁膜としてS iO2を用いることができるよう
になるので、低コストで信頼性の高い半導体装置を提供
することができるようになる。
(3) Even when a metal that is easily oxidized is used as the wiring material, SiO2 can be used as the insulating film, so it is possible to provide a highly reliable semiconductor device at low cost.

(4)酸素が存在する雰囲気中でも加熱処理を行うこと
ができるようになるので、製造装置および製造装置の維
持管理等を複雑にすることが無く、Cu配線を形成する
ことができるようになる。
(4) Since heat treatment can be performed even in an atmosphere where oxygen exists, Cu wiring can be formed without complicating the manufacturing equipment and the maintenance of the manufacturing equipment.

(5)緩衝膜を形成することによって、イオンビームエ
ツチング等の物理的エツチングを、半導体基体に損傷を
与えることなく行うことができるようになると共に、エ
ツチングの終点判定が容易になるので、金属薄膜の精密
な加工が可能となる。
(5) Forming a buffer film allows physical etching such as ion beam etching to be performed without damaging the semiconductor substrate, and also makes it easier to determine the end point of etching. Precise processing is possible.

したがって、微細な配線パターンを形成することが可能
となり、集積度の高い半導体装置を提供することができ
るようになる。
Therefore, it becomes possible to form fine wiring patterns, and it becomes possible to provide a semiconductor device with a high degree of integration.

(6)金属配線の酸化防止膜が、有機物の重合体で形成
されているので、合金化反応が無く、抵抗値の低いCu
配線を形成することができるようになる。
(6) Since the oxidation prevention film of the metal wiring is formed of an organic polymer, there is no alloying reaction and Cu has a low resistance value.
Wiring can now be formed.

(7)バリアメタルと半導体層とをシリサイドを介して
接続するようにすれば、コンタクト抵抗を低減させ、高
性能の半導体装置を提供することができるようになる。
(7) By connecting the barrier metal and the semiconductor layer via silicide, contact resistance can be reduced and a high-performance semiconductor device can be provided.

【図面の簡単な説明】[Brief explanation of drawings]

第1図は本発明の第1実施例である半導体装置の製造方
法を示した断面図である。 第2図は本発明の第2実施例である半導体装置の断面図
である。 第3図は本発明の第3実施例である半導体装置の断面図
である。 第4図はCu/バリアメタル構造の抵抗変化率を示した
図である。 第5図はCu/バリアメタル構造のアニール試験結果を
示した図である。 第6図は本発明の第4実施例である半導体装置の断面図
である。 第7図は本発明の第5実施例である半導体装置の断面図
である。 第8図は本発明の第8実施例である半導体装置の断面図
である。
FIG. 1 is a sectional view showing a method for manufacturing a semiconductor device according to a first embodiment of the present invention. FIG. 2 is a sectional view of a semiconductor device according to a second embodiment of the present invention. FIG. 3 is a sectional view of a semiconductor device according to a third embodiment of the present invention. FIG. 4 is a diagram showing the resistance change rate of the Cu/barrier metal structure. FIG. 5 is a diagram showing the annealing test results of the Cu/barrier metal structure. FIG. 6 is a sectional view of a semiconductor device according to a fourth embodiment of the present invention. FIG. 7 is a sectional view of a semiconductor device according to a fifth embodiment of the present invention. FIG. 8 is a sectional view of a semiconductor device according to an eighth embodiment of the present invention.

Claims (25)

【特許請求の範囲】[Claims] (1)一方の主表面に半導体素子が形成された半導体基
体と、 前記半導体基体の表面に形成され、該半導体基体の所定
箇所を露出するコンタクト孔が形成された絶縁膜と、 前記絶縁膜表面およびコンタクト孔内に一様に被着され
た導電性酸化防止膜を介して形成された、少なくとも銅
を含有する金属配線と、 前記金属配線を覆うように被着された酸化防止膜とを具
備したことを特徴とする半導体装置。
(1) a semiconductor substrate with a semiconductor element formed on one main surface; an insulating film formed on the surface of the semiconductor substrate with a contact hole exposing a predetermined portion of the semiconductor substrate; and a surface of the insulating film. and a metal wiring containing at least copper formed through a conductive anti-oxidation film uniformly deposited within the contact hole, and an anti-oxidation film deposited to cover the metal wiring. A semiconductor device characterized by:
(2)一方の主表面に半導体素子が形成された半導体基
体と、 前記半導体基体の表面に形成され、該半導体基体の所定
箇所を露出するコンタクト孔が形成された絶縁膜と、 前記コンタクト孔内に、略絶縁膜の高さまで埋め混まれ
た埋込金属材と、 前記絶縁膜表面および埋込金属材表面に一様に被着され
た導電性酸化防止膜を介して形成された、少なくとも銅
を含有する金属配線と、 前記金属配線を覆うように被着された酸化防止膜とを具
備したことを特徴とする半導体装置。
(2) a semiconductor substrate with a semiconductor element formed on one main surface; an insulating film formed on the surface of the semiconductor substrate with a contact hole that exposes a predetermined portion of the semiconductor substrate; and inside the contact hole. a buried metal material buried to approximately the height of the insulating film; and a conductive oxidation preventive film uniformly deposited on the surface of the insulating film and the surface of the buried metal material. What is claimed is: 1. A semiconductor device comprising: a metal wiring containing: and an anti-oxidation film deposited to cover the metal wiring.
(3)前記金属配線が形成されることによって生じる凹
部には平坦化膜が形成されていることを特徴とする特許
請求の範囲第1項または第2項記載の半導体装置。
(3) The semiconductor device according to claim 1 or 2, wherein a flattening film is formed in the recessed portion caused by the formation of the metal wiring.
(4)前記金属配線が形成されていない絶縁膜表面には
、緩衝膜が形成されていることを特徴とする特許請求の
範囲第1項ないし第3項のいずれかに記載の半導体装置
(4) The semiconductor device according to any one of claims 1 to 3, wherein a buffer film is formed on the surface of the insulating film on which the metal wiring is not formed.
(5)一方の主表面に半導体素子が形成された半導体基
体と、 前記半導体基体の表面に形成され、該半導体基体の所定
箇所を露出する第1のコンタクト孔が形成された第1の
絶縁膜と、 前記第1の絶縁膜表面および第1のコンタクト孔内に被
着された第1の導電性酸化防止膜を介して形成された第
1の金属配線と、 前記第1の金属配線を覆うように被着され、該第1の金
属配線の所定箇所を露出する第2のコンタクト孔が形成
された第1の酸化防止膜と、前記第1の金属配線が形成
されることによって生じる凹部に形成された平坦化膜と
、 前記第1の酸化防止膜及び平坦化膜の表面並びに第2の
コンタクト孔内に被着された第2の導電性酸化防止膜と
、 第2の導電性酸化防止膜の表面に形成された第2の金属
配線と、 第2の金属配線を覆うように被着された第2の酸化防止
膜とを具備したことを特徴とする半導体装置。
(5) a semiconductor substrate with a semiconductor element formed on one main surface; and a first insulating film formed on the surface of the semiconductor substrate with a first contact hole that exposes a predetermined portion of the semiconductor substrate. and a first metal wiring formed through a first conductive oxidation prevention film deposited on the surface of the first insulating film and in the first contact hole, and covering the first metal wiring. A first oxidation-preventing film is deposited as shown in FIG. the formed planarizing film; a second conductive anti-oxidant film deposited on the surfaces of the first anti-oxidant film and the planarizing film and in the second contact hole; and a second conductive anti-oxidant film. A semiconductor device comprising: a second metal wiring formed on a surface of a film; and a second anti-oxidation film deposited to cover the second metal wiring.
(6)前記第1の金属配線が形成されていない第1の絶
縁膜表面、及び前記第2の金属配線が形成されていない
第2の絶縁膜表面の少なくとも一方には、緩衝膜が形成
されていることを特徴とする特許請求の範囲第5項記載
の半導体装置。
(6) A buffer film is formed on at least one of the first insulating film surface on which the first metal wiring is not formed and the second insulating film surface on which the second metal wiring is not formed. A semiconductor device according to claim 5, characterized in that:
(7)前記緩衝膜はポリイミド系有機物であることを特
徴とする特許請求の範囲第3項または第6項記載の半導
体装置。
(7) The semiconductor device according to claim 3 or 6, wherein the buffer film is made of a polyimide organic material.
(8)前記第1の酸化防止膜及び平坦化膜の表面と第2
の導電性酸化防止膜との間には、層間絶縁膜が形成され
ていることを特徴とする特許請求の範囲第5項ないし第
7項のいずれかに記載の半導体装置。
(8) The surface of the first oxidation prevention film and the planarization film and the second
8. The semiconductor device according to claim 5, wherein an interlayer insulating film is formed between the conductive oxidation prevention film and the conductive oxidation prevention film.
(9)前記第1の金属配線の上面と第1の酸化防止膜と
の間には、第3の導電性酸化防止膜が形成されているこ
とを特徴とする特許請求の範囲第5項ないし第8項のい
ずれかに記載の半導体装置。
(9) A third conductive oxidation prevention film is formed between the upper surface of the first metal wiring and the first oxidation prevention film. The semiconductor device according to any one of Item 8.
(10)前記第2の金属配線と第2の酸化防止膜との間
には、第4の導電性酸化防止膜が形成されていることを
特徴とする特許請求の範囲第5項ないし第9項のいずれ
かに記載の半導体装置。
(10) Claims 5 to 9, characterized in that a fourth conductive oxidation prevention film is formed between the second metal wiring and the second oxidation prevention film. The semiconductor device according to any one of paragraphs.
(11)前記第1のコンタクト孔では、半導体基体と第
1の導電性酸化防止膜とがシリサイドを介して接続され
ることを特徴とする特許請求の範囲第1項または第3項
ないし第10項のいずれかに記載の半導体装置。
(11) In the first contact hole, the semiconductor substrate and the first conductive anti-oxidation film are connected via silicide. The semiconductor device according to any one of paragraphs.
(12)前記導電性酸化防止膜は、Mo、W、TiN、
TiW、V、Ta、Zr、Pt、Ti、Cr、Pb、A
l、Au、、Ni、Co、Fe、Hfの単体、またはこ
れらのシリサイドであることを特徴とする特許請求の範
囲第1項ないし第11項のいずれかに記載の半導体装置
(12) The conductive anti-oxidation film may include Mo, W, TiN,
TiW, V, Ta, Zr, Pt, Ti, Cr, Pb, A
The semiconductor device according to any one of claims 1 to 11, characterized in that the semiconductor device is a simple substance of 1, Au, Ni, Co, Fe, or Hf, or a silicide thereof.
(13)前記酸化防止膜は、前記金属配線の表面をフッ
素化してなるフッ化銅であることを特徴とする特許請求
の範囲第1項ないし第12項のいずれかに記載の半導体
装置。
(13) The semiconductor device according to any one of claims 1 to 12, wherein the anti-oxidation film is copper fluoride obtained by fluorinating the surface of the metal wiring.
(14)前記酸化防止膜は、Si、Al、Cu、Mo、
W、Ti、Ta若しくはCrの各窒化物、ベンゾトリア
ゾールの重合体、及びアゾ基若しくはアミノ基を有する
芳香族アミンの重合体のいずれか一つ、又はこれらの積
層物であることを特徴とする特許請求の範囲第1項ない
し第12項のいずれかに記載の半導体装置。
(14) The antioxidant film may include Si, Al, Cu, Mo,
It is characterized by being any one of each nitride of W, Ti, Ta, or Cr, a polymer of benzotriazole, and a polymer of aromatic amine having an azo group or an amino group, or a laminate thereof. A semiconductor device according to any one of claims 1 to 12.
(15)前記平坦化膜は、ポリイミド系有機物、SOG
膜、マイクロ波プラズマCVD膜、およびRFプラズマ
CVD膜のいずれか一つ、又はこれらの積層物であるこ
とを特徴とする特許請求の範囲第3項ないし第14項の
いずれかに記載の半導体装置。
(15) The planarization film is made of polyimide organic material, SOG
The semiconductor device according to any one of claims 3 to 14, characterized in that the semiconductor device is any one of a film, a microwave plasma CVD film, and an RF plasma CVD film, or a laminate thereof. .
(16)前記層間絶縁膜は、Si_3N_4、SiO_
2膜、ポリイミド系有機物、SOG膜、光CVD膜、マ
イクロ波プラズマCVD膜、およびRFプラズマCVD
膜のいずれか一つの単層膜またはこれらの多層膜である
ことを特徴とする特許請求の範囲第5項ないし第15項
のいずれかに記載の半導体装置。
(16) The interlayer insulating film is Si_3N_4, SiO_
2 film, polyimide organic material, SOG film, photo CVD film, microwave plasma CVD film, and RF plasma CVD
16. The semiconductor device according to claim 5, wherein the semiconductor device is a single layer film of any one of these films or a multilayer film of these films.
(17)前記酸化防止膜としての有機物の重合体は、少
なくとも数分子層の厚さに被着されていることを特徴と
する特許請求の範囲第1項ないし第16項のいずれかに
記載の半導体装置。
(17) The organic polymer as the antioxidant film is deposited to a thickness of at least several molecular layers. Semiconductor equipment.
(18)半導体基体の一方の主表面に第1の絶縁膜を形
成する工程と、 前記第1の絶縁膜に前記半導体基体の所定箇所を露出す
る第1のコンタクト孔を形成する工程と、前記第1の絶
縁膜の表面であって、第1の金属配線が形成される領域
以外の領域に第1の緩衝膜を形成する工程と、 前記第1の絶縁膜、第1の緩衝膜の表面、および第1の
コンタクト孔の内部を覆うように第1の導電性酸化防止
膜を形成する工程と、 前記第1の導電性酸化防止膜の表面に第1の金属薄膜を
形成する工程と、 前記第1の金属薄膜を覆うように第1の酸化防止膜を形
成する工程と、 前記第1の金属薄膜のうち、第1の金属配線となる領域
を覆うように、前記第1の酸化防止膜の表面に第2の緩
衝膜を形成する工程と、 該第1の酸化防止膜および第2の緩衝膜の表面から、前
記第1の緩衝膜が露出するまで第1の酸化防止膜、第1
の金属薄膜、および第1の導電性酸化防止膜をエッチン
グする工程と、 前記第1および第2の緩衝膜のうち、少なくとも第2の
緩衝膜を除去する工程と、 少なくとも第1の金属配線の側面に、さらに第1の酸化
防止膜を形成する工程とからなることを特徴とする半導
体装置の製造方法。
(18) forming a first insulating film on one main surface of the semiconductor substrate; forming a first contact hole exposing a predetermined portion of the semiconductor substrate in the first insulating film; forming a first buffer film on the surface of the first insulating film in a region other than the region where the first metal wiring is formed; and surfaces of the first insulating film and the first buffer film. , and forming a first conductive anti-oxidation film to cover the inside of the first contact hole; and forming a first metal thin film on the surface of the first conductive anti-oxidation film. forming a first oxidation preventive film to cover the first metal thin film; and forming the first oxidation preventive film to cover a region of the first metal thin film that will become the first metal wiring forming a second buffer film on the surface of the film; 1
a step of etching the metal thin film and the first conductive oxidation prevention film; a step of removing at least the second buffer film of the first and second buffer films; and a step of removing at least the first metal wiring. A method for manufacturing a semiconductor device, comprising the step of further forming a first oxidation prevention film on a side surface.
(19)前記エッチングによって生じた凹部に平坦化膜
を形成する工程を、さらに具備したことを特徴とする特
許請求の範囲第15項記載の半導体装置の製造方法。
(19) The method for manufacturing a semiconductor device according to claim 15, further comprising the step of forming a flattening film in the recesses created by the etching.
(20)特許請求の範囲第18項記載の各工程につづい
て、 前記エッチングによって生じた凹部に平坦化膜を形成す
る工程と、 前記第1の酸化防止膜に、第1の金属薄膜の所定箇所を
露出する第2のコンタクト孔を形成する工程と、 前記第1の酸化防止膜および平坦化膜表面であって、第
2の金属配線が形成される領域以外の領域に第3の緩衝
膜を形成する工程と、 前記第1の酸化防止膜、平坦化膜、第3の緩衝膜、およ
び第2のコンタクト孔内を覆うように第2の導電性酸化
防止膜を被着する工程と、 前記第2の導電性酸化防止膜の表面に第2の金属薄膜を
形成する工程と、 前記第2の金属薄膜を覆うように第2の酸化防止膜を被
着する工程と、 前記第2の金属薄膜のうち、第2の金属配線となる領域
を覆うように、前記第2の酸化防止膜の表面に第4の緩
衝膜を形成する工程と、 該第2の酸化防止膜および第4の緩衝膜の表面から、前
記第3の緩衝膜が露出するまで第2の酸化防止膜、第2
の金属薄膜、および第2の導電性酸化防止膜をエッチン
グする工程と、 前記第3および第4の緩衝膜のうち、少なくとも第3の
緩衝膜を除去する工程と、 少なくとも第2の金属配線の側面に酸化防止膜を形成す
る工程とを、さらに具備したことを特徴とする半導体装
置の製造方法。
(20) Following the steps set forth in claim 18, a step of forming a flattening film in the recesses caused by the etching, and forming a first metal thin film on the first oxidation prevention film. forming a second contact hole that exposes a portion; and forming a third buffer film on the surfaces of the first oxidation prevention film and the planarization film other than the region where the second metal wiring is formed. a step of depositing a second conductive anti-oxidation film so as to cover the first oxidation-preventing film, the planarizing film, the third buffer film, and the inside of the second contact hole; forming a second metal thin film on the surface of the second conductive oxidation-preventing film; depositing a second oxidation-preventing film to cover the second metal thin film; forming a fourth buffer film on the surface of the second antioxidant film so as to cover a region of the metal thin film that will become the second metal wiring; From the surface of the buffer film to the third buffer film exposed, the second anti-oxidation film and the second
a step of etching the metal thin film and a second conductive oxidation prevention film; a step of removing at least the third buffer film of the third and fourth buffer films; and a step of etching at least the second metal wiring. 1. A method of manufacturing a semiconductor device, further comprising the step of forming an anti-oxidation film on a side surface.
(21)前記第1の酸化防止膜および平坦化膜の表面と
第2の導電性酸化防止膜との間に層間絶縁膜を形成する
工程を、さらに具備したことを特徴とする特許請求の範
囲第20項記載の半導体装置の製造方法。
(21) Claims further comprising the step of forming an interlayer insulating film between the surfaces of the first anti-oxidation film and the planarization film and the second conductive anti-oxidation film. 21. The method for manufacturing a semiconductor device according to item 20.
(22)前記第1の金属薄膜と第1の酸化防止膜との間
に、第3の導電性酸化防止膜を形成する工程を、さらに
具備したことを特徴とする特許請求の範囲第20項また
は第21項記載の半導体装置の製造方法。
(22) Claim 20, further comprising the step of forming a third conductive anti-oxidation film between the first metal thin film and the first anti-oxidation film. Alternatively, the method for manufacturing a semiconductor device according to item 21.
(23)前記第2の金属薄膜と第2の酸化防止膜との間
に、第4の導電性酸化防止膜を形成する工程を、さらに
具備したことを特徴とする特許請求の範囲第20項ない
し第22項のいずれかに記載の半導体装置の製造方法。
(23) Claim 20, further comprising the step of forming a fourth conductive anti-oxidation film between the second metal thin film and the second anti-oxidation film. 23. The method for manufacturing a semiconductor device according to any one of items 22 to 22.
(24)前記緩衝膜はレジスト又はポリイミド系有機物
であることを特徴とする特許請求の範囲第18ないし第
23項のいずれかに項記載の半導体装置の製造方法。
(24) The method for manufacturing a semiconductor device according to any one of claims 18 to 23, wherein the buffer film is made of a resist or a polyimide-based organic material.
(25)前記エッチングは、イオンビームエッチング又
はスパッタリングであることを特徴とする特許請求の範
囲第18項ないし第24項のいずれかに記載の半導体装
置の製造方法。
(25) The method for manufacturing a semiconductor device according to any one of claims 18 to 24, wherein the etching is ion beam etching or sputtering.
JP15351689A 1988-06-22 1989-06-15 Semiconductor device and manufacture thereof Pending JPH02125447A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP15386588 1988-06-22
JP63-153865 1988-06-22

Publications (1)

Publication Number Publication Date
JPH02125447A true JPH02125447A (en) 1990-05-14

Family

ID=15571793

Family Applications (1)

Application Number Title Priority Date Filing Date
JP15351689A Pending JPH02125447A (en) 1988-06-22 1989-06-15 Semiconductor device and manufacture thereof

Country Status (1)

Country Link
JP (1) JPH02125447A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0474457A (en) * 1990-07-17 1992-03-09 Toshiba Corp Semiconductor device and its manufacture
JPH05211144A (en) * 1991-12-27 1993-08-20 Nec Corp Semiconductor device and its manufacture
US5346858A (en) * 1992-07-16 1994-09-13 Texas Instruments Incorporated Semiconductor non-corrosive metal overcoat
US5591671A (en) * 1994-01-18 1997-01-07 Samsung Electronics Co., Ltd. Method for interconnecting layers in semiconductor device
JP2001244266A (en) * 2000-02-28 2001-09-07 Lg Philips Lcd Co Ltd Substrate for electronic element and its manufacturing apparatus
JP2003179000A (en) * 2001-12-12 2003-06-27 Sony Corp Semiconductor device and method of manufacturing the same
EP1465245A2 (en) 2003-04-01 2004-10-06 Texas Instruments Inc. Vapor Deposition of Benzotriazole (BTA) for protecting Copper Interconnects
JP2008091454A (en) * 2006-09-29 2008-04-17 Rohm Co Ltd Semiconductor device and manufacturing method therefor
WO2014069662A1 (en) * 2012-11-05 2014-05-08 大日本印刷株式会社 Wiring structure
JP2014212225A (en) * 2013-04-19 2014-11-13 三菱電機株式会社 Semiconductor module electrode structure and manufacturing method of the same

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0474457A (en) * 1990-07-17 1992-03-09 Toshiba Corp Semiconductor device and its manufacture
JPH05211144A (en) * 1991-12-27 1993-08-20 Nec Corp Semiconductor device and its manufacture
US5346858A (en) * 1992-07-16 1994-09-13 Texas Instruments Incorporated Semiconductor non-corrosive metal overcoat
US5591671A (en) * 1994-01-18 1997-01-07 Samsung Electronics Co., Ltd. Method for interconnecting layers in semiconductor device
JP2001244266A (en) * 2000-02-28 2001-09-07 Lg Philips Lcd Co Ltd Substrate for electronic element and its manufacturing apparatus
JP2003179000A (en) * 2001-12-12 2003-06-27 Sony Corp Semiconductor device and method of manufacturing the same
EP1465245A3 (en) * 2003-04-01 2010-08-25 Texas Instruments Inc. Vapor Deposition of Benzotriazole (BTA) for protecting Copper Interconnects
US7144802B2 (en) * 2003-04-01 2006-12-05 Texas Instruments Incorporated Vapor deposition of benzotriazole (BTA) for protecting copper interconnects
US7550046B2 (en) * 2003-04-01 2009-06-23 Texas Instruments Incorporated Vapor deposition system using benzotriazole (BTA) and isopropyl alcohol for protecting copper interconnects
EP1465245A2 (en) 2003-04-01 2004-10-06 Texas Instruments Inc. Vapor Deposition of Benzotriazole (BTA) for protecting Copper Interconnects
JP2008091454A (en) * 2006-09-29 2008-04-17 Rohm Co Ltd Semiconductor device and manufacturing method therefor
JPWO2014069662A1 (en) * 2012-11-05 2016-09-08 大日本印刷株式会社 Wiring structure
WO2014069662A1 (en) * 2012-11-05 2014-05-08 大日本印刷株式会社 Wiring structure
US9735108B2 (en) 2012-11-05 2017-08-15 Dai Nippon Printing Co., Ltd. Line structure and a method for producing the same
JP2018022894A (en) * 2012-11-05 2018-02-08 大日本印刷株式会社 Line structure
US10121748B2 (en) 2012-11-05 2018-11-06 Dai Nippon Printing Co., Ltd. Line structure and a method for producing the same
US10586768B2 (en) 2012-11-05 2020-03-10 Dai Nippon Printing Co., Ltd. Line structure and a method for producing the same
US11069618B2 (en) 2012-11-05 2021-07-20 Dai Nippon Printing Co., Ltd. Line structure and a method for producing the same
US11217530B2 (en) 2012-11-05 2022-01-04 Dai Nippon Printing Co., Ltd. Line structure and a method for producing the same
US11862564B2 (en) 2012-11-05 2024-01-02 Dai Nippon Printing Co., Ltd. Multi-layer line structure and method for manufacturing thereof
JP2014212225A (en) * 2013-04-19 2014-11-13 三菱電機株式会社 Semiconductor module electrode structure and manufacturing method of the same

Similar Documents

Publication Publication Date Title
US5719447A (en) Metal alloy interconnections for integrated circuits
JP2000077411A (en) Semiconductor device and manufacture thereof
JPH05206135A (en) Manufacture of semiconductor device
JP2701751B2 (en) Method for manufacturing semiconductor device
JPH02125447A (en) Semiconductor device and manufacture thereof
US6130155A (en) Method of forming metal lines in an integrated circuit having reduced reaction with an anti-reflection coating
JPH05144811A (en) Thin film semiconductor device and manufacture thereof
JPH05315332A (en) Semiconductor device and manufacture thereof
JP2000068269A (en) Semiconductor device and manufacture thereof
JPH03244126A (en) Manufacture of semiconductor device
JPS61214538A (en) Wiring structure and its manufacture
US20040099949A1 (en) Semiconductor device and fabrication method thereof
JP4752108B2 (en) Semiconductor device and manufacturing method thereof
JP3339901B2 (en) Semiconductor device having a multilayer wiring structure and method of manufacturing the same
KR100187685B1 (en) Metal layer forming method of semiconductor device
JPH11111842A (en) Multilayered wiring structure and its manufacture
JPH02186634A (en) Manufacture of integrated circuit device
JP4152164B2 (en) Manufacturing method of semiconductor device
JPH03171758A (en) Semiconductor device and manufacture thereof
JP3592209B2 (en) Method for manufacturing semiconductor device
JP2000183063A (en) Semiconductor device and manufacture thereof
KR100454577B1 (en) Method for forming multilayer metal interconnection of semiconductor device to improve electrical characteristic and electrical conductivity
KR20040009789A (en) Semiconductor device and fabrication method thereof
JPH118304A (en) Manufacture of semiconductor device
JPH07176531A (en) Wiring structure and forming method thereof