JP7426346B2 - 高アスペクト比構造の効率的な洗浄およびエッチング - Google Patents

高アスペクト比構造の効率的な洗浄およびエッチング Download PDF

Info

Publication number
JP7426346B2
JP7426346B2 JP2020569191A JP2020569191A JP7426346B2 JP 7426346 B2 JP7426346 B2 JP 7426346B2 JP 2020569191 A JP2020569191 A JP 2020569191A JP 2020569191 A JP2020569191 A JP 2020569191A JP 7426346 B2 JP7426346 B2 JP 7426346B2
Authority
JP
Japan
Prior art keywords
gas
substrate
processing chamber
reactive
liquid layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020569191A
Other languages
English (en)
Other versions
JPWO2019241060A5 (ja
JP2021527952A (ja
Inventor
ジュ・ジ
カワグチ・マーク
ミュッセルホワイト・ネイサン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021527952A publication Critical patent/JP2021527952A/ja
Publication of JPWO2019241060A5 publication Critical patent/JPWO2019241060A5/ja
Application granted granted Critical
Publication of JP7426346B2 publication Critical patent/JP7426346B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer

Description

関連出願の相互参照
本出願は、2018年6月13日に出願された米国仮出願第62/684,415号の利益を主張する。上記で参照された出願の全体の開示は、参照により本明細書に組み込まれる。
本開示は、基板を処理するための方法、より具体的には、高アスペクト比(HAR)構造を含む基板を効率的に洗浄およびエッチングするための方法に関する。
ここで提供される背景の説明は、本開示の内容を概ね提示することを目的とする。この背景技術のセクションで説明されている範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。
一般的に、半導体ウエハなどの基板の製作には複数の処理ステップが必要であり、そのような処理ステップは、材料の堆積、平坦化、フィーチャのパターニング、フィーチャのエッチング、および/またはフィーチャの洗浄を含むことがある。これらの処理ステップは、典型的には、基板の処理中に1回以上繰り返される。半導体デバイスのフィーチャサイズの縮小が続いていることから、所望のデバイス性能目標を達成するため、ビアやトレンチなどの高アスペクト比(HAR)構造の必要性が増している。HAR構造は、幾何学的閉鎖空間における拡散の減少および表面電荷層のオーバーラップにより、ウェット洗浄およびウェットエッチングの効率に課題を呈する。
基板を処理するための方法は、a)処理チャンバ内に基板を配置することと、b)気化溶媒およびガス混合物の少なくとも1つを処理チャンバに供給し、基板の露出面に溶媒の共形液体層を形成することと、c)処理チャンバから気化溶媒およびガス混合物の少なくとも1つを除去することと、d)ハロゲン種を含む反応性ガスを処理チャンバに供給することとを含む。共形液体層は、反応性ガスを吸着し、基板の露出面をエッチングする反応性液体層を形成する。
他の特徴において、反応性液体層は、基板の露出面と反応してガス生成物を生成する。基板の露出面は、残留物を形成することなくエッチングされる。気化溶媒およびガス混合物の少なくとも1つは、極性溶媒、水、過酸化物、イソプロピルアルコール、アセトン、四塩化炭素、ヘキサン、メタノール、およびエタノールからなる群から選択される。
他の特徴において、反応性ガスは、フッ化水素ガス、塩化水素ガス、および臭化水素ガスからなる群から選択される。基板は、深さと幅の比が5:1以上である複数の高アスペクト比(HAR)フィーチャを含む。
他の特徴において、気化溶媒およびガス混合物の少なくとも1つを処理チャンバに供給する前に、処理チャンバ内の圧力を1トル~10トルの圧力範囲に設定する。気化溶媒およびガス混合物の少なくとも1つを処理チャンバに供給する前に、処理チャンバ内の処理温度を0℃~400℃の温度範囲に設定する。気化溶媒およびガス混合物の少なくとも1つを処理チャンバに供給する前に、処理チャンバ内の処理温度を150℃~400℃の温度範囲に設定する。
他の特徴において、反応性液体層は、10オングストローム/分~100オングストローム/分の範囲のエッチング速度で露出面をエッチングする。他の特徴において、この方法は、a)~d)を含むサイクルを複数回実施することを含む。
他の特徴において、反応性液体層は、複数回のサイクルの各サイクル中に0.2オングストローム~1オングストロームずつ露出面をエッチングする。他の特徴において、この方法は、b)の前に、酸化性ガスを所定の期間にわたって処理チャンバに供給することと、酸化性ガスを排出することとを含む。
他の特徴において、酸化性ガスは、分子状酸素、オゾン、過酸化水素、亜酸化窒素、および二酸化窒素からなる群から選択されるガスを含む。酸化性ガスは、リモートプラズマにより供給される。酸化性ガスは、100℃~400℃の範囲の処理温度で供給される。
他の特徴において、この方法は、d)の後に、基板のウェット洗浄を実施することを含む。反応性液体層は、基板の露出面と反応してガス生成物を生成する。基板の露出面は、残留物を形成することなくエッチングされる。他の特徴において、a)~d)は、誘導結合プラズマ(ICP)チャンバ内で実施される。
本開示を適用可能な他の分野は、詳細な説明、特許請求の範囲および図面から明らかになるであろう。詳細な説明および特定の例は、例示のみを目的としており、本開示の範囲を限定することを意図するものではない。
本開示は、詳細な説明および添付の図面からより完全に理解されるであろう。
図1は、高アスペクト比(HAR)構造を含む基板の例の側面断面図である。
図2Aは、本開示による基板の洗浄またはエッチング中の基板の例の側面断面図である。 図2Bは、本開示による基板の洗浄またはエッチング中の基板の例の側面断面図である。
図3は、本開示による基板の洗浄またはエッチング方法の例のフローチャートである。
図4Aは、本開示による基板の洗浄またはエッチング中の基板の側面断面図である。 図4Bは、本開示による基板の洗浄またはエッチング中の基板の側面断面図である。 図4Cは、本開示による基板の洗浄またはエッチング中の基板の側面断面図である。
図5は、本開示による基板の洗浄またはエッチング方法の例のフローチャートである。
図6Aは、本開示による処理チャンバの機能ブロック図である。 図6Bは、本開示による処理チャンバの機能ブロック図である。
図7は、本開示による洗浄およびエッチングのための少なくとも1つの処理チャンバを含む基板処理ツールの機能ブロック図である。
これらの図面において、参照番号は、類似の要素および/または同一の要素を指すために再度利用されることがある。
高度なプロセスノードにおけるフィーチャサイズの縮小に伴い、高アスペクト比(HAR)構造がより一般的になりつつある。本明細書で使用する場合、HAR構造は、アスペクト比が5:1、10:1、または20:1を超えるフィーチャを指す。HAR構造は、幾何学的閉鎖空間における拡散の減少および表面電荷層のオーバーラップにより、ウェット洗浄およびウェットエッチングの効率に課題を呈する。本開示による方法は、ガス相または蒸気相の前処理を用いて、HAR構造を共形的にコーティングすることで後続の洗浄および除去の効率を改善する吸着液体層を生成することによって、この課題を克服する。
ガス相または蒸気相における材料の拡散は、液体相の場合と比較してはるかに速い(104倍程度速い)。本明細書に記載の方法は、ガス相または蒸気相から、吸着反応性種の液体層をHAR構造上に形成する。この吸着液体層のおかげで、化学反応によって、基板表面に存在する下層の残留物または膜を修飾し、残留物に対する洗浄効率または膜のエッチング効率を改善することができる。
いくつかの例では、プロセスは、真空チャンバ内において、真空で、蒸気曝露を制御し、所定の温度で実施される。いくつかの例では、圧力は、1T~10Tの範囲にある。いくつかの例では、基板温度は、エッチングまたは洗浄中に0℃~400℃の範囲に維持される。いくつかの例では、基板温度は、エッチングまたは洗浄中に150℃~400℃の範囲に維持される。他の例では、プロセスは、処理チャンバ内において大気圧で実施される。真空チャンバを使用するかどうかは、洗浄ステップまたはエッチングステップ中に使用される様々なプロセス温度および/または圧力での溶媒の揮発性によって決定することができる。溶媒が大気圧において揮発性でない場合、真空チャンバを使用することができる。
本開示による方法は、反応物がガス相または蒸気相で導入される点で原子層エッチング(ALE)に類似している。しかし、ALEでは、吸着層は大部分が単分子層であり、エッチングは単分子層反応で停止する。その結果、エッチング速度が遅く、例えば、1サイクルあたり材料の0.2オングストローム~1オングストローム程度である。加えて、ALEプロセスは、洗浄効率に対応していない。本開示による方法は、より高いエッチング速度を提供する。いくつかの例では、エッチング速度は、約10A/分~100A/分程度である。本開示による方法の別の利点は、ウェット洗浄化学反応と比較して本質的に選択性が高いことである。凝縮した液体層は、ウェット洗浄化学反応における液体のように効果的に作用する。
ここで図1を参照すると、基板100は、第1の層110と、第1の層110上に堆積された第2の層114とを含む。基板100は、第1の層110および第2の層114に画定される複数の高アスペクト比(HAR)構造108を含む。例えば、粒子または残留物120が、前の処理ステップの後にHAR構造108の底部に存在する場合があり、除去が必要になる場合がある。HAR構造の深さのため、洗浄中に粒子または残留物120を除去するのが困難な場合がある。
次に図2Aおよび図2Bを参照すると、洗浄および/またはエッチングプロセス中、基板100は、ガス混合物または気化溶媒A(g)に曝露される(Aは、溶媒である)。例えば、基板100を、処理チャンバ内の他の構成要素と比較して異なる温度に制御してもよい(例えば、基板100をより低い温度に維持する)。溶媒は、基板100上で液体として凝縮するガス混合物として処理チャンバ内に導入することができる。あるいは、ガス蒸気を処理チャンバに供給することができる。
図2Aでは、ガスまたは蒸気は、第2の層114上で凝縮し、共形液体層210を形成する(この共形液体層は、図示したように第2の層114の露出面に吸着される)。いくつかの例では、溶媒は、極性溶媒、水(H2O)、過酸化物(H22)、イソプロピルアルコール(C38OまたはIPA)、アセトン((CH32CO)、四塩化炭素(CCl4)、ヘキサン(C614)、メタノール(CH3OHまたはMeOH)、エタノール(C26OまたはEtOH)、および/または他の適切な溶媒からなる群から選択される。いくつかの例では、使用する溶媒は、洗浄またはエッチングされる第2の層114の膜材料に基づいて選択される。
続いて、図2Bに示すように、基板100は、反応性ガスB(g)に曝露される(Bは、フッ素(F)、塩素(Cl)、または臭素(Br)などのハロゲン種を含む)。いくつかの例では、反応性ガスは、フッ化水素ガス(HF)、塩化水素ガス(HCl)、または臭化水素(HBr)ガスを含む。反応性種は、液体層210によって吸着され、反応性種を含む反応性液体層220を形成する。反応性液体層220は、エッチング期間または洗浄期間中に第2の層114を洗浄またはエッチングする。形成された生成物による反応は、ガス相中の反応であり、ガスC(g)によって示すように、残留物を形成することなくHAR構造を離れる。
洗浄中などのいくつかの例では、反応性液体層220内の反応性種への曝露後に、ウェット洗浄ステップを実施してもよい。いくつかの例では、ウェット洗浄ステップは、穏やかな化学反応を使用する。いくつかの例では、ウェット洗浄ステップは、脱イオン水(DIW)またはオゾン化脱イオン水(DIO3)で基板をすすぐことを含む。
次に図3に、基板の洗浄またはエッチング方法300を示す。310において、基板を処理チャンバ内に配置する。314において、基板温度および/またはチャンバ圧力を制御する。318において、ガス混合物および/または気化溶媒を、第1の所定の期間にわたって処理チャンバに供給する。ガス混合物および/または気化溶媒は、液体層として第2の層114の露出面に吸着される。322において、反応性ガスを、第2の所定の期間にわたって処理チャンバに供給する。いくつかの例では、反応性ガスはハロゲン種を含む。そして、洗浄および/またはエッチングに十分な第3の所定の期間にわたって、反応性種を含む液体層に基板を曝露する。洗浄またはエッチングステップの後、326において、ウェット洗浄ステップを任意で実施してもよい。このプロセスは、1回以上繰り返すことができる。
次に図4A~図4Cを参照すると、図1の基板100は、別のプロセスを使用して洗浄またはエッチングすることができる。図4Aにおいて、基板100は酸化性ガス混合物に曝露され、これにより410に示すように第2の層114の露出面が酸化される。図4Bにおいて、基板100は、反応性ガスB(g)に曝露される前に、任意でガス混合物または気化溶媒A(g)に曝露される。ガス混合物または気化溶媒は、第2の層114上で凝縮し、共形液体層420を形成する。いくつかの例では、溶媒は、極性溶媒、水(H2O)、過酸化物(H22)、イソプロピルアルコール(C38OまたはIPA)、アセトン((CH32CO)、四塩化炭素(CCl4)、ヘキサン(C614)、メタノール(CH3OHまたはMeOH)、および/またはエタノール(C26OまたはEtOH)からなる群から選択される。
続いて、図4Cに示すように、基板100は、反応性ガスB(g)に曝露される(Bは、フッ素(F)、塩素(Cl)、または臭素(Br)などのハロゲン種を含む)。反応性種は、液体層420によって吸着され、反応性種を含む液体層430を形成する。液体層430は、洗浄期間またはエッチング期間中に第2の層114をそれぞれ洗浄またはエッチングする。形成された生成物による反応は、ガス相中の反応であり、ガスC(g)によって示すように、残留物を形成することなくHAR構造を離れる。
洗浄中などのいくつかの例では、(硫酸過酸化物混合物(SPM)などの他の洗浄ステップと比較して)穏やかな化学反応を使用して、(液体層430内の)反応性種への曝露後に、単純ウェット洗浄ステップを実施してもよい。いくつかの例では、単純ウェット洗浄は、脱イオン水(DIW)またはオゾン化脱イオン水(DIO3)で基板をすすぐことを含んでよい。
次に図5に、基板の洗浄またはエッチング方法500を示す。510において、基板を処理チャンバ内に配置する。514において、基板温度および/またはチャンバ圧力を制御する。518において、酸化性ガスを、第1の所定の期間中に処理チャンバに供給する。いくつかの例では、酸化性ガスは、分子状酸素(O2)、オゾン(O3)、過酸化物(H22)、亜酸化窒素(N2O)、二酸化窒素(NO2)を含むが、他の酸化性ガスを使用してもよい。酸化性ガスは、リモートプラズマ源(RPS)を使用してリモートプラズマにより供給することができ、または高温の処理チャンバ温度での熱反応を使用して供給することができる。いくつかの例において、高温の処理チャンバ温度とは、100℃~400℃の範囲である。いくつかの例において、O2、O3、およびH22の場合の高温とは、50℃~250℃の範囲である。いくつかの例において、N2OおよびNO2の場合の高温とは、200℃~400℃の範囲である。
任意のステップ522において、ガスおよび/または気化溶媒を、第2の所定の期間にわたって処理チャンバに供給する。ガスおよび/または気化溶媒は、液体層として第2の層114の露出面に吸着される。526において、反応性ガスを、第3の所定の期間にわたって処理チャンバに供給する。反応性ガスはハロゲン種を含む。そして、洗浄および/またはエッチングに十分な第4の所定の期間にわたって、反応性種を含む液体層に基板を曝露する。530において、任意でウェット洗浄ステップを実施してもよい。このプロセスは、必要に応じて1回以上繰り返すことができる。
一例では、図5の方法は、TiN/TiSiN膜の選択的エッチングに使用される。この例では、熱酸化(O3またはO2/N2)またはリモート誘導結合プラズマ(ICP)(O2またはO2/N2)を使用して、酸化ガスを供給する。酸化ステップにより、TiO2層が形成される。溶媒は、IPA、MeOH、またはEtOHなどのアルコールを含む。反応性ガスは、HCl、HF、またはHBrを含む。TiO2は、揮発性であるTiClx、TiFy、またはTiBrz(x、y、およびzは、整数である)に変換される。
図5に記載の方法には、洗浄効率がより高いという利点がある。ガスの拡散は、液体の拡散よりも約104倍程度高く、デバイ長の制約はない。プロセスは酸化の厚さによって限定され、これにより均一性を制御する。このプロセスを1回以上繰り返すことによって、さらに厚さを除去することができる。
次に図6Aに、真空でエッチングまたは洗浄を実施するための基板処理チャンバ600の例を示す。ここでは特定の基板処理チャンバを図示して説明するが、他のタイプの基板処理システムを使用して方法を実施してもよい。例えば、大気圧で動作する基板処理システムを使用することができる。基板処理チャンバ600は、下部チャンバ領域602と上部チャンバ領域604を含む。下部チャンバ領域602は、チャンバ側壁面608、チャンバ底面610、およびガス分配装置614の下面によって画定される。
上部チャンバ領域604は、ガス分配装置614の上面およびドーム618の内面によって画定される。いくつかの例では、ドーム618は、第1の環状支持体621上に配置される。いくつかの例では、第1の環状支持体621は、プロセスガスを上部チャンバ領域604に供給するための1つの穴または間隔を空けた複数の穴623を含む。いくつかの例では、プロセスガスは、1つの穴または間隔を空けた複数の穴623によって、ガス分配装置614を含む平面に対して鋭角で上方向に供給されるが、他の角度/方向を使用してもよい。いくつかの例では、第1の環状支持体621内のガス流路634が、1つの穴または間隔を空けた複数の穴623にガスを供給する。
第1の環状支持体621は、プロセスガスをガス流路629から下部チャンバ領域602に供給するための1つの穴または間隔を空けた複数の穴627を画定する第2の環状支持体625上に配置することができる。いくつかの例では、ガス分配装置614内の穴631は、穴627と整列している。他の例では、ガス分配装置614の直径がより小さく、穴631は必要ない。いくつかの例では、プロセスガスは、1つの穴または間隔を空けた複数の穴627によって、ガス分配装置614を含む平面に対して鋭角で基板に向けて下方向に供給されるが、他の角度/方向を使用してもよい。
他の例では、上部チャンバ領域604は、平坦な上面を有する円筒形であり、1つまたは複数のフラット誘導コイルを使用することができる。さらに他の例では、シャワーヘッドと基板支持体の間にスペーサを配置した単一チャンバを使用してもよい。
基板支持体622は、下部チャンバ領域602内に配置される。いくつかの例では、基板支持体622は静電チャック(ESC)を含むが、他のタイプの基板支持体を使用してもよい。エッチングの際、基板支持体622の上面に基板626を配置する。いくつかの例では、基板626の温度は、加熱プレート617、流体流路を有する任意の冷却プレート、および1つまたは複数のセンサ(図示せず)によって制御することができるが、任意の他の適切な基板支持体温度制御システムを使用してもよい。
いくつかの例では、ガス分配装置614は、シャワーヘッド(例えば、間隔を空けた複数の穴635を有するプレート633)を含む。間隔を空けた複数の穴635は、プレート633の上面からプレート633の下面に延びる。いくつかの例では、間隔を空けた穴635の直径は、0.1”(インチ)~0.75”の範囲である。いくつかの例では、シャワーヘッドは、アルミニウムなどの導電性材料、またはセラミックなどの非導電性材料で作製され、導電性材料製の埋め込み電極を備える。
ドーム618の外側部分の周囲には、1つまたは複数の誘導コイル640が配置される。通電時、1つまたは複数の誘導コイル640は、ドーム618の内部に電磁場を発生させる。いくつかの例では、上部コイルと下部コイルを使用する。ガスインジェクタ642は、ガス供給システム650-1から1つまたは複数のガス混合物を注入する。
いくつかの例では、ガス供給システム650-1は、1つまたは複数のガス源652と、1つまたは複数の弁654と、1つまたは複数のマスフローコントローラ(MFC)656と、混合マニホールド658とを含むが、他のタイプのガス供給システムを使用しててもよい。蒸気供給システム659は、キャリアガスおよび別のガスを含む蒸気を処理チャンバに供給する。
ガススプリッタ(図示せず)を使用して、ガス混合物の流量を変化させてもよい。また、別のガス供給システム650-2を使用して、(ガスインジェクタ642からのエッチングガスに加えて、またはその代わりに)エッチングガスまたはエッチングガス混合物をガス流路629および/または634に供給してもよい。
適切なガス供給システムは、本発明の譲受人に譲渡された2015年12月4日出願の米国特許出願第14/945,680号、発明の名称「Gas Delivery System」に図示および説明されており、上記出願の全体が参照により本明細書に組み込まれる。適切なシングルまたはデュアルガスインジェクタおよび他のガス注入位置は、本発明の譲受人に譲渡された2016年1月7日出願の米国仮特許出願第62/275,837号、発明の名称「Substrate Processing System with Multiple Injection Points and Dual Injector」に図示および説明されており、上記出願の全体が参照により本明細書に組み込まれる。
いくつかの例では、ガスインジェクタ642は、ガスを下方向に向ける中央注入位置と、下方向に対して斜めにガスを注入する1つまたは複数の側方注入位置とを含む。いくつかの例では、ガス供給システム650-1は、ガス混合物のうち第1の割合を第1の流量で中央注入位置に供給し、ガス混合物のうち第2の割合を第2の流量でガスインジェクタ642の側方注入位置に供給する。他の例では、異なるガス混合物をガスインジェクタ642によって供給する。いくつかの例では、ガス供給システム650-1は、以下に説明するように、調節ガスを、ガス流路629および634に、ならびに/または処理チャンバ内の他の場所に供給する。
1つまたは複数の誘導コイル640に出力されるRF電力の生成には、プラズマ発生器670を使用することができる。プラズマ690は、上部チャンバ領域604内で生成される。いくつかの例では、プラズマ発生器670は、RF源672と整合ネットワーク674を含む。整合ネットワーク674は、RF源672のインピーダンスを1つまたは複数の誘導コイル640のインピーダンスに整合させる。いくつかの例では、ガス分配装置614は、接地などの基準電位に接続される。弁678およびポンプ680を使用して、下部チャンバ領域602および上部チャンバ領域604の内部の圧力を制御し、反応物を排出することができる。
コントローラ676は、ガス供給システム650-1および650-2、弁678、ポンプ680、および/またはプラズマ発生器670と通信し、プロセスガス流、パージガス流、RFプラズマ流、およびチャンバ圧力を制御する。いくつかの例では、プラズマは、1つまたは複数の誘導コイル640によってドーム618の内部に維持される。ガスインジェクタ642(および/または穴623)を使用して、1つまたは複数のガス混合物をチャンバの最上部から導入し、ガス分配装置614を使用してプラズマをドーム618内に閉じ込める。
いくつかの例では、RF源686と任意の整合ネットワーク688を含むRFバイアス684が供給される。RFバイアス電力を使用して、ガス分配装置614と基板支持体との間にプラズマを生成するか、または基板626上に自己バイアスを生成し、イオンを引き付けることができる。コントローラ676は、RFバイアス電力の制御に使用することができる。
次に図6Bを参照すると、蒸気供給システム659は、バブラまたはアンプルを含むことができる。蒸気供給システム659は、弁V1によってマスフローコントローラ694に接続されたキャリアガス源692を含む。蒸気供給システム659は、キャリアガスの流れまたはキャリアガスと溶媒の混合物の流れを遮断または制御するように構成された弁V2、V3、V4、V5、およびV6をさらに含む。温度センサ697およびヒータ698は、アンプル696内の溶媒の温度を制御するために使用される。キャリアガスは、弁V1、V2、V4、V5、およびV6を開くことによって供給できる。キャリアガスおよび溶媒は、弁V1、V2、V3、V5、およびV6を開き、弁V4を閉じることによって供給できる。
次に図7に、本開示による基板処理ツール710を示す。基板処理ツール710は、中央位置に配置されたロボット712を含む。ロボット712は、真空または大気圧で動作することができる。基板処理ツール710は、ロボット712の周りに配置された複数のステーション716-1、716-2、…、および716-S(集合的にステーション716)(Sは、1よりも大きい整数である)を含む。ステーション716は、等しいまたは不規則な角度オフセットで、基板処理ツール710の中央の周りに配置することができる。ステーション716の例としては、堆積、エッチング、前洗浄、後洗浄、スピン洗浄などを挙げることができる。初めに、基板はカセット734内に置くことができる。全体を738で示すロボットおよびロードロックを使用して、基板をカセット734から基板処理ツール710に移動させることができる。処理が完了すると、ロボットおよびロードロック738は、基板をカセット734および/または別のカセット739に戻すことができる。
いくつかの例では、複数のステーション716の1つは、堆積またはエッチングを実施する。複数のステーション716の別の1つは、上述の洗浄またはエッチングを実施する。複数のステーションの別の1つ(スピン洗浄チャンバなど)は、上述の単純ウェット洗浄ステップを実施する。いくつかの例では、基板は、ロボット712によって堆積またはエッチングステーションから洗浄またはエッチングステーションに移動され、その後、単純ウェット洗浄ステーションに移動される。
前述の説明は、本質的に単に例示的であり、本開示、その適用、または使用を限定する意図は全くない。本開示の広範な教示は、様々な形態で実施することができる。したがって、本開示は具体的な例を含むが、図面、明細書、および以下の特許請求の範囲を検討すると他の変更態様が明白となるので、本開示の真の範囲はそのような例に限定されるべきでない。方法における1つまたは複数の工程は、本開示の原理を変更することなく、異なる順序で(または同時に)実行してもよいことを理解されたい。さらに、各実施形態は特定の特徴を有するものとして上記に説明されているが、本開示のいずれかの実施形態に関して説明したこれらの特徴のいずれか1つまたは複数を、他の実施形態において実施すること、および/または、他の実施形態のいずれかの特徴と組み合わせることが(たとえそのような組み合わせが明示的に説明されていないとしても)可能である。言い換えれば、説明された実施形態は相互に排他的ではなく、1つまたは複数の実施形態を互いに入れ替えることは本開示の範囲に含まれる。
要素同士(例えば、モジュール同士、回路要素同士、半導体層同士など)の空間的および機能的関係は、「接続された」、「係合された」、「結合された」、「隣接した」、「隣に」、「上に」、「上方に」、「下方に」、および「配置された」などの様々な用語を使用して説明される。また、上記開示において第1の要素と第2の要素との間の関係が説明されるとき、「直接」であると明示的に説明されない限り、その関係は、第1の要素と第2の要素との間に他の介在要素が存在しない直接的な関係の可能性があるが、第1の要素と第2の要素との間に1つまたは複数の介在要素が(空間的または機能的に)存在する間接的な関係の可能性もある。本明細書で使用する場合、A、B、およびCの少なくとも1つという表現は、非排他的論理ORを使用した論理(AまたはBまたはC)の意味で解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、およびCの少なくとも1つ」の意味で解釈されるべきではない。
いくつかの実施態様では、コントローラはシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理装置を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラは、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または、1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様では、システムと統合されるか、システムに結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書に記載のプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。本開示は、以下の形態によって実現されてもよい。
[形態1]
基板を処理するための方法であって、
a)処理チャンバ内に基板を配置することと、
b)気化溶媒およびガス混合物の少なくとも1つを前記処理チャンバに供給し、前記基板の露出面に共形液体層を形成することと、
c)前記処理チャンバから前記気化溶媒および前記ガス混合物の前記少なくとも1つを除去することと、
d)ハロゲン種を含む反応性ガスを前記処理チャンバに供給することと
を含み、
前記共形液体層は、前記反応性ガスを吸着し、前記基板の前記露出面をエッチングする反応性液体層を形成する、
方法。
[形態2]
形態1に記載の方法であって、
前記反応性液体層は、前記基板の前記露出面と反応してガス生成物を生成する、方法。
[形態3]
形態1に記載の方法であって、
前記基板の前記露出面は、残留物を形成することなくエッチングされる、方法。
[形態4]
形態1に記載の方法であって、
気化溶媒およびガス混合物の前記少なくとも1つは、極性溶媒、水、過酸化物、イソプロピルアルコール、アセトン、四塩化炭素、ヘキサン、メタノール、およびエタノールからなる群から選択される、方法。
[形態5]
形態4に記載の方法であって、
前記反応性ガスは、フッ化水素ガス、塩化水素ガス、および臭化水素ガスからなる群から選択される、方法。
[形態6]
形態1に記載の方法であって、
前記基板は、深さと幅の比が5:1以上である複数の高アスペクト比(HAR)フィーチャを含む、方法。
[形態7]
形態1に記載の方法であって、
前記気化溶媒および前記ガス混合物の前記少なくとも1つを前記処理チャンバに供給する前に、前記処理チャンバ内の圧力を1トル~10トルの圧力範囲に設定することをさらに含む、方法。
[形態8]
形態1に記載の方法であって、
前記気化溶媒および前記ガス混合物の前記少なくとも1つを前記処理チャンバに供給する前に、前記処理チャンバ内の処理温度を0℃~400℃の温度範囲に設定することをさらに含む、方法。
[形態9]
形態1に記載の方法であって、
前記反応性液体層は、10オングストローム/分~100オングストローム/分の範囲のエッチング速度で前記露出面をエッチングする、方法。
[形態10]
形態1に記載の方法であって、
a)~d)を含むサイクルを複数回実施することをさらに含む、方法。
[形態11]
形態10に記載の方法であって、
前記反応性液体層は、前記複数回のサイクルの各サイクル中に0.2オングストローム~1オングストロームずつ前記露出面をエッチングする、方法。
[形態12]
形態1に記載の方法であって、
b)の前に、
酸化性ガスを所定の期間にわたって前記処理チャンバに供給することと、
前記酸化性ガスを排出することと
をさらに含む、方法。
[形態13]
形態12に記載の方法であって、
前記酸化性ガスは、分子状酸素、オゾン、過酸化水素、亜酸化窒素、および二酸化窒素からなる群から選択されるガスを含む、方法。
[形態14]
形態12に記載の方法であって、
前記酸化性ガスは、リモートプラズマにより供給される、方法。
[形態15]
形態12に記載の方法であって、
前記酸化性ガスは、100℃~400℃の範囲の処理温度で供給される、方法。
[形態16]
形態12に記載の方法であって、
d)の後に、前記基板のウェット洗浄を実施することをさらに含む、方法。
[形態17]
形態12に記載の方法であって、
前記反応性液体層は、前記基板の前記露出面と反応してガス生成物を生成する、方法。
[形態18]
形態1に記載の方法であって、
前記基板の前記露出面は、残留物を形成することなくエッチングされる、方法。
[形態19]
形態1に記載の方法であって、
a)~d)は、誘導結合プラズマ(ICP)チャンバ内で実施される、方法。

Claims (16)

  1. 基板を処理するための方法であって、
    a)処理チャンバ内に前記基板を配置することと、
    b)気化溶媒およびハロゲン種を含む反応性スを前記処理チャンバに同時に供給し、前記基板の露出面に共形液体層を形成することと
    を含み、
    前記共形液体層は、残留物を形成することなく気体副生成物を形成しながら、前記反応性ガスを吸着し、前記基板の前記露出面をエッチングする反応性液体層を形成する、
    方法。
  2. 請求項1に記載の方法であって、
    前記反応性液体層は、前記基板の前記露出面と反応してガス生成物を生成する、方法。
  3. 請求項1に記載の方法であって、
    前記反応性ガスは、フッ化水素ガス、塩化水素ガス、および臭化水素ガスからなる群から選択される、方法。
  4. 請求項1に記載の方法であって、
    前記基板は、深さと幅の比が5:1以上である複数の高アスペクト比(HAR)フィーチャを含む、方法。
  5. 請求項1に記載の方法であって、
    前記気化溶媒および前記反応性スを前記処理チャンバに供給する前に、前記処理チャンバ内の圧力を1トル~10トルの圧力範囲に設定することをさらに含む、方法。
  6. 請求項1に記載の方法であって、
    前記気化溶媒および前記反応性スを前記処理チャンバに供給する前に、前記処理チャンバ内の処理温度を0℃~400℃の温度範囲に設定することをさらに含む、方法。
  7. 請求項1に記載の方法であって、
    前記反応性液体層は、10オングストローム/分~100オングストローム/分の範囲のエッチング速度で前記露出面をエッチングする、方法。
  8. 請求項1に記載の方法であって、
    a)およびb)を含むサイクルを複数回実施することをさらに含む、方法。
  9. 請求項8に記載の方法であって、
    前記反応性液体層は、前記複数回のサイクルの各サイクル中に0.2オングストローム~1オングストロームずつ前記露出面をエッチングする、方法。
  10. 請求項1に記載の方法であって、
    b)の前に、
    酸化性ガスを所定の期間にわたって前記処理チャンバに供給することと、
    前記酸化性ガスを排出することと
    をさらに含む、方法。
  11. 請求項10に記載の方法であって、
    前記酸化性ガスは、分子状酸素、オゾン、過酸化水素、亜酸化窒素、および二酸化窒素からなる群から選択されるガスを含む、方法。
  12. 請求項10に記載の方法であって、
    前記酸化性ガスは、リモートプラズマにより供給される、方法。
  13. 請求項10に記載の方法であって、
    前記酸化性ガスは、100℃~400℃の範囲の処理温度で供給される、方法。
  14. 請求項10に記載の方法であって、
    b)の後に、前記基板のウェット洗浄を実施することをさらに含む、方法。
  15. 請求項1に記載の方法であって、
    a)~b)は、誘導結合プラズマ(ICP)チャンバ内で実施される、方法。
  16. 請求項1に記載の方法であって、
    前記基板の温度を、前記処理チャンバの他の構成要素と比較して異なる温度で制御することをさらに含む、方法。
JP2020569191A 2018-06-13 2019-06-07 高アスペクト比構造の効率的な洗浄およびエッチング Active JP7426346B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862684415P 2018-06-13 2018-06-13
US62/684,415 2018-06-13
PCT/US2019/036015 WO2019241060A1 (en) 2018-06-13 2019-06-07 Efficient cleaning and etching of high aspect ratio structures

Publications (3)

Publication Number Publication Date
JP2021527952A JP2021527952A (ja) 2021-10-14
JPWO2019241060A5 JPWO2019241060A5 (ja) 2022-06-15
JP7426346B2 true JP7426346B2 (ja) 2024-02-01

Family

ID=68842041

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020569191A Active JP7426346B2 (ja) 2018-06-13 2019-06-07 高アスペクト比構造の効率的な洗浄およびエッチング

Country Status (5)

Country Link
US (2) US11488831B2 (ja)
JP (1) JP7426346B2 (ja)
KR (1) KR20210011493A (ja)
CN (1) CN112335016A (ja)
WO (1) WO2019241060A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11282696B2 (en) * 2019-11-22 2022-03-22 Dangsheng Ni Method and device for wet processing integrated circuit substrates using a mixture of chemical steam vapors and chemical gases
CN114908389A (zh) * 2022-06-07 2022-08-16 上海华力集成电路制造有限公司 高深宽比结构中电镀液的填充方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003289101A (ja) 2001-12-27 2003-10-10 Tobu Denshi Kk 半導体素子のクリーニング方法
WO2004001808A8 (en) 2002-06-23 2004-08-19 Aviza Tech Inc Method and system for atomic layer removal and atomic layer exchange
JP2009060145A (ja) 2008-12-05 2009-03-19 Tokyo Electron Ltd 酸化膜除去方法
JP2012238711A (ja) 2011-05-11 2012-12-06 Tokyo Electron Ltd 堆積物除去方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61148820A (ja) * 1984-12-24 1986-07-07 Hitachi Ltd 処理方法
JPH069195B2 (ja) * 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
JP2549006B2 (ja) * 1990-08-15 1996-10-30 大日本スクリーン製造株式会社 基板の表面処理方法
US5749975A (en) * 1995-12-28 1998-05-12 Micron Technology, Inc. Process for dry cleaning wafer surfaces using a surface diffusion layer
US7378355B2 (en) * 1997-05-09 2008-05-27 Semitool, Inc. System and methods for polishing a wafer
US8187486B1 (en) * 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20160111342A1 (en) * 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
JP6960400B2 (ja) * 2015-11-10 2021-11-05 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング反応物質、およびそれを使用するプラズマフリーの酸化物エッチング方法
WO2017099718A1 (en) * 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10692724B2 (en) 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10242883B2 (en) * 2017-06-23 2019-03-26 Lam Research Corporation High aspect ratio etch of oxide metal oxide metal stack

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003289101A (ja) 2001-12-27 2003-10-10 Tobu Denshi Kk 半導体素子のクリーニング方法
WO2004001808A8 (en) 2002-06-23 2004-08-19 Aviza Tech Inc Method and system for atomic layer removal and atomic layer exchange
JP2009060145A (ja) 2008-12-05 2009-03-19 Tokyo Electron Ltd 酸化膜除去方法
JP2012238711A (ja) 2011-05-11 2012-12-06 Tokyo Electron Ltd 堆積物除去方法

Also Published As

Publication number Publication date
CN112335016A (zh) 2021-02-05
US20210249274A1 (en) 2021-08-12
US11488831B2 (en) 2022-11-01
TW202013435A (zh) 2020-04-01
US20230035732A1 (en) 2023-02-02
JP2021527952A (ja) 2021-10-14
KR20210011493A (ko) 2021-02-01
WO2019241060A1 (en) 2019-12-19

Similar Documents

Publication Publication Date Title
JP7241705B2 (ja) 半導体製造における金属ドープ炭素系ハードマスクの除去
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
US10714354B2 (en) Self limiting lateral atomic layer etch
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
US10373840B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
KR102644442B1 (ko) 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법
TWI782742B (zh) 處理裝置及處理系統
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층
TW201715610A (zh) 非等向性鎢蝕刻用方法及設備
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
JP2023517291A (ja) モリブデンの原子層エッチング
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
KR20200128449A (ko) 하프늄 옥사이드 기반 강유전체 (ferroelectric) 재료에 대한 캡핑 (capping) 층
TWI834675B (zh) 高深寬比結構之有效率的清潔和蝕刻
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
JP2020530210A (ja) プラズマレス脱ハロゲン化のためのシステムおよび方法
KR20170132666A (ko) 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 디포짓하기 위한 기법
CN116235113A (zh) 等离子体腔室中的灰分比恢复方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220607

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220607

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230627

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231226

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240122

R150 Certificate of patent or registration of utility model

Ref document number: 7426346

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150