JP7062682B2 - 半導体構造体の寄生容量を低減する方法および寄生容量を低減する半導体構造体 - Google Patents

半導体構造体の寄生容量を低減する方法および寄生容量を低減する半導体構造体 Download PDF

Info

Publication number
JP7062682B2
JP7062682B2 JP2019554969A JP2019554969A JP7062682B2 JP 7062682 B2 JP7062682 B2 JP 7062682B2 JP 2019554969 A JP2019554969 A JP 2019554969A JP 2019554969 A JP2019554969 A JP 2019554969A JP 7062682 B2 JP7062682 B2 JP 7062682B2
Authority
JP
Japan
Prior art keywords
source
drain region
spacer
region
sti
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019554969A
Other languages
English (en)
Other versions
JP2020513160A5 (ja
JP2020513160A (ja
Inventor
ミャオ、シン
チョン、カングオ
チャン、チェン
シュウ、ウェンユ
オルディゲス、フィリップ、ジョセフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2020513160A publication Critical patent/JP2020513160A/ja
Publication of JP2020513160A5 publication Critical patent/JP2020513160A5/ja
Application granted granted Critical
Publication of JP7062682B2 publication Critical patent/JP7062682B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は、概して半導体デバイスに関し、より詳細には、寄生容量が低減された縦型電界効果トランジスタ(FET)の形成に関する。
電界効果トランジスタ(FET)は、ソース、ゲート、およびドレインを有するトランジスタである。FETの動作は、ソースとドレインとの間でゲートを通過するチャネルに沿った多数キャリアの流れに依存する。ソースとドレインとの間にある、チャネルを通過する電流は、ゲートの下の横電場によって制御される。1つより多いゲート(マルチゲート)は、チャネルをより効率的に制御するのに使用され得る。ゲートの長さは、如何に速くFETを切り替えるかを決定する。
FETのサイズは、1つまたは複数のフィン形状チャネルを使用することにより縮小されてきた。そのようなチャネル構造を使用するFETは、FinFETと呼ばれ得る。フィンは、ゲートの作用を受けるチャネルの表面積を最大化するために垂直チャネル構造を使用する。ゲートはチャネルの片側(面)以上にわたって延在するので、ゲートはチャネルをより強く制御する。いくつかのデバイスでは、ゲートはチャネルを完全に囲むことができ、すなわち、懸架式チャネルがゲートを貫通し、チャネルのすべての面がゲートの作用を受ける。マルチゲートFETを製造する1つの課題は、従来の平面FETに比べて本質的に高い寄生容量である。
したがって、当技術分野において上記課題に対処する必要がある。
一実施形態によれば、半導体構造体の寄生容量を低減する方法が提供される。方法は、基板の上にフィン構造体を形成することと、フィン構造体と基板との間の第1のソース/ドレイン領域を形成することと、フィン構造体に隣接する第1のスペーサを形成することと、第1のソース/ドレイン領域に隣接する第2のスペーサを形成することと、露出面において第1のソース/ドレイン領域を陥凹させることと、を含む。方法は、陥凹した第1のソース/ドレイン領域の露出面内にシャロー・トレンチ・アイソレーション(STI:Shallow Trench Isolation)領域を形成することと、STI領域の上にボトム・スペーサを付着させることと、ボトム・スペーサの上に金属ゲート・スタックを形成することと、金属ゲート・スタックの上にトップ・スペーサを付着させることと、金属ゲート・スタックを切ることと、フィン構造体の上に第2のソース/ドレイン領域を形成することと、STI領域が金属ゲート・スタックと第1のソース/ドレイン領域との間のある長さに延在するようにコンタクトを形成することと、をさらに含む。
一実施形態によれば、寄生容量を低減する半導体構造体が提供される。半導体構造体は、基板の上に形成されたフィン構造体、フィン構造体と基板との間に形成された第1のソース/ドレイン領域、フィン構造体に隣接して形成された第1のスペーサ、および第1のソース/ドレイン領域に隣接して形成された第2のスペーサを含み、第1のソース/ドレイン領域は露出面に陥凹される。半導体構造体は、陥凹した第1のソース/ドレイン領域の露出面内に形成されたシャロー・トレンチ・アイソレーション(STI)領域、STI領域の上に付着したボトム・スペーサ、ボトム・スペーサの上に形成された金属ゲート・スタック、金属ゲート・スタックの上に付着したトップ・スペーサ、切り取られた金属ゲート・スタック、フィン構造体の上に形成された第2のソース/ドレイン領域、およびSTI領域が金属ゲート・スタックと第1のソース/ドレイン領域との間のある長さに延在するように形成されたコンタクトをさらに含む。
例示的な実地形態が様々な発明の対象に関連して説明されることに留意されたい。具体的には、方法タイプ・クレームに関連して説明される実施形態がある一方、装置タイプ・クレームに関連して説明されている実施形態もある。しかし、当業者であれば、特に断りのない限り、あるタイプの発明の対象に属する特徴のいずれの組合せにも加えて、様々な発明の対象に関係する特徴間、具体的には、方法タイプ・クレームの特徴と、装置タイプ・クレームの特徴との間のいずれの組合せも、本書内で説明されるとして考えられることを、上記および以下の説明から推察するであろう。
これらおよび他の特徴および利点は、添付図面に関連して読まれる、説明的な実施形態の以下の詳細な説明から明らかになるであろう。
本発明は、以下の図に関連して好ましい実施形態の以下の説明において詳細を提供する。
本発明による、半導体基板の上に形成されたフィンの斜視図である。 本発明による、フィンが切られている図1の構造体の斜視図である。 本発明による、アクティブ領域を形成する際の切断部を描写するための切り取りが実行される、図2の構造体の斜視図である。 本発明による、軸A-A’に沿ったフィンの切断部を描写する、図3の構造体の断面図である。 本発明による、第1のソース/ドレイン領域が形成され、共形誘電体ライナ(conformal dielectric liner)が付着した、図4の構造体の断面図である。 本発明による、第1のソース/ドレイン領域に隣接した第1のスペーサ・セット、およびフィンに隣接した第2のスペーサ・セットを作るようにエッチングが実行される、図5の構造体の断面図である。 本発明による、第1のソース/ドレイン領域が露出面において陥凹される、図6の構造体の断面図である。 本発明による、シャロー・トレンチ・アイソレーション(STI)領域が、第1のソース/ドレイン領域の上に形成される、図7の構造体の断面図である。 本発明による、フィンに隣接した第2のスペーサ・セットが選択的に取り除かれ、ボトム・スペーサが、STIおよび第1のソース/ドレイン領域の露出された領域の上に付着した、図8の構造体の断面図である。 本発明による、金属ゲート・スタックがボトム・スペーサの上に形成される、図9の構造体の断面図である。 本発明による、トップ・スペーサが金属ゲート・スタックの上に形成される、図10の構造体の断面図である。 本発明による、金属ゲート・スタックが切られる、図11の構造体の断面図である。 本発明による、トップ・ソース/ドレイン領域が、フィンの上に直接形成される、図12の構造体の断面図である。 本発明による、トップおよびボトム・コンタクトが形成される、図13の構造体の断面図である。 本発明による、寄生容量を低減する方法のブロック/流れ図である。
図面全体を通して、同一または類似の参照番号は、同一または類似の要素を表す。
本発明による実施形態は、縦型電界効果トランジスタ(VFET)デバイスにおいて寄生容量を低減する方法およびデバイスを提供する。FETは通常、半導体基板上に形成され、ソース領域とドレイン領域との間に付着したチャネル領域、およびチャネル領域を通してソース領域とドレイン領域を電気的に接続するように構成されたゲートを含む。チャネル領域が基板の主表面に平行である構造体は、平面状FET構造体と呼ばれるが、チャネル領域が基板の主表面に垂直である構造体は、VFETと呼ばれる。したがって、VFETデバイスにおいて、ソース領域とドレイン領域との間の電流の流れの方向は、基板の主表面に垂直である。VFETデバイスは、基板から上方に延びる縦型フィンまたはナノワイヤを含む。フィンまたはナノワイヤは、トランジスタのチャネル領域を形成する。ソース領域およびドレイン領域は、チャネル領域のトップ端およびボトム端に電気的に接触して位置しているが、ゲートは、フィン側壁またはナノワイヤ側壁の1つまたは複数の上に付着している。縦型FETアーキテクチャにおいて、接触したゲートピッチは、ゲート長から分離され得る。しかし、縦型FETにおいて、ボトム・ソース/ドレイン(S/D)への接触は、ボトムS/D接触がゲートに重なるように、構造体のトップから形成される。この重なり構成は、隣接する導電素子間の望ましくない寄生容量を作り出す。
本発明による実施形態は、ゲートとボトム・ソース/ドレイン(S/D)との間の重なりを縮小することによって、寄生容量を低減する方法およびデバイスを提供する。ゲートとボトムS/Dとの間の距離は、約10nmより大きくなるように延ばされ、それが、デバイスの最大電圧(Vmax)を上げる。本発明による実施形態は、ゲート-ソース/ドレイン容量をさらに低減し、最大動作電圧を向上させるために、逆段差(reverse-stepped)形状の混成スペーサを有するVFETを形成する方法およびデバイスを提供する。
本発明は、所与の例示的アーキテクチャの観点から説明されるが、他のアーキテクチャ、構造体、基板材料、およびプロセス特徴ならびにステップ/ブロックは本発明の範囲内で変更され得る、ことが理解されよう。ある特徴は、分かりやすくするためにすべての図に示されているわけではないことに留意されたい。これは、如何なる特定の実施形態、または図、または特許請求の範囲の限定として解釈されることを意図しない。
図1は、本発明による、半導体基板の上に形成されたフィンの斜視図である。
半導体構造体5は、半導体基板10を含む。フィン12は、基板10の上に形成される。ハード・マスク14は、フィン12の上に形成され得る。
1つまたは複数の実施形態において、基板10は、半導体または活性表面半導体層を有する絶縁体であり得る。基板10は、結晶性、準結晶性、微結晶性、または非結晶性であり得る。
図2は、本発明による、フィンが切り取られている、図1の構造体の斜視図である。
様々な例示的な実施形態において、フィン12が切り取られる。フィン12は、エッチングによって切り取られ得る。通常、2つの異なるエッチング・マスクを含む、2つの別個のフィン取り除きプロセスまたは「フィン切り取り」エッチング・プロセスは、不必要なフィン(またはそれの一部)を取り除くように行われる。これらのフィン取り除きエッチング・プロセスのうちの1つは、いわゆる「FC切り取り」プロセスと呼ばれることがある一方、もう1つのフィン取り除きエッチング・プロセスは、いわゆる「FH切り取り」プロセスと呼ばれることがある。通常、FC切り取りプロセスが最初に行われるが、FC切り取りおよびFH切り取りは、いずれの順番でも行われ得る。FC切り取りプロセスは、FC切り取りマスク(例えば、フォトレジスト)によって露出したフィンの軸方向長さの一部を取り除くことによって、複数のフィン12を横断する方向において、フィン12を切り取るように行われる。
図3は、本発明による、アクティブ領域を形成する際の切断部を描写するための切り取りが実行される、図2の構造体の斜視図である。
図4は、本発明による、軸A-A’に沿ってフィンの切断部を描写する、図3の構造体の断面図である。
様々な実施形態において、切断部を描写するための切り取りは軸A-A’に沿って実行される。断面図は、基板10、基板の上に形成されたフィン12、およびフィン12の上に形成されたハード・マスク14を描写する。
図5は、本発明による、第1のソース/ドレイン領域が形成され、共形誘電体ライナが付着された、図4の構造体の断面図である。
様々な実施形態において、ソース/ドレイン領域16が基板10の上に形成される。ソース/ドレイン領域16は、ドープ・ボトムS/D領域16と呼ばれ得る。ドープ・ボトムS/D領域16は、基板10の厚さより大きい厚さを有することができる。
様々な実施形態において、誘電体ライナ18は構造体の上に付着される。誘電体ライナ18は、共形誘電体ライナであり得る。誘電体ライナ18は、10nmより大きい厚さを有し得る。誘電体ライナ18は、例えば、窒化ケイ素(SiN)ライナであり得る。ライナ材料は、低誘電率材料(例えば、SiBCN、SiOCなど)などの、別の誘電体材料でもあり得る。ライナ18は、ハード・マスク14の側壁/トップ面と同様に、フィン12の側壁を覆う。ライナ18は、ドープ・ボトムS/D領域16の露出部/セクションも覆う。ライナ18は、さらに基板10の任意の露出部を覆う。ライナ18は、ハード・マスク14全体を覆う。
様々な実施形態において、ドープ・ソース/ドレイン16は、エピタキシャル成長によって有利に付着されるかまたは形成される。エピタキシャル成長がどのように発展するかに応じて、後続の処理に適している、ソース/ドレイン領域16のトップ面につながるために、エピタキシャル成長を異方性エッチングすることが必要であり得る。ドーパントは、イオン注入によってドープ領域16(例えば、ソース/ドレイン領域)に、およびドープ領域16をアニール処理することによって形成されたソース/ドレインに提供され得る。
図6は、本発明による、エッチングが、第1のソース/ドレイン領域に隣接した第1のスペーサ・セット、およびフィンに隣接した第2のスペーサ・セットを作るように実行される、図5の構造体の断面図である。
様々な実施形態において、誘電体ライナ18は、フィン12に隣接した第1のスペーサ・セット20、およびハード・マスク14の側壁部を形成するようにエッチングされる。誘電体ライナ18は、基板10に隣接した第2のスペーサ・セット22、およびドープ・ボトムS/D16の側壁部を形成するようにもエッチングされる。エッチングは、例えばRIEエッチングであり得る。エッチングはさらに、ハード・マスク14のトップ面15の露出をもたらす。エッチングは、ドープ・ボトムS/D16のトップ面17の露出ももたらす。スペーサ20は、フィン12の側壁面全体に接触する。スペーサ22は、ドープ・ボトムS/D16の側壁面の大部分に接触する。
図7は、本発明による、第1のソース/ドレイン領域が露出面において陥凹される、図6の構造体の断面図である。
様々な実施形態において、ドープ・ボトムS/D16は、誘電体ライナ18(または第2のスペーサ・セット22)によって覆われていない領域において凹部24を形成するようにエッチングされる。凹部24は、ドープ・ボトムS/D16の中の距離「A」に及ぶ。1つの例では、凹部は、フィン12の片面上の長さ「B」およびフィン12の裏面上の長さ「C」に及び、長さ「B」および長さ「C」は互いに関して相違し得る。もちろん、当業者はそのような長さが実質的に等しいことを予期することができる。
図8は、本発明による、シャロー・トレンチ・アイソレーション(STI)領域が第1のソース/ドレイン領域の上に形成される、図7の構造体の断面図である。
様々な実施形態において、STI領域26は凹部24の中に形成される。STI領域26は、第2のスペーサ・セット22全体を覆う。STI領域26は、STI領域26が第1のスペーサ・セット20の側壁に接触するように、ドープ・ボトムS/D16の上の距離または長さ「D」に及ぶ。このようにして、STI領域26は、それらが第1のスペーサ・セット20まで延在するように、凹部範囲24全体を覆う。言い換えれば、STI領域26は、フィン12のボトム・セクション/部の上にあるレベルに形成され、かつ凹設/平面化される。したがって、STI領域26のトップ面25は、フィン12のボトム面13の上に延在する。
シャロー・トレンチ・アイソレーション(STI)領域26は、RIEまたはプラズマ・エッチングなどの従来のドライ・エッチング・プロセスを利用して、ドープ・ボトムS/D16におけるトレンチをエッチングすることによって形成される。トレンチは、例えば、窒化ケイ素または酸窒化ケイ素などの従来のライナ材料で必要に応じて裏打ちされ得、次に、CVDまたは別の類似の付着プロセスは、トレンチを酸化ケイ素または別の類似のSTI誘電体材料で充填するために使用される。STI誘電体は、付着後に必要に応じて高密度化され得る。化学機械研磨(CMP)などの従来の平面化プロセスは、必要に応じて平面構造体を提供するのに使用され得る。
図9は、本発明による、フィンに隣接した第2のスペーサ・セットが選択的に取り除かれ、ボトム・スペーサがSTIおよび第1のソース/ドレイン領域の露出された領域の上に付着した、図8の構造体の断面図である。
様々な実施形態において、第1のスペーサ・セット20が選択的に取り除かれ、ボトム・スペーサ付着が行われる。言い換えれば、第1のスペーサまたはボトム・スペーサ28が、高密度プラズマ付着(HDP)およびガス・クラスター・イオン・ビーム付着(GCIB)などの方向性付着方法を使用して付着させられる。方向性付着とは、付着が、なるべく垂直面上ではなく水平面上で起こることを意味する。方向性付着に続いて、垂直面上の不必要なボトム・スペーサ材料28を取り除くために、ウェットエッチングが行われ得る。このように、ボトム・スペーサ28は、STI領域26だけではなく、ハード・マスク14の上面15にも接触するかまたはそれらを覆う。ボトム・スペーサ28は、例えば、窒化膜とすることができる。一実施形態において、ボトム・スペーサ28は、酸化物、例えば酸化ケイ素(SiO)、窒化物、例えば窒化ケイ素(SiN)、または酸窒化物、例えば酸窒化ケイ素(SiON)とすることができる。
図10は、本発明による、金属ゲート・スタックがボトム・スペーサの上に形成されている図9の構造体の断面図である。
様々な実施形態において、金属ゲート30またはhigh-k金属ゲート(HKMG)30が、STI領域26の上に形成されているボトム・スペーサ28の上に形成されている。HKMG30の厚さは、ボトム・スペーサ28の厚さよりも大きい。HKMG30の厚さは、ボトム・スペーサ28の上に形成されたSTI領域26の厚さよりも大きい。HKMG30は、フィン12の側壁に沿って延在する。しかし、HKMG30は、フィン12の側壁面全体には接触しない。実際には、フィン12の側壁の長さ「H」が、露出したままである。
様々な実施形態において、HKMG30は、窒化チタン、炭化チタン、チタン・アルミニウム炭化物、窒化タンタルおよび炭化タンタルなどの仕事関数金属と、タングステン、アルミニウムおよび胴などの導電性金属と、二酸化ケイ素(SiO)、酸化ハフニウム(例えば、HfO)、ハフニウム・シリコン酸化物(例えば、HfSiO)、ハフニウム・シリコン酸窒化物(HfSi)、酸化ランタン(例えば、La)、ランタン・アルミニウム酸化物(例えば、LaAlO)、酸化ジルコニウム(例えば、ZrO)、ジルコニウム・シリコン酸化物(例えば、ZrSiO)、ジルコニウム・シリコン酸窒化物(ZrSi)、酸化タンタル(例えば、TaO、Ta)、酸化チタン(例えば、TiO)、バリウム・ストロンチウム・チタン酸化物(例えば、BaTiO-SrTiO)、バリウム・チタン酸化物(例えば、BaTiO)、ストロンチウム・チタン酸化物(例えば、SrTiO)、酸化イットリウム(例えば、Y)、酸化アルミニウム(例えば、Al)、鉛・スカンジウム・タンタル酸化物(Pb(ScTa1-x)O)、および鉛亜鉛ニオブ酸(例えば、PbZn1/3Nb2/3)などの酸化物と、を含み得るが、それらに限定されるものではない。
図11は、本発明による、トップ・スペーサが金属ゲート・スタックの上に形成されている図10の構造体の断面図である。
様々な実施形態において、トップ・スペーサ付着が行われる。トップ・スペーサは、付着させられ、エッチバックされた第2のスペーサ32であり、それにより、このトップ・スペーサ32がHKMG30の上に付着されられている。トップ・スペーサ32は、例えば、窒化膜とすることができる。一実施形態において、トップ・スペーサ32は、酸化物、例えば酸化ケイ素(SiO)、窒化物、例えば窒化ケイ素(SiN)、または酸窒化物、例えば酸窒化ケイ素(SiON)とすることができる。
図12は、本発明による、金属ゲート・スタックが切り取られている図11の構造体の断面図である。
様々な実施形態において、ゲート切り取りは、金属ゲート30の一部が切り取られて、ボトム・スペーサ28の上面27を露出させるように、行われる。切り取り34は、ボトム・スペーサ28の上面27までの距離「X」に及ぶ。
図13は、本発明による、エッチングによるフィン12の上面上の材料32および14の選択的な取り除き後に、トップ・ソース/ドレイン領域がフィンの上に形成されている図12の構造体の断面図である。
様々な実施形態において、層間絶縁(ILD)酸化物充填が行われる。ILD36が平坦化される。ILD36は、トップ・スペーサ32を1つのセクションにおいて、またボトム・スペーサ28を別のセクション(切り取りセクション)において、包囲するか包むか取り囲む。ILD平坦化に続いて、材料32およびフィン12の上面上の材料14が、エッチング・プロセスによって選択的に取り除かれる。次に、ドープ・トップ・ソース/ドレイン領域38がフィン12の上に形成される。
1つの例示的な実施形態において、ILD36は、ドープ・トップS/D38の頂点まで延在する。言い方を変えれば、ILD36の上面35は、ドープ・トップS/D38の上面37と面一である。ドープ・トップS/D38は、フィン12の上面全体を覆うことができる。ゲート切り取り領域34では、ILD36は、トップ・スペーサ32だけではなく、HKMG30の露出側壁面にも接触する。ILD36は、SiO、Si、SiO、SiC、SiCO、SiCOH、およびSiCH化合物などの材料を含むシリコンから成る群から選択され得る。
図14は、本発明による、トップ・コンタクトおよびボトム・コンタクトが形成されている図13の構造体の断面図である。
様々な実施形態において、ゲート・コンタクト40、トップ・コンタクト41およびボトム・コンタクト42が形成される。ゲート・コンタクト40は、HKMG30の中に延在する。トップ・コンタクト41は、フィン12の上に形成されたドープ・トップS/D38まで延在する。ボトム・コンタクト42は、ドープ・ボトムS/D16の上面、第2のスペーサ22の上面、およびSTI領域26の上面まで延在する。コンタクト40、41および42を形成するために、ILD酸化物36がさらに取り除かれる。残っているILD酸化物は、36’と示される。残っているILD酸化物36’の上面35’は、コンタクト40、41および42の上面と面一である。また、ゲート・コンタクト40用の道を作るために、トップ・スペーサ32の一部が取り除かれる。残っているトップ・スペーサは、32’と示され得る。図14には、仕上がりの半導体構造体50が示されている。
様々な実施形態において、構造体50は、金属ゲート・スタック30と第1のソース/ドレイン領域16との間のSTI26を拡張することによって、ゲート・ソース/ドレイン間容量が低減されることをもたらす。金属ゲート・スタック30と第1のソース/ドレイン領域16との間の距離は、約10nmよりも大きい。それに加え、STI領域26の上に形成されたボトム・スペーサ28は、逆段差構造構成を画定する。したがって、構造体50は、ゲートとボトム・ソース/ドレイン(S/D)との重なりを少なくすることによって、寄生容量を低減する。ゲートとボトムS/Dとの間の距離は、約10nmよりも大きくなるように延ばされ、それがデバイスのVmaxを上げる。さらに、VFETが、ゲート・ソース/ドレイン間容量をさらに低減し、かつ最大動作電圧を高めるように、逆段差形の混成スペーサで形成される。
図15は、本発明による、寄生容量を低減する方法のブロック/流れ図である。
ブロック102において、フィン構造体が、基板の上に形成される。
ブロック104において、第1のソース/ドレイン領域が、フィン構造体と基板との間に形成される。
ブロック106において、第1のスペーサが、フィン構造体に隣接して形成される。第1のスペーサが、フィンに隣接して形成された誘電体ライナをエッチングすることによって形成される。
ブロック108において、第2のスペーサが、第1のソース/ドレイン領域に隣接して形成される。第2のスペーサが、第1のソース/ドレイン領域に隣接する誘電体ライナをエッチングすることによって形成される。
ブロック110において、第1のソース/ドレイン領域が、露出面において陥凹される。露出面は、誘電体ライナによって覆われない範囲である。
ブロック112において、シャロー・トレンチ・アイソレーション(STI)領域が、陥凹した第1のソース/ドレイン領域の露出面内に形成される。STI領域も、第1のソース/ドレイン領域に隣接する第2のスペーサ・セットを覆う。
ブロック114において、ボトム・スペーサが、STI領域の上に付着させられる。
ブロック116において、金属ゲート・スタックが、ボトム・スペーサの上に形成される。
ブロック118において、トップ・スペーサが金属ゲート・スタックの上に形成される。
ブロック120において、金属ゲート・スタックが切り取られる。
ブロック122において、第2のソース/ドレイン領域が、直接フィン構造体の上に形成される。
ブロック124において、STI領域が、金属ゲート・スタックと第1のソース/ドレイン領域との間のある長さに延在するように、コンタクトが形成される。
本発明が所与の例示的なアーキテクチャの観点から説明されるが、他のアーキテクチャ、構造体、基板材料およびプロセス特徴、ならびにステップ/ブロックが、本発明の範囲内で変えられ得ることが理解されるべきである。
層、領域または基板などの要素が、別の要素の「上に(on)」または「上に(over)」あると言われる場合、その要素が他の要素の直接上とすることができるか、または介在する要素が存在することもできることも理解されるであろう。それに対し、要素が別の要素の「直接上に(directly on)」または「直接上に(directly over)」あると言われる場合、介在する要素は、存在しない。要素が別の要素に「接続される(connected)」または「結合される(coupled)」と言われる場合、その要素が他の要素に直接接続または結合され得るか、または介在する要素が存在することができることも理解されるであろう。それに対し、要素が別の要素に「直接接続される(directly connected)」または「直接結合される(directly coupled)」と言われる場合、介在する要素は、存在しない。
本実施形態は、グラフィカルコンピュータプログラミング言語において作り出され、コンピュータ記憶媒体(ディスク、テープ、物理ハード・ドライブ、またはストレージ・アクセス・ネットワーク内などの視覚ハード・ドライブなど)に格納され得る集積回路チップ用の設計を含み得る。設計者が、チップ、またはチップを製作するのに使用されるフォトリソグラフィック・マスクを製作しない場合、設計者は、物理機構によって(例えば、設計を格納する記憶媒体のコピーを提供することによって)または電子的に(例えば、インターネットを通して)もたらされた設計を、直接にまたは間接に、このようなエンティティに送信することができる。格納された設計は、次に、ウエハ上に形成されることになる当のチップ設計の複数のコピーを含む、フォトリソグラフィック・マスクの製作に適切なフォーマット(例えば、GDSII)に転換される。フォトリソグラフィック・マスクは、エッチングされるかまたは別の方法で処理されるウエハ(またはそれ上の層あるいはその両方)の範囲を画定するのに利用される。
本明細書に記載の方法は、集積回路チップの製作に使用され得る。もたらされた集積回路チップは、ベア・ダイとして生ウエハ形態で(すなわち、複数の無包装のチップを有する単一のウエハとして)、または包装形態で製造業者によって配送され得る。後者の場合、チップは、単一のチップ・パッケージ(マザーボードまたは他のより上位レベルの担体に貼り付けられたリード線を有するプラスチック担体など)に、またはマルチチップ・パッケージ(表面相互接続もしくは埋め込み相互接続のいずれか、またはその両方を有するセラミック担体など)に収納される。いずれの場合も、チップは、次に、他のチップ、ディスクリート回路素子、または、(a)マザーボードなどの中間製品もしくは(b)最終製品の一部としての他の信号処理デバイス、あるいはその組合せと一体化される。最終製品は、玩具および他のローエンド・アプリケーションから、ディスプレイ、キーボードまたは他の入力デバイス、およびセントラル・プロセッサを有する先進型コンピュータ製品に及ぶ、集積回路チップを含むいずれの製品でもあるとすることができる。
原料化合物が一覧の元素において、例えば、SiGeで記述されることも理解されよう。これらの化合物は、化合物内に様々な割合の元素を含み、例えば、SiGeは、xが1以下であるSiGe1-xを含む、などとなる。それに加え、本実施形態による化合物およびさらに機能には、他の元素が含まれ得る。
本明細書における、本発明の「one embodiment(1つの実施形態)」または「an embodiment(一実施形態)」、およびその異形への言及は、その実施形態に関連して説明される特定の特徴、構造体、特性などが、本発明の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体を通して様々な個所に現れる「1つの実施形態において」または「一実施形態において」、およびいずれか他の異形のフレーズの登場は、必ずしもすべて、同じ実施形態に関するものではない。
以下の「/」、「and/or(~または…あるいはその両方)」、および「at least one of(のうちの少なくとも1つ)」のいずれかの使用は、例えば「A/B」、「A and/or B(AまたはBあるいはその両方)」、および「at least oneof A and B(AおよびBのうちの少なくとも1つ)」の場合、最初に挙げた選択肢(A)のみの選択、または2番目に挙げた選択肢(B)のみの選択、または両方の選択肢(AおよびB)の選択を含むと意図されていることを理解されたい。さらなる例として、「A、 B、 and/or C(A、B、またはCあるいはその組合せ)」、および「at least one of A、 B and C(A、B、およびCのうちの少なくとも1つ)」の場合、このような言い回しは、最初に挙げた選択肢(A)のみの選択、または2番目に挙げた選択肢(B)のみの選択、または3番目に挙げた選択肢(C)のみの選択、または1番目および2番目に挙げた選択肢(AおよびB)のみの選択、または1番目および3番目に挙げた選択肢(AおよびC)のみの選択、または2番目および3番目に挙げた選択肢(BおよびC)のみの選択、または3つすべての選択肢(A、B、およびC)の選択を含むと意図されている。これは、当業者および関連技術者にはすぐに分かるように、挙げられた項目の数だけ及ぶことができる。
本明細書に使用されている専門用語は、特定の実施形態を説明する目的のみのものであり、例示的な実施形態の限定を意図するものではない。本明細書に使用される際、「a」、「an」、および「the」といった単数形は、文脈上、明らかに他を意味しない限り、複数形も含むと意図されている。「comprises(備える)」、「comprising(備えている)」、「includes(含む)」、または「including(含んでいる)」あるいはその組合せの用語は、本明細書において使用される際、提示の特徴、整数、ステップ、動作、要素、または構成要素、あるいはその組合せの存在を明示するが、1つまたは複数の他の特徴、整数、ステップ、動作、要素、構成要素またはその群、あるいはその組合せの存在または追加を排除しないことがさらに理解されるであろう。
「beneath(の下に)」、「below(の下方に)」、「lower(下部の)」、「above(の上方に)」、「upper(上部の)」などの空間的相関用語は、図に示されるときの1つの要素のまたは特徴の別の要素または特徴に対する関係を説明する際に、説明しやすくするために使用され得る。空間的相関用語が、図に描写される向きに加えて、使用または動作におけるデバイスの様々な向きを含むと意図されていることが理解されるであろう。例えば、図におけるデバイスが向きを変えている場合、他の要素または特徴の「below(下方に)」または「beneath(下に)」として説明された要素は、次には、他の要素または特徴の「above(上方に)」向いている可能性がある。したがって、「below(の下方に)」という用語は、上方および下方の両方の向きを含み得る。デバイスは、別の方法で向けられ得(90度または他の向きに回される)、本明細書に使用されている空間相関記述は、それに従って解釈され得る。それに加え、層が2つの層「間」にあると言われる場合、その層は、2つの層間の層のみとすることができ、または1つまたは複数の介在する層が存在することもあることも理解されるであろう。
様々な要素を説明するのに、第1の、第2のなどの用語が本明細書に使用されていることがあるが、これらの要素がこれらの用語によって限定されるべきではないことが理解されるであろう。これらの用語は、1つの要素を別の要素から区別するためにのみ使用されている。したがって、以下に述べられる第1の要素は、本概念の範囲を逸脱しない限り、第2の要素と呼ばれてもよい。
デバイス製作の方法、および縦型FET(例示的であり、限定的ではないことが意図されている)における寄生容量を低減するのにそれによって製作される半導体デバイスの好ましい実施形態を説明したが、上記の教示に鑑みて、変更および変形が当業者によってなされ得ることが分かる。したがって、添付の特許請求の範囲によってまとめられる本発明の範囲内にある記載の特定の実施形態に、変更がなされてもよいことが理解されよう。このように、本発明の態様を、その細目、特に特許法によって必要とされる細目により、説明してきたが、請求され、かつ特許証による保護が望まれるものが、添付の特許請求の範囲に明記されている。

Claims (19)

  1. 半導体構造体の寄生容量を低減する方法であって、
    基板の上にフィン構造体を形成することと、
    前記フィン構造体と前記基板との間に第1のソース/ドレイン領域を形成することと、
    前記フィン構造体に隣接する第1のスペーサを形成することと、
    前記第1のソース/ドレイン領域に隣接する第2のスペーサを形成することと、
    露出面において前記第1のソース/ドレイン領域を陥凹させることと、
    前記陥凹した第1のソース/ドレイン領域の前記露出面内にシャロー・トレンチ・アイソレーション(STI)領域を形成することと、
    前記STI領域の上にボトム・スペーサを付着させることと、
    前記ボトム・スペーサの上に金属ゲート・スタックを形成することと、
    前記金属ゲート・スタックの上にトップ・スペーサを付着させることと、
    前記金属ゲート・スタックを切り取ることと、
    前記フィン構造体の上に第2のソース/ドレイン領域を形成することと、
    前記STI領域が前記金属ゲート・スタックと前記第1のソース/ドレイン領域との間のある長さに延在するように、コンタクトを形成することと、
    を含む、方法。
  2. 前記金属ゲート・スタックと前記第1のソース/ドレイン領域との間に前記STI領域を延在させることによって、ゲート・ソース/ドレイン間容量が低減される、請求項1に記載の方法。
  3. 前記第1および第2のスペーサが、共形誘電体ライナである、請求項1に記載の方法。
  4. 前記共形誘電体ライナの厚さが、10nmよりも大きい、請求項3に記載の方法。
  5. 前記STI領域が、前記フィン構造体に隣接する前記第1のスペーサの一部に接触する、請求項1に記載の方法。
  6. 前記STI領域が、前記第1のソース/ドレイン領域に隣接する前記第2のスペーサをその全体において覆う、請求項1に記載の方法。
  7. 前記フィン構造体に隣接する前記第1のスペーサが、前記ボトム・スペーサが前記STI領域の上に付着させられる前に、選択的に取り除かれる、請求項1に記載の方法。
  8. 前記STI領域の上に形成された前記ボトム・スペーサが、逆段差構造構成を画定する、請求項1に記載の方法。
  9. 前記STI領域が、前記フィン構造体の対向する両端の前記第1のソース/ドレイン領域の一部の上に延在する、請求項1に記載の方法。
  10. 前記金属ゲート・スタックと前記第1のソース/ドレイン領域との間の距離が、10nmよりも大きい、請求項1に記載の方法。
  11. 寄生容量を低減する半導体構造体であって、
    基板の上に形成されたフィン構造体と、
    前記フィン構造体と前記基板との間に形成された第1のソース/ドレイン領域と、
    前記第1のソース/ドレイン領域に隣接して形成されたスペーサであって、前記第1のソース/ドレイン領域が露出面において陥凹している、前記第1のソース/ドレイン領域に隣接するスペーサと、
    前記陥凹した第1のソース/ドレイン領域の前記露出面内に形成されたシャロー・トレンチ・アイソレーション(STI)領域と、
    前記STI領域の上に付着したボトム・スペーサと、
    前記ボトム・スペーサの上に形成された金属ゲート・スタックと、
    前記金属ゲート・スタックの上に付着したトップ・スペーサであって、前記金属ゲート・スタックが切り取られる、前記トップ・スペーサと、
    前記フィン構造体の上に形成された第2のソース/ドレイン領域と、
    前記STI領域が前記金属ゲート・スタックと前記第1のソース/ドレイン領域との間のある長さに延在するように形成されたコンタクトと、
    を備える、半導体構造体。
  12. 前記金属ゲート・スタックと前記第1のソース/ドレイン領域との間に前記STI領域を延在させることによって、ゲート・ソース/ドレイン間容量が低減される、請求項11に記載の半導体構造体。
  13. 前記第1のソース/ドレイン領域に隣接する前記スペーサが、共形誘電体ライナである、請求項11に記載の半導体構造体。
  14. 前記共形誘電体ライナの厚さが、10nmよりも大きい、請求項13に記載の半導体構造体。
  15. 前記ボトム・スペーサ、前記金属ゲート・スタックおよび前記トップ・スペーサが、前記フィン構造体に接触する、請求項11に記載の半導体構造体。
  16. 前記STI領域が、前記第1のソース/ドレイン領域に隣接する前記スペーサをその側壁全体において覆う、請求項11に記載の半導体構造体。
  17. 前記STI領域の上に形成された前記ボトム・スペーサが、逆段差構造構成を画定する、請求項11に記載の半導体構造体。
  18. 前記STI領域が、前記フィン構造体の対向する両端の前記第1のソース/ドレイン領域の一部の上に延在する、請求項11に記載の半導体構造体。
  19. 前記金属ゲート・スタックと前記第1のソース/ドレイン領域との間の距離が、10nmよりも大きい、請求項11に記載の半導体構造体。
JP2019554969A 2017-04-17 2018-04-11 半導体構造体の寄生容量を低減する方法および寄生容量を低減する半導体構造体 Active JP7062682B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/488,780 US9853028B1 (en) 2017-04-17 2017-04-17 Vertical FET with reduced parasitic capacitance
US15/488,780 2017-04-17
PCT/IB2018/052539 WO2018193342A1 (en) 2017-04-17 2018-04-11 Vertical fet with reduced parasitic capacitance

Publications (3)

Publication Number Publication Date
JP2020513160A JP2020513160A (ja) 2020-04-30
JP2020513160A5 JP2020513160A5 (ja) 2020-07-02
JP7062682B2 true JP7062682B2 (ja) 2022-05-06

Family

ID=60674791

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019554969A Active JP7062682B2 (ja) 2017-04-17 2018-04-11 半導体構造体の寄生容量を低減する方法および寄生容量を低減する半導体構造体

Country Status (6)

Country Link
US (4) US9853028B1 (ja)
JP (1) JP7062682B2 (ja)
CN (1) CN110520973B (ja)
DE (1) DE112018000636B4 (ja)
GB (1) GB2577185B (ja)
WO (1) WO2018193342A1 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10211315B2 (en) * 2017-07-19 2019-02-19 Globalfoundries Inc. Vertical field-effect transistor having a dielectric spacer between a gate electrode edge and a self-aligned source/drain contact
US10176995B1 (en) * 2017-08-09 2019-01-08 Globalfoundries Inc. Methods, apparatus and system for gate cut process using a stress material in a finFET device
US10395988B1 (en) 2018-04-10 2019-08-27 International Business Machines Corporation Vertical FET transistor with reduced source/drain contact resistance
US10529713B2 (en) 2018-06-08 2020-01-07 International Business Machines Corporation Fin field effect transistor devices with modified spacer and gate dielectric thicknesses
US10453934B1 (en) 2018-06-11 2019-10-22 International Business Machines Corporation Vertical transport FET devices having air gap top spacer
US10622260B2 (en) 2018-06-12 2020-04-14 International Business Machines Corporation Vertical transistor with reduced parasitic capacitance
US10396151B1 (en) 2018-06-14 2019-08-27 International Business Machines Corporation Vertical field effect transistor with reduced gate to source/drain capacitance
US10707329B2 (en) 2018-07-06 2020-07-07 International Business Machines Corporation Vertical fin field effect transistor device with reduced gate variation and reduced capacitance
US10930758B2 (en) 2018-08-13 2021-02-23 International Business Machines Corporation Space deposition between source/drain and sacrificial layers
US10600885B2 (en) 2018-08-20 2020-03-24 International Business Machines Corporation Vertical fin field effect transistor devices with self-aligned source and drain junctions
US10937786B2 (en) * 2018-09-18 2021-03-02 Globalfoundries U.S. Inc. Gate cut structures
US11201089B2 (en) 2019-03-01 2021-12-14 International Business Machines Corporation Robust low-k bottom spacer for VFET
US10833081B2 (en) 2019-04-09 2020-11-10 International Business Machines Corporation Forming isolated contacts in a stacked vertical transport field effect transistor (VTFET)
US11217680B2 (en) * 2019-05-23 2022-01-04 International Business Machines Corporation Vertical field-effect transistor with T-shaped gate
US11205728B2 (en) 2019-05-23 2021-12-21 International Business Machines Corporation Vertical field effect transistor with reduced parasitic capacitance
US11152265B2 (en) * 2019-08-01 2021-10-19 International Business Machines Corporation Local isolation of source/drain for reducing parasitic capacitance in vertical field effect transistors
US11201241B2 (en) * 2020-01-07 2021-12-14 International Business Machines Corporation Vertical field effect transistor and method of manufacturing a vertical field effect transistor
US11217692B2 (en) 2020-01-09 2022-01-04 International Business Machines Corporation Vertical field effect transistor with bottom spacer
US11271107B2 (en) 2020-03-24 2022-03-08 International Business Machines Corporation Reduction of bottom epitaxy parasitics for vertical transport field effect transistors
CN113823692B (zh) * 2020-06-19 2023-12-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005012214A (ja) 2003-06-17 2005-01-13 Internatl Business Mach Corp <Ibm> 超スケーラブルな高速ヘテロ接合垂直nチャネルmisfetおよびその方法
WO2009072192A1 (ja) 2007-12-05 2009-06-11 Unisantis Electronics (Japan) Ltd. 半導体装置
JP2009182318A (ja) 2008-01-29 2009-08-13 Unisantis Electronics Japan Ltd 半導体装置およびその製造方法
WO2014174672A1 (ja) 2013-04-26 2014-10-30 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法及び半導体装置

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5087581A (en) 1990-10-31 1992-02-11 Texas Instruments Incorporated Method of forming vertical FET device with low gate to source overlap capacitance
US5250450A (en) 1991-04-08 1993-10-05 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
US6621112B2 (en) * 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
US20040070050A1 (en) * 2002-10-10 2004-04-15 Taiwan Semiconductor Manufacturing Company Structures of vertical resistors and FETs as controlled by electrical field penetration and a band-gap voltage reference using vertical FETs operating in accumulation through the field penetration effect
TWI251342B (en) * 2003-07-24 2006-03-11 Samsung Electronics Co Ltd Vertical double-channel silicon-on-insulator transistor and method of manufacturing the same
US6933183B2 (en) * 2003-12-09 2005-08-23 International Business Machines Corporation Selfaligned source/drain FinFET process flow
US7230286B2 (en) 2005-05-23 2007-06-12 International Business Machines Corporation Vertical FET with nanowire channels and a silicided bottom contact
CN100490182C (zh) * 2007-06-19 2009-05-20 北京大学 鳍型沟道双栅多功能场效应晶体管的制备方法
US8012817B2 (en) * 2008-09-26 2011-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor performance improving method with metal gate
CN102117828B (zh) * 2009-12-30 2013-02-06 中国科学院微电子研究所 半导体器件及其制造方法
US8362572B2 (en) 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
CN102376715B (zh) * 2010-08-11 2014-03-12 中国科学院微电子研究所 一种无电容型动态随机访问存储器结构及其制备方法
US9281378B2 (en) * 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
CN102646599B (zh) * 2012-04-09 2014-11-26 北京大学 一种大规模集成电路中FinFET的制备方法
CN103928327B (zh) * 2013-01-10 2017-07-14 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US20140264488A1 (en) * 2013-03-15 2014-09-18 Globalfoundries Inc. Methods of forming low defect replacement fins for a finfet semiconductor device and the resulting devices
US9349850B2 (en) * 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
US8952420B1 (en) * 2013-07-29 2015-02-10 Stmicroelectronics, Inc. Method to induce strain in 3-D microfabricated structures
US9425296B2 (en) * 2013-09-09 2016-08-23 Qualcomm Incorporated Vertical tunnel field effect transistor
US9331204B2 (en) * 2014-03-13 2016-05-03 Macronix International Co., Ltd. High voltage field effect transistors and circuits utilizing the same
CN105336611A (zh) * 2014-06-18 2016-02-17 中芯国际集成电路制造(上海)有限公司 一种FinFET器件的制作方法
US9881993B2 (en) * 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9337306B2 (en) 2014-06-30 2016-05-10 Globalfoundries Inc. Multi-phase source/drain/gate spacer-epi formation
US9245883B1 (en) * 2014-09-30 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
KR102264656B1 (ko) * 2014-10-17 2021-06-14 삼성전자주식회사 게이트 코어들 및 핀 액티브 코어를 포함하는 반도체 소자 및 그 제조 방법
US9337255B1 (en) 2014-11-21 2016-05-10 International Business Machines Corporation Reducing direct source-to-drain tunneling in field effect transistors with low effective mass channels
US9287362B1 (en) 2014-11-21 2016-03-15 International Business Machines Corporation Vertical field effect transistors with controlled overlap between gate electrode and source/drain contacts
US9799776B2 (en) * 2015-06-15 2017-10-24 Stmicroelectronics, Inc. Semi-floating gate FET
US9312383B1 (en) * 2015-08-12 2016-04-12 International Business Machines Corporation Self-aligned contacts for vertical field effect transistors
US9368572B1 (en) * 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer
US9502407B1 (en) * 2015-12-16 2016-11-22 International Business Machines Corporation Integrating a planar field effect transistor (FET) with a vertical FET
US9437503B1 (en) 2015-12-22 2016-09-06 International Business Machines Corporation Vertical FETs with variable bottom spacer recess
US9761694B2 (en) * 2016-01-27 2017-09-12 International Business Machines Corporation Vertical FET with selective atomic layer deposition gate
US9607899B1 (en) 2016-04-27 2017-03-28 International Business Machines Corporation Integration of vertical transistors with 3D long channel transistors

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005012214A (ja) 2003-06-17 2005-01-13 Internatl Business Mach Corp <Ibm> 超スケーラブルな高速ヘテロ接合垂直nチャネルmisfetおよびその方法
WO2009072192A1 (ja) 2007-12-05 2009-06-11 Unisantis Electronics (Japan) Ltd. 半導体装置
JP2009182318A (ja) 2008-01-29 2009-08-13 Unisantis Electronics Japan Ltd 半導体装置およびその製造方法
WO2014174672A1 (ja) 2013-04-26 2014-10-30 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法及び半導体装置

Also Published As

Publication number Publication date
JP2020513160A (ja) 2020-04-30
US10074652B1 (en) 2018-09-11
US20180301451A1 (en) 2018-10-18
US10283504B2 (en) 2019-05-07
WO2018193342A1 (en) 2018-10-25
CN110520973A (zh) 2019-11-29
US10438949B2 (en) 2019-10-08
GB2577185A (en) 2020-03-18
US20190181139A1 (en) 2019-06-13
CN110520973B (zh) 2023-05-23
GB201915887D0 (en) 2019-12-18
DE112018000636B4 (de) 2021-12-09
DE112018000636T5 (de) 2019-11-14
GB2577185B (en) 2020-11-04
US9853028B1 (en) 2017-12-26

Similar Documents

Publication Publication Date Title
JP7062682B2 (ja) 半導体構造体の寄生容量を低減する方法および寄生容量を低減する半導体構造体
US10541331B2 (en) Fabrication of a vertical fin field effect transistor with an asymmetric gate structure
US10367069B2 (en) Fabrication of vertical field effect transistor structure with controlled gate length
US10950711B2 (en) Fabrication of vertical field effect transistor structure with strained channels
US10886384B2 (en) Fabrication of a vertical fin field effect transistor (vertical finFET) with a self-aligned gate and fin edges
US11670716B2 (en) Semiconductor devices
CN109427900B (zh) 包括沟道图案的半导体器件及其制造方法
TWI728413B (zh) 半導體裝置與半導體結構之形成方法、以及半導體裝置
US10734523B2 (en) Nanosheet substrate to source/drain isolation
US10847630B2 (en) Semiconductor device and method of manufacturing the same
US8691652B2 (en) Semiconductor process
US20160190011A1 (en) Epitaxial structure and process thereof for forming fin-shaped field effect transistor
CN107482047A (zh) 半导体装置
US20070072366A1 (en) Transistor structure for semiconductor device and method of fabricating the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200525

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200909

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210921

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220324

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220413

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220420

R150 Certificate of patent or registration of utility model

Ref document number: 7062682

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150