JP7023284B2 - 局所酸化物を有するゲートオールアラウンドデバイスアーキテクチャ - Google Patents

局所酸化物を有するゲートオールアラウンドデバイスアーキテクチャ Download PDF

Info

Publication number
JP7023284B2
JP7023284B2 JP2019537029A JP2019537029A JP7023284B2 JP 7023284 B2 JP7023284 B2 JP 7023284B2 JP 2019537029 A JP2019537029 A JP 2019537029A JP 2019537029 A JP2019537029 A JP 2019537029A JP 7023284 B2 JP7023284 B2 JP 7023284B2
Authority
JP
Japan
Prior art keywords
layer
trench
semiconductor
silicon
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019537029A
Other languages
English (en)
Other versions
JP2019530255A (ja
Inventor
ティー. シュルツ リチャード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2019530255A publication Critical patent/JP2019530255A/ja
Application granted granted Critical
Publication of JP7023284B2 publication Critical patent/JP7023284B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Composite Materials (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

現代の集積回路の電力消費量は、各世代の半導体チップに関してますます重要な設計問題となっている。集積回路の消費電力の制約は、ポータブルコンピュータ及びモバイル通信デバイスだけでなく、複数のプロセッサコアとコア内の複数のパイプラインとを含むことができる高性能マイクロプロセッサにとっても問題である。高性能を維持しながら電力消費量を減らすために、クロックの無効化や電源状態の使用等の設計技術が用いられる。また、高性能を維持しながら電力消費量を減らすために、例えば、シリコンバルクプロセスではなくシリコンオンインシュレータ(SOI)製造プロセスを用いる等の製造技術が用いられる。
何十年もの間、集積回路で使用するために、プレーナトランジスタ(デバイス)が製造されてきた。しかしながら、性能を向上させ、同じ量のオンダイの面積に対する機能を向上させるためにトランジスタの寸法が減少するにつれて、短チャネル効果が増加する。短チャネル効果の1つは、漏れ電流である。電力消費量が漏れ電流とともに増加する。他の短チャネル効果としては、シリコン基板と、ソース及びドレイン領域に使用されるウェルとに対する寄生容量、ラッチアップ効果、ドレイン誘起障壁低下(DIBL)、パンチスルー、温度に対する性能依存性、衝突電離(インパクトイオン化)等が挙げられる。
非プレーナトランジスタは、短チャネル効果を低減するための半導体処理における最近の開発である。トライゲートトランジスタ、フィン電界効果トランジスタ(FET)及びゲートオールアラウンド(GAA)トランジスタは、非プレーナトランジスタの例である。しかしながら、これらのデバイスの製造要件は、製造性を制限する可能性がある。さらに、トランジスタ寸法が減少するにつれて、ソース領域とドレイン領域との間の寄生漏れ経路が依然として問題になる可能性がある。
上述したように、バルク相補型金属酸化膜半導体(CMOS)技術の代わりにシリコンオンインシュレータ(SOI)技術を使用すると、短チャネル効果が減少する。しかしながら、SOIウェハ内のカプセル化トランジスタによって発生した熱は、シリコン基板の裏側まで伝達することができない。ヒートシンクを製造するためにさらなる製造工程を使用する場合がある。例えば、トランジスタを製造した後に、バルクシリコン層の厚さを貫通してバリア酸化物層まで延在する複数のプラグが形成される。複数のプラグによって、デバイスの動作によって発生した熱がバルクシリコン層を通ってウェハの裏面まで伝わるのを可能にする。しかしながら、複数のプラグは、製造コストを増大させ、製造コンポーネントの再編成を含む場合がある。
上記を考慮すると、短チャネル効果及び加熱効果を管理しながら非プレーナデバイスを製造するための効率的な方法及びシステムが望まれている。
製造中のデバイスの断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 局所シリコンオンインシュレータ(SOI)を形成する方法の一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中の半導体デバイスの正面からの断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 製造中のデバイスの他の断面図を一般化した図である。 局所シリコンオンインシュレータ(SOI)を有する非プレーナ半導体デバイス用のナノワイヤを形成する方法の一般化した図である。
本発明は、様々な変更及び代替の形態を受け入れることができるが、特定の実施形態について例として図面に示し、本明細書で詳細に説明する。しかしながら、図面及びこれに対する詳細な説明は、開示された特定の形態に本発明を限定することを意図するものではなく、反対に、本発明は、添付の特許請求の範囲によって定義されるように、本発明の範囲内にある全ての変更、均等物及び代替物を包含するものである。
以下の説明では、本発明の完全な理解を提供するために、多くの具体的な詳細が述べられている。しかしながら、当業者であれば、本発明がこれらの具体的な詳細なしに実施できることを認識すべきである。場合によっては、本発明を曖昧にすることを避けるために、周知の回路、構造及び技術を詳細に示していない。さらに、説明を簡潔且つ明瞭にするために、図に示す要素が必ずしも縮尺通りに描かれていないことを理解されたい。例えば、いくつかの要素の寸法は、他の要素と比較して誇張されている。
短チャネル効果及び加熱効果を管理しながら非プレーナデバイスを製造するためのシステム及び方法が想定されている。様々な実施形態では、半導体デバイス製造プロセスは、デバイスの本体がシリコン基板から絶縁されているが、ソース及びドレイン領域がシリコン基板から絶縁されていない、プレーナデバイス又はトランジスタを形成することを含む。このプロセスは、ソース及びドレイン領域をシリコン基板から絶縁することなく、デバイスの本体をシリコン基板から絶縁する局所シリコンオンインシュレータ(SOI)を構築する。
半導体デバイス製造プロセスは、トレンチをシリコンにエッチングすることを含む。トレンチは、ソース領域のサイトとドレイン領域のサイトとによって制限されながら、少なくともチャネル長と同じ長さを有する。言い換えれば、ソース及びドレイン領域は、トレンチに含まれていない。比較的厚い二酸化ケイ素層が、シリコン基板の上部のトレンチに形成されている。いくつかの実施形態では、窒化物層が、二酸化ケイ素層の上部に形成されている。比較的厚い酸化物層が、トレンチの上部に形成されている。
窒化物層が使用される場合、形成された酸化物層は、窒化物層の上部にある。したがって、トレンチは、底部が比較的厚い二酸化ケイ素層、中央部が窒化物層、上部が比較的厚い酸化物層で充填されている。様々な実施形態では、上部の比較的厚い酸化物層には、希土類金属酸化物(例えば、酸化ランタン、酸化セリウム及び酸化ガドリニウムのうち1つ等)が含まれる。他の実施形態では、上部の比較的厚い酸化物層には、二酸化ケイ素が含まれる。充填されたトレンチは、後に形成されるゲート領域をシリコン基板から絶縁し、結果として容量結合を減らすことになる局所SOIを提供する。また、上記の層で充填されたトレンチは、ソース及びドレイン領域のサイトの下を進まないので、後に動作するデバイスによって発生した熱が、シリコン基板を通ってウェハの裏側まで伝わるのを可能にする。
半導体デバイス製造プロセスは、シリコン層又はシリコンゲルマニウム層の積層体からゲート領域のナノワイヤを形成することによって続けられる。様々な実施形態では、トレンチ内の酸化物層及び二酸化ケイ素層の各々は、ゲート内のナノワイヤに形成された二酸化ケイ素層の厚さより少なくとも一桁大きい厚さを有する。1つ以上のナノワイヤが、トレンチの上部において酸化物層の上部に存在する場合、プロセスは、第2トレンチを酸化物層にエッチングすることと、酸化物層の上部に存在するナノワイヤのために、ゲート金属を第2トレンチに堆積することと、を含む。
図1~図12に関して後述する説明では、短チャネル効果及び加熱効果を管理する非プレーナ半導体デバイスの製造工程を説明する。図1を参照すると、製造中の半導体デバイスの一部の断面図を一般化したブロック図が示されている。図示するように、ウェハのシリコン基板105の内部には、トレンチがエッチングされている。様々な実施形態では、ドライエッチングプロセスを用いてトレンチをエッチングする。マスク層によって保護されていないシリコン基板105の一部は、反応性ガスであるプラズマに浸される。非保護層(この場合、シリコン基板105である)は、化学反応及び/又はイオンボンバードによって除去される。反応生成物は、ガス流で運び去られる。
プラズマエッチングプロセスは、エッチングプロセスのパラメータを調整することによって、複数のモードのうち1つのモードで動作することができる。いくつかのプラズマエッチングプロセスは、0.1トル~5トルの圧力で動作する。様々な実施形態では、プラズマの原料ガスには、塩素又はフッ素が含まれる。例えば、四塩化炭素(CCl4)を用いて、シリコン及びアルミニウムをエッチングする。トリフルオロメタン(CHF3)を用いて、二酸化ケイ素及び窒化ケイ素をエッチングする。酸素を含有するプラズマの原料ガスを用いて、フォトレジストを酸化(アッシュ)する。これにより、フォトレジストの除去が容易になる。
プラズマエッチングプロセスは、等方性(すなわち、パターン化表面上で下方のエッチング速度とほぼ同じ速度の横方向のアンダーカット速度を示す)であり得る。非保護層上の全ての角度から反応が起こるように非保護層の表面で反応する電荷的に中性のフリーラジカルを生成するプラズマエッチングプロセスは、等方性プロセスである。また、プラズマエッチングプロセスは、異方性(すなわち、横方向のアンダーカット速度が下方のエッチング速度よりも遅いことを示す)とすることができる。深掘反応性イオンエッチング(DRIE)では、異方性プラズマエッチングプロセスが用いられる。
イオンミリング(又は、スパッタエッチングプロセス)は、低圧力(例えば、上記プロセスの100パスカルに対して10ミリパスカルの規模等)を使用して、非保護層(マスク層によってカバーされていない)に対して、希ガスの高エネルギーイオンによる衝撃を与える。希ガスとしては、通常、アルゴンが用いられる。高エネルギーイオンは、運動量を伝達することによって、非保護層(例えば、シリコン基板105)から原子を打ち出す。高エネルギーイオンは、通常一方向から非保護層と反応し、これにより、スパッタエッチングプロセスが異方性になる。スパッタエッチングプロセスは、通常、比較的低い選択性を含む。
反応性イオンエッチング(RIE)プロセスは、比較的低圧下で電磁場によってプラズマを発生させて、ウェハ上に堆積した材料を除去する。深掘反応性イオンエッチング(DRIE)プロセスは、急勾配の孔及びトレンチ用の深いめり込みを非保護層内に生成するのに使用される比較的高い異方性エッチングプロセスである。
エッチングプロセスを選択することに加えて、エッチングされる層の一部を保護するために使用されるマスキング材料、エッチ速度及びエッチング時間の各々を選択して、製造される半導体デバイスのチャネル長と少なくとも同じ長さのトレンチを図1のシリコン基板105に形成する。トレンチの長さは「L1」で示されている。トレンチの長さ(L1)は、後の製造工程で追加されるソース領域のサイトと、ドレイン領域のサイトと、によって制限されている。したがって、トレンチの長さ(L1)は、製造される半導体デバイスの長さに及ばない。
続いて、制御された厚さの二酸化ケイ素(SiO)層110が形成される。様々な実施形態では、プラズマCVD(PECVD)プロセスを用いて、気体状態(蒸気)から固体状態までの二酸化ケイ素の薄膜をシリコン基板105上に堆積させる。PECVDプロセスは、接地電極とパラレル無線周波数(RF)通電電極との間に反応ガスを導入する。電極間の容量結合によって反応ガスをプラズマに励起し、これにより化学反応を誘起し、結果的に、シリコン基板105上に反応生成物が堆積される。二酸化ケイ素110は、ガス(例えば、ジクロロシラン又はシラン等)と、酸素前駆体(例えば、酸素及び亜酸化窒素等)との組み合わせを用いて、通常数ミリトール~数トールの圧力で堆積することができる。二酸化ケイ素層110の厚さは比較的厚い。例えば、二酸化ケイ素層110の厚さは、後の処理ステップで形成される薄いゲート二酸化ケイ素層の厚さより少なくとも一桁大きい。
二酸化ケイ素層110は、堆積した後に、所望の厚さまでエッチングされる。化学機械平坦化(CMP)工程を用いて不要な二酸化ケイ素を除去し、シリコンウェハ上の残存する二酸化ケイ素層110を研磨する。CMP工程によって、ほぼ完全に平坦で滑らかな表面が達成され、その上にさらなる集積回路の層が構築される。
図2を参照すると、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。図示するように、窒化ケイ素(SiNx)層205が二酸化ケイ素層110及びシリコン基板105上に堆積される。アモルファス水素化窒化ケイ素(SiNx)の化学的及び電気的特性によって、この材料は、集積回路内の絶縁層にとって優れた候補となる。いくつかの実施形態では、窒化ケイ素層205は、プラズマCVD(PECVD)技術を用いてシラン(SiH)及びアンモニア(NH)から形成される。他の実施形態では、窒化ケイ素層205は、減圧CVD(LPCVD)技術を用いて形成される。
図3を参照すると、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。図示するように、窒化ケイ素層205がエッチングされている。化学機械平坦化(CMP)工程を用いて不要な窒化ケイ素をシリコン基板105の上部から除去し、エッチング工程を用いてトレンチ内から除去する。窒化ケイ素層205は、フッ素含有混合物を用いてエッチングされている。窒化ケイ素層205及び二酸化ケイ素層110によって、製造中の非プレーナ半導体デバイスの絶縁層が得られる。
窒化ケイ素層205に対するCMP工程に続いて、希土類金属酸化物層305が形成される。希土類金属酸化物層305は、複数の希土類金属酸化物のうち1つを含む。例えば、希土類金属酸化物層305は、酸化ランタン(La)、酸化セリウム(CeO)及び酸化ガドリニウム(Gd)のうち1つを含むことができる。他の希土類金属酸化物も含むことができ、希土類金属酸化物層に使用することが考えられる。希土類金属酸化物層305は、比較的高いバンドギャップ、比較的低い格子エネルギー、及び、比較的高い誘電率を有する。希土類金属化合物は、通常、比較的高い蒸気圧、比較的低い融点、液体状態での利用可能性、水に対する比較的高い反応性、堆積に関する比較的高い成長速度を含む。
希土類金属酸化物層305は、高温で、多くの技術(例えば、化学気相成長法(CVD)、原子層堆積法、有機金属化学気相成長法(MOCVD)、熱酸化、スパッタリング及びスプレー熱分解法等)のうち1つの技術によって堆積される。比較的高い誘電率を有する酸化物は、通常、熱成長二酸化ケイ素よりも多くの欠陥を含む。したがって、後に酸素処理が行われ、短チャネル効果(例えば、漏れ電流)が低減し、界面状態密度が低くなる。
図4を参照すると、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。図示するように、希土類金属酸化物層305がCMP研磨されている。化学機械平坦化(CMP)工程を用いて、シリコン基板105の上部から不要な希土類金属酸化物を除去する。また、CMP工程は、上部にさらなる層を形成するために、残存する希土類金属酸化物を研磨する。希土類金属酸化物層305、窒化ケイ素層205及び二酸化ケイ素層110によって、製造中の非プレーナ半導体デバイスの絶縁層が得られる。
図5を参照すると、局所シリコンオンインシュレータ(SOI)を形成する方法500の一実施形態が示されている。説明のために、この実施形態(及び図20)におけるステップは、順番に示されている。しかしながら、他の実施形態では、いくつかのステップが示された順序と異なる順序で行われ、いくつかのステップが同時に行われ、いくつかのステップが他のステップと組み合わされ、いくつかのステップが行われない。
半導体デバイス用のシリコン基板にトレンチをエッチングする(ブロック502)。マスキング材料、エッチング速度及びエッチング時間は、製造される半導体デバイスのチャネル長と少なくとも同じ長さのトレンチを形成するように選択される。また、トレンチの長さは、後の製造工程で追加されるソース領域のサイト及びドレイン領域のサイトによって制限されている。したがって、トレンチの長さは、製造されている半導体デバイスの長さに及ばない。
その後、デバイスチャネルのみのために、二酸化ケイ素(SiO)層がトレンチに形成される(ブロック504)。二酸化ケイ素層は、堆積、エッチング、及び、不要な二酸化ケイ素を除去し、シリコンウェハ上の残存する二酸化ケイ素層を研磨するのに使用される化学機械平坦化(CMP)工程によって形成される。続いて、デバイスチャネルのみのために、窒化ケイ素(SiNx)層が二酸化ケイ素層上に形成される(ブロック506)。窒化ケイ素層は、同様に、堆積、エッチング及びCMPを用いて形成される。デバイスチャネルのみのために、トレンチの上部であって窒化ケイ素層の上部に、希土類金属酸化物層が形成される(ブロック508)。希土類金属酸化物層は、複数の希土類金属酸化物のうち1つを含む。例えば、希土類金属酸化物層は、酸化ランタン(LaO3)、酸化セリウム(CeO)及び酸化ガドリニウム(Gd)のうち1つを含むことができる。他の希土類金属酸化物も含むことができ、希土類金属酸化物層に使用することが考えられる。
トレンチ内の上部から下部までの積層体は、希土類金属酸化物層、窒化ケイ素層及び二酸化ケイ素層を含む。トレンチ内のこの積層体によって、製造されている非プレーナ半導体デバイスの局所絶縁層が得られる。局所SOIは、典型的なSOIで行われているように、製造されている半導体デバイスの長さに及ばない。むしろ、トレンチ内の局所SOIの長さは、後の製造工程で追加されるソース領域のサイト及びドレイン領域のサイトによって制限されている。結果として、半導体デバイス(トランジスタ)は、局所SOIによってカプセル化されず、トレンチの両側に存在する通路によって、後のデバイス動作によって発生した熱がバルクシリコン基板を通ってウェハの裏面まで伝わるのを可能にする。
図6を参照すると、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。ここで、希土類金属酸化物層305及びシリコン基板105の上部で交互導電層が成長する。シリコンエピタキシャル成長層605が最初に成長し、続いてシリコンゲルマニウムエピタキシャル成長層610が成長し、層605,610が交互になる。シリコンエピタキシャル成長層605を、気相成長法(VPE)(化学気相成長法(CVD)の変形)、又は、分子ビーム及び液相エピタキシ(MBE及びLPE)を用いて成長させる。シリコンゲルマニウムエピタキシャル成長層610を、薄い低温ゲルマニウムの第1バッファ層を成長させ、続いてその上部により厚い高温ゲルマニウム層を成長させる、水素アニールを用いた高温二段階プロセスによって成長させる。交互半導体層605,610を成長させて、ゲート領域のナノワイヤを後で形成する。
図7を参照すると、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。ここで、交互半導体層605,610からフィンパターンが生成される。比較的小さいピッチを有するが電界効果トランジスタに適した寸法を有するシリコンフィンを、複数のプロセスによって形成することができる。様々な実施形態では、シリコンフィンを、側壁イメージ転写(SIT)プロセスを用いて形成する。他の実施形態では、シリコンフィンを、極端紫外線(EUV)リソグラフィを用いて形成する。さらに他の実施形態では、シリコンフィンを、化学エピタキシを介した誘導自己組織化(DSA)パターニング又はセルフアライメントカスタマイゼーションによって形成する。
図8を参照すると、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。ここで、絶縁層805を、交互半導体層605,610のフィンパターンの周りに堆積させる。絶縁層805は、二酸化ケイ素及び窒化ケイ素のうち1つ以上を含む。絶縁層805をエッチングして、後に形成されるゲート領域の交互導電層605,610を露出させる。層605,610のうち所定の導電層を、ゲート領域を形成するために残すように選択する。後に、層605,610のうち選択した層以外の半導体層を除去する。例えば、シリコン成長層605が残るように選択される。したがって、シリコンゲルマニウム成長層610の一部が交互積層体から除去される。除去されたシリコンゲルマニウム成長層610の一部の長さは、少なくともチャネル長と等しい。
図9を参照すると、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。図示するように、スペーサ905が、堆積され及びエッチングされることによって絶縁層805の内壁に形成される。様々な実施形態では、スペーサ905は、窒化ケイ素を含む。他の実施形態では、スペーサ905は、二酸化ケイ素及び窒化ケイ素の組み合わせである。図10には、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。ここで、希土類金属酸化物層305をエッチングして、後にゲート金属を堆積するためのスペースを形成する。様々な実施形態では、希土類金属酸化物層305の上部に1つ以上の導電層605が存在する場合に、希土類金属酸化物層305がエッチングされる。
希土類金属酸化物層305のエッチングに続いて、比較的薄い二酸化ケイ素層を半導体層605上に成長させて、ゲート領域内にナノワイヤ1005を設ける。いくつかの例では、ナノワイヤ1005の厚さを5~7ナノメートルとすることができるのに対し、ゲート二酸化ケイ素層(シェル)の厚さは、1ナノメートルから10~15オングストロームの範囲である。高温でのドライ酸化処理工程を用いて、ナノワイヤ1005上に比較的薄い酸化物シェルを形成する。続いて、高k誘電体(例えば、酸化ハフニウム(HfO))を、原子層堆積(ALD)を用いて、ナノワイヤ1005の酸化物シェル上に堆積させることができる。高k誘電体は高k膜とも呼ばれる。
図11を参照すると、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。図示するように、ゲート金属材料1105を堆積させ、続いてCMP工程を行ってゲート金属1105を研磨する。様々な実施形態では、窒化チタン(TiN)がゲート金属1105に使用される。ゲート金属1105を、ナノワイヤ1005の周囲及び希土類金属酸化物層305のトレンチ内に設ける。希土類金属酸化物層305内にエッチングされた第2トレンチの長さは、L2で示されている。長さL2は、シリコン基板105にエッチングされた第1トレンチの長さ(L1)よりも短い。ナノワイヤ1005の周囲に巻き付けられたゲート金属1105によって、製造中のゲートオールアラウンド(GAA)デバイスのゲート領域が得られる。
希土類金属酸化物層305、窒化ケイ素205及び二酸化ケイ素110の積層体によって、ゲート領域がシリコン基板105から絶縁された局所シリコンオンインシュレータ(SOI)が得られる。したがって、ゲート領域とシリコン基板105との間の容量結合が減少する。しかしながら、局所SOIは、典型的なSOIで行われるように、製造中の半導体デバイスの長さに及ばない。むしろ、局所SOIの長さはL1であり、後の製造工程で追加されるソース領域のサイト及びドレイン領域のサイトによって制限されている。結果として、半導体デバイス(トランジスタ)は、局所SOIによってカプセル化されず、トレンチの両側に存在する通路によって、デバイス動作で発生した熱がバルクシリコン基板105を通ってウェハの裏面まで伝わるのを可能にする。
図12には、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。図示するように、半導体デバイス上にコンタクトが形成されている。ソース領域用のソースコンタクト1205と、ゲートコンタクト1210と、ドレイン領域用のドレインコンタクト1215と、が形成されている。いくつかの実施形態では、シリコンナノワイヤ1005の両端にシリサイドコンタクトを形成して、ソース領域及びドレイン領域の低抵抗コンタクト1205,1210が得られる。
金属ゲート1105の堆積とコンタクト1205~1215の形成との間で絶縁層805がエッチング除去され、続いて、ソース領域及びドレイン領域がイオン注入処理によって形成される。後に、別の絶縁層1220が堆積されるが、今度は窒化ケイ素スペーサの外側の積層体の導電層605,610の交互部分の周囲にある。図13を参照すると、製造中の半導体デバイスの正面からの断面図を一般化したブロック図が示されている。図示するように、ナノワイヤ1005は、ソース領域とドレイン領域との間で水平に示されている。金属ゲートは、ゲート領域全体に亘って及びナノワイヤ1005の周囲に示されており、ゲートオールアラウンド(GAA)半導体デバイスを提供している。また、金属ゲート1005は、希土類金属酸化物層305のトレンチ内にある。上述したように、希土類金属酸化物層305、窒化ケイ素205及び二酸化ケイ素110の積層体によって、ゲート領域がシリコン基板105から絶縁された局所シリコンオンインシュレータ(SOI)が得られる。局所SOIは、典型的なSOIで行われるように、製造中の半導体デバイスの長さに及ばないので、デバイス動作によって発生した熱を放散するための通路が存在する。
図14には、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。先に図12に示した断面図と同様に、半導体デバイス上にコンタクトが形成されている。ソース領域用のソースコンタクト1205と、ゲートコンタクト1210と、ドレイン領域用のドレインコンタクト1215と、が形成されている。しかしながら、この半導体デバイスでは、シリコンゲルマニウム半導体層610がナノワイヤ用に選択されている。次に、シリコンゲルマニウム半導体層610が、シリコン半導体層605の上部で成長している。したがって、ナノワイヤ用に選択された半導体層(この実施形態では、シリコンゲルマニウム半導体層610)は、シリコン基板105及び希土類金属酸化物305の上部に存在しない。シリコンゲルマニウム成長層610が残るように選択されると、シリコン成長層605の一部が交互積層体から除去される。除去されるシリコン成長層605の一部の長さは、少なくともチャネル長と等しい。比較的薄い二酸化ケイ素層610を半導体層610上に成長させて、ゲート領域にナノワイヤを設ける。様々な実施形態では、シリコンゲルマニウムナノワイヤの両端にシリサイドコンタクトを形成して、ソース領域及びドレイン領域用の低抵抗コンタクト1205,1210を設ける。
図15を参照すると、製造中の半導体デバイスの正面からの断面図を一般化したブロック図が示されている。図示するように、シリコンゲルマニウムナノワイヤ1505は、ソース領域とドレイン領域との間で水平に示されている。金属ゲート1005は、ゲート領域全体に亘って及びナノワイヤ1505の周囲に示されており、ゲートオールアラウンド(GAA)半導体デバイスを提供している。シリコンゲルマニウム伝導層610がシリコン基板105及び希土類金属酸化物層305の上部に存在しないので、金属ゲート1005は、希土類金属酸化物層305のトレンチ内に存在しない。上述したように、希土類金属酸化物層305、窒化ケイ素205及び二酸化ケイ素110の積層体によって、ゲート領域がシリコン基板105から絶縁された局所シリコンオンインシュレータ(SOI)が得られる。局所SOIは、典型的なSOIで行われるように、製造中の半導体デバイスの長さに及ばないので、デバイス動作によって発生した熱を放散するための通路が存在する。
半導体層(例えば、シリコン半導体層605及びシリコンゲルマニウム半導体層610)の成長順序を逆にすることができることに留意されたい。例えば、シリコンゲルマニウム半導体層610を、シリコン基板105及び希土類金属酸化物305の上部に成長させることができる。図6及び図7を再度参照すると、積層体の下部がシリコンゲルマニウム半導体層610で始まり、続いてシリコン半導体層605が成長し、この交互のパターンが繰り返される。図8~図10を再度参照すると、残存する選択された半導体層がシリコン基板105及び希土類金属酸化物305の上部に存在する場合、希土類金属酸化物305内でトレンチがエッチングされる。そうでなければ、さらなるトレンチが用いられない。いくつかの実施形態では、シリコン半導体層605は、nチャネル半導体デバイスを構築するために残存するように選択され、シリコンゲルマニウム半導体層610は、pチャネル半導体デバイスを構築するために残存するように選択される。また、他の材料を半導体層に使用してもよいことに留意されたい。例えば、チャネル半導体デバイスを構築するために、ガリウムヒ素(GaAs)を使用することができる。
図16~図19には、製造中の半導体デバイスの一部の他の断面図が示されている。図16には、製造中の半導体デバイスの一部の断面図を一般化したブロック図が示されている。図1の断面図と同様に、ウェハのシリコン基板105には、トレンチがエッチングされている。また、トレンチの長さ(L1)は、後の製造工程で追加されるソース領域のサイト及びドレイン領域のサイトによって制限されている。したがって、トレンチの長さ(L1)は、製造中の半導体デバイスの長さに及ばない。トレンチは、二酸化ケイ素層110、窒化ケイ素層205及び別の二酸化ケイ素層1610で充填されている。トレンチによって、後で形成されるゲート用の局所SOIが得られる。また、トレンチの両側の通路は、後に動作するデバイスによって発生した熱がバルクシリコン基板を通ってウェハの裏面まで伝わるのを可能にする。
図17を参照すると、製造中の半導体デバイスの一部の他の断面図を一般化したブロック図が示されている。ここで、交互半導体層1705の積層体は、シリコン基板105とは別に成長する。積層体1705は、シリコン半導体層、シリコンゲルマニウム半導体層、ガリウムヒ素半導体層等を含むことができる。積層体1705は、いくつかのウェハ接合技術を用いて、シリコン基板105及び二酸化ケイ素層1710の上部に接合される。図18には、接合構造が示されている。ここで、積層体1705は、シリコン基板105及び二酸化ケイ素層1710の上部に接着されている。図19には、交互半導体層の積層体のフィンパターン1905が、シリコン基板105及び二酸化ケイ素層1710の上部に形成されている。フィンパターンの形成は、図7に関して上述したステップと同様である。フィンパターン1905が形成されると、図8~図15に関して上述した製造工程を用いて、短チャネル効果及び加熱効果を管理するための局所SOIを有する非プレーナ半導体デバイスを完成させることができる。
図20を参照すると、局所シリコンオンインシュレータ(SOI)を有する非プレーナ半導体デバイスのナノワイヤを形成する方法2000の一実施形態が示されている。方法2000は、局所SOIを形成した(例えば、方法500のステップを行った)後に使用される。ナノワイヤについて第1タイプの半導体層が選択される(ブロック2002)。第1タイプは、シリコン、シリコンゲルマニウム等のうち1つを含む。ウェハ間接合が使用されない場合(条件ブロック2004:No)、少なくとも2つのタイプの導電層を、ウェハのシリコン基板及び希土類金属酸化物層の上部の積層体内の交互層として成長させる(ブロック2006)。例えば、第1タイプの半導体層(例えば、シリコン)を、ウェハのシリコン基板及び希土類金属酸化物層の上部に成長させる。第2タイプの半導体層(例えば、シリコンゲルマニウム)を、第1タイプの半導体層の上部に成長させる。その後、第1タイプの半導体層を第2タイプの半導体層の上部に成長させ、この交互の成長工程を繰り返す。或いは、第2タイプの半導体層を、ウェハのシリコン基板及び希土類金属酸化物層の上部に最初に成長させる。第1タイプの半導体層を第2タイプの半導体層上に成長させ、この交互の成長工程を繰り返す。
ウェハ間接合が使用される場合(条件ブロック2004:Yes)、シリコン基板とは別に成長した交互半導体層の積層体が、シリコン基板の上部に接合される(ブロック2008)。いくつかのウェハ間結合技術を使用することができる。様々な実施形態においてウェハ間接合が使用されると、トレンチ内の上部の酸化物層は、希土類金属酸化物ではなく二酸化ケイ素である。交互半導体層からフィンパターンが形成される(ブロック2010)。比較的小さいピッチを有するが電界効果トランジスタに適した寸法を有するシリコンフィンが、化学エピタキシを介した誘導自己組織化(DSA)パターニング又はセルフアライメントカスタマイゼーションによって形成される。フィンパターンの周囲に絶縁層を堆積させる。絶縁層は、二酸化ケイ素及び窒化ケイ素を含むことができる。絶縁層をエッチングして、後に形成されるゲート領域の交互半導体層を露出させる。
第1タイプの伝導層が、ゲート領域を形成するために残存するように選択される。第1タイプではない半導体層が、積層体から除去される(ブロック2012)。交互導電層の初期の成長工程の順序に応じて、第1タイプの半導体層が、ウェハのシリコン基板及び最上部の酸化物層(二酸化ケイ素又は希土類金属酸化物)の直上に存在することができる。下部の第1タイプの導電層がシリコン基板の上部にある場合(条件ブロック2014:Yes)、最上部の酸化物内でトレンチがエッチングされる(ブロック2016)。
第1タイプの導電層の下部の導電層が最上部の酸化物の上部に存在しない場合(条件ブロック2014:No)、比較的薄い二酸化ケイ素層を第1タイプの半導体層上に成長させて、ゲート領域内にナノワイヤを設ける(ブロック2018)。続いて、ゲート金属を、ナノワイヤの周囲及び希土類金属酸化物の任意のトレンチ内に設ける(ブロック2020)。また、ナノワイヤの両端にシリサイドコンタクトを形成して、ソース領域及びドレイン領域の低抵抗コンタクトを設ける。金属ゲートの堆積とコンタクトの形成との間に、ナノワイヤの端部の周囲の絶縁層がエッチング除去され、続いて、ソース領域及びドレイン領域のためのイオン注入処理が行われる。その後、別の絶縁層が堆積されるが、今度は窒化ケイ素スペーサの外側の積層体の導電層610の交互部分の周囲にある。
上記の実施形態のうち1つ以上がソフトウェアを含むことに留意されたい。このような実施形態では、方法及び/又はメカニズムを実装するプログラム命令は、コンピュータ可読媒体に伝達又は記憶される。プログラム命令を記憶するように構成された多くのタイプの媒体が利用可能であり、これらには、ハードディスク、フロッピー(登録商標)ディスク、CD-ROM、DVD、フラッシュメモリ、プログラム可能ROM(PROM)、ランダムアクセスメモリ(RAM)及び他の様々な形態の揮発性又は不揮発性記憶装置が含まれる。一般的に言えば、コンピュータアクセス可能な記憶媒体は、命令及び/又はデータをコンピュータに提供するために使用中にコンピュータがアクセス可能な記憶媒体を含む。例えば、コンピュータアクセス可能な記憶媒体は、例えば磁気又は光学媒体(例えば、ディスク(固定若しくは取り外し可能)、テープ、CD-ROM、DVD-ROM、CD-R、CD-RW、DVD-R、DVD-RW、ブルーレイ(登録商標))等の記憶媒体を含む。記憶媒体は、RAM(例えば、同期型ダイナミックRAM(SDRAM)、ダブルデータレート(DDR、DDR2、DDR3等)SDRAM、低電力DDR(LPDDR2等)SDRAM、ラムバスDRAM(RDRAM)、スタティックRAM(SRAM)等)、ROM、フラッシュメモリ、USBインタフェース等の周辺インタフェースを介してアクセス可能な不揮発性メモリ(例えば、フラッシュメモリ)等の揮発性又は不揮発性記憶媒体をさらに含む。記憶媒体は、微小電気機械システム(MEMS)、並びに、ネットワーク及び/又は無線リンク等の通信媒体を介してアクセス可能な記憶媒体を含む。
また、様々な実施形態では、プログラム命令は、C等の高水準プログラミング言語、Verilog、VHDL等の設計言語(HDL)、又は、GDS IIストリームフォーマット(GDSII)等のデータベースフォーマットにおけるハードウェア機能の動作レベル記述又はレジスタ転送レベル(RTL)記述を含む。場合によっては、記述は合成ツールによって読み取られ、合成ツールは、記述を合成して、ゲートのリストを含むネットリストを合成ライブラリから生成する。ネットリストは、システムを含むハードウェアの機能を表すゲートのセットを含む。次に、ネットリストを配置してルーティングし、マスクに適用される幾何学的形状を記述するデータセットを生成する。次いで、マスクを様々な半導体製造工程で使用して、システムに対応する1つ以上の半導体回路を製造する。或いは、コンピュータアクセス可能な記憶媒体上の命令は、必要に応じて、ネットリスト(合成ライブラリを伴う若しくは伴わない)又はデータセットである。さらに、命令は、Cadence(登録商標)、EVE(登録商標)及びMentor Graphics(登録商標)等のベンダからのハードウェアベースタイプのエミュレータによるエミュレーションの目的で利用される。
上記の実施形態をかなり詳細に説明したが、上記の開示が十分に理解されれば、当業者には多くの変形及び修正が明らかになるであろう。添付の特許請求の範囲は、このような全ての変形及び修正を包含するように解釈されることを意図している。

Claims (20)

  1. 半導体デバイス製造方法であって、
    シリコン基板内に第1トレンチをエッチングすることであって、前記第1トレンチの長さは、少なくとも前記半導体デバイスのチャネル長であり、ソース領域のサイト及びドレイン領域のサイトによって制限されている、ことと、
    前記第1トレンチの上部に少なくとも酸化物層を形成することと、
    前記酸化物層及びシリコン基板の両方の上部に半導体層の積層体を配置することであって、前記積層体は、少なくとも2つのタイプの半導体層の間で交互に配置された複数の半導体層を含み、前記積層体の下部は、前記第1トレンチの両側で前記シリコン基板と接触する、ことと、
    前記積層体からフィンパターンを形成することと、
    前記積層体から第1タイプ以外のタイプの半導体層の一部を除去することであって、前記一部の長さは前記チャネル長と少なくとも等しく、前記第1タイプの半導体層は、前記デバイスのゲート領域にナノワイヤを形成する、ことと、
    前記ゲート領域の前記ナノワイヤ上に二酸化ケイ素層を形成し、前記二酸化ケイ素層上に高k膜を形成することと、を含む、
    半導体デバイス製造方法。
  2. 前記第1トレンチの両側で前記シリコン基板と接触する前記半導体層の積層体の領域は、前記ソース領域のサイトと前記ドレイン領域のサイトとを含む、
    請求項1の半導体デバイス製造方法。
  3. 前記ナノワイヤ上に形成された前記二酸化ケイ素層の厚さより少なくとも一桁大きい厚さを有する酸化物層を、前記第1トレンチ内に形成することを含む、
    請求項1の半導体デバイス製造方法。
  4. 前記酸化物層を形成する前に、
    前記第1トレンチの前記シリコン基板上に二酸化ケイ素層を形成することと、
    前記第1トレンチの前記二酸化ケイ素層上に窒化物層を形成することであって、前記窒化物層は、前記第1トレンチの前記酸化物層と前記二酸化ケイ素層との間に存在する、ことと、を含む、
    請求項1の半導体デバイス製造方法。
  5. 前記酸化物層は、希土類金属酸化物を含む、
    請求項1の半導体デバイス製造方法。
  6. 前記半導体層の積層体を配置することは、前記酸化物層及び前記シリコン基板の両方の上部で前記複数の半導体層を成長させることを含む、
    請求項5の半導体デバイス製造方法。
  7. 前記半導体層の積層体を配置することは、
    前記複数の半導体層を成長させて、完成した積層体を形成することと、
    前記完成した積層体を、前記酸化物層及び前記シリコン基板の両方の上部に接合することと、を含む、
    請求項1の半導体デバイス製造方法。
  8. 前記酸化物層は、二酸化ケイ素である、
    請求項7の半導体デバイス製造方法。
  9. 1つ以上のナノワイヤが前記酸化物層の上部に存在する場合に、
    前記酸化物層内に第2トレンチをエッチングすることと、
    前記1つ以上のナノワイヤのために、ゲート金属を前記第2トレンチに堆積することと、を含む、
    請求項1の半導体デバイス製造方法。
  10. 前記第1タイプの半導体層は、シリコン及びシリコンゲルマニウムのうち一方を含む、
    請求項1の半導体デバイス製造方法。
  11. 半導体デバイスであって、
    第1トレンチを含むシリコン基板であって、前記第1トレンチの長さは、少なくとも前記デバイスのチャネル長であり、ソース領域のサイト及びドレイン領域のサイトによって制限されている、シリコン基板と、
    前記第1トレンチの上部に存在する酸化物層と、
    前記酸化物層及びシリコン基板の両方の上部において半導体層の積層体から形成されたフィンパターンであって、前記積層体は、少なくとも2つのタイプの半導体層の間で交互に配置された複数の半導体層を含み、前記積層体の下部は、前記第1トレンチの両側で前記シリコン基板と接触し、第1タイプ以外のタイプの半導体層の一部が前記積層体から除去されて、前記半導体デバイスのゲート領域にナノワイヤを形成する、フィンパターンと、
    前記ゲート領域の前記ナノワイヤ上の二酸化ケイ素層及び、前記二酸化ケイ素層上の高k膜と、を備える、
    半導体デバイス。
  12. 前記第1トレンチの両側で前記シリコン基板と接触する前記半導体層の積層体の下部の領域は、前記ソース領域のサイトと前記ドレイン領域のサイトとを含む、
    請求項11の半導体デバイス。
  13. 前記第1トレンチの前記酸化物層の厚さは、前記ナノワイヤ上に形成された二酸化ケイ素層の厚さより少なくとも一桁大きい、
    請求項12の半導体デバイス。
  14. 前記第1トレンチの前記シリコン基板上の二酸化ケイ素層と、
    前記第1トレンチの前記二酸化ケイ素層上の窒化物層であって、前記第1トレンチの前記酸化物層と前記二酸化ケイ素層との間に存在する、窒化物層と、を備える、
    請求項12の半導体デバイス。
  15. 前記酸化物層は、希土類金属酸化物を含む、
    請求項12の半導体デバイス。
  16. 前記酸化物層は二酸化ケイ素を含み、前記積層体は、前記第1トレンチの両側で前記酸化物層及びシリコンの両方の上部に接合されている、
    請求項12の半導体デバイス。
  17. ゲート金属が充填された前記チャネル長内の前記酸化物層内の第2トレンチを備える、
    請求項12の半導体デバイス。
  18. 前記第1タイプの半導体層は、シリコン及びシリコンゲルマニウムのうち一方を含む、
    請求項12の半導体デバイス。
  19. プログラム命令を記憶するコンピュータ可読記憶媒体であって、前記プログラム命令は、プロセッサによって実行されると、
    シリコン基板内に第1トレンチをエッチングすることであって、前記第1トレンチの長さは、少なくとも半導体デバイスのチャネル長であり、ソース領域のサイト及びドレイン領域のサイトによって制限されている、ことと、
    前記第1トレンチの上部に少なくとも酸化物層を形成することと、
    前記酸化物層及びシリコン基板の両方の上部に半導体層の積層体を配置することであって、前記積層体は、少なくとも2つのタイプの半導体層の間で交互に配置された複数の半導体層を含み、前記積層体の下部は、前記第1トレンチの両側で前記シリコン基板と接触する、ことと、
    前記積層体からフィンパターンを形成することと、
    前記積層体から第1タイプ以外のタイプの半導体層の一部を除去することであって、前記一部の長さは前記チャネル長と少なくとも等しく、前記第1タイプの半導体層は、前記デバイスのゲート領域にナノワイヤを形成する、ことと、
    前記ゲート領域の前記ナノワイヤ上に二酸化ケイ素層を形成し、前記二酸化ケイ素層上に高k膜を形成することと、を含む半導体製造方法を前記プロセッサに実行させる、
    コンピュータ可読記憶媒体。
  20. 前記プログラム命令は、プロセッサによって実行されると、
    前記ナノワイヤ上に形成された前記二酸化ケイ素層の厚さより少なくとも一桁大きい厚さを有する酸化物層を、前記第1トレンチ内に形成することを含む半導体プロセスを前記プロセッサに実行させる、
    請求項19のコンピュータ可読記憶媒体。
JP2019537029A 2016-09-20 2017-09-19 局所酸化物を有するゲートオールアラウンドデバイスアーキテクチャ Active JP7023284B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/270,982 2016-09-20
US15/270,982 US9704995B1 (en) 2016-09-20 2016-09-20 Gate all around device architecture with local oxide
PCT/US2017/052339 WO2018057539A1 (en) 2016-09-20 2017-09-19 Gate all around device architecture with local oxide

Publications (2)

Publication Number Publication Date
JP2019530255A JP2019530255A (ja) 2019-10-17
JP7023284B2 true JP7023284B2 (ja) 2022-02-21

Family

ID=59257211

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019537029A Active JP7023284B2 (ja) 2016-09-20 2017-09-19 局所酸化物を有するゲートオールアラウンドデバイスアーキテクチャ

Country Status (6)

Country Link
US (1) US9704995B1 (ja)
EP (1) EP3516700A1 (ja)
JP (1) JP7023284B2 (ja)
KR (1) KR102444200B1 (ja)
CN (1) CN109791947B (ja)
WO (1) WO2018057539A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI723993B (zh) * 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
US10068794B2 (en) * 2017-01-31 2018-09-04 Advanced Micro Devices, Inc. Gate all around device architecture with hybrid wafer bond technique
US9881998B1 (en) * 2017-02-02 2018-01-30 International Business Machines Corporation Stacked nanosheet field effect transistor device with substrate isolation
US11347925B2 (en) 2017-05-01 2022-05-31 Advanced Micro Devices, Inc. Power grid architecture and optimization with EUV lithography
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US11211330B2 (en) * 2017-05-01 2021-12-28 Advanced Micro Devices, Inc. Standard cell layout architectures and drawing styles for 5nm and beyond
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
CN107871780A (zh) * 2017-11-20 2018-04-03 中国科学院上海微系统与信息技术研究所 场效应晶体管结构及其制备方法
US10796061B1 (en) 2019-08-29 2020-10-06 Advanced Micro Devices, Inc. Standard cell and power grid architectures with EUV lithography
TW202129061A (zh) * 2019-10-02 2021-08-01 美商應用材料股份有限公司 環繞式閘極輸入/輸出工程
US11495661B2 (en) * 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210854A (ja) 2005-01-31 2006-08-10 Toshiba Corp 半導体装置及びその製造方法
JP2011066151A (ja) 2009-09-16 2011-03-31 Toshiba Corp 半導体装置およびその製造方法
US20120007051A1 (en) 2010-07-06 2012-01-12 International Business Machines Corporation Process for Forming a Surrounding Gate for a Nanowire Using a Sacrificial Patternable Dielectric
JP2012518269A (ja) 2009-02-17 2012-08-09 インターナショナル・ビジネス・マシーンズ・コーポレーション ナノワイヤ・メッシュ・デバイス及びその製造方法
JP2013527607A (ja) 2010-04-29 2013-06-27 クアルコム,インコーポレイテッド 向上したデバイス特性を有するネイティブデバイスおよび製造方法
JP2014505995A (ja) 2010-12-01 2014-03-06 インテル コーポレイション シリコン及びシリコンゲルマニウムのナノワイヤ構造
JP2015516679A (ja) 2012-03-31 2015-06-11 サイプレス セミコンダクター コーポレーション 非揮発性電荷トラップメモリ装置及びロジックcmos装置の統合
US20150295036A1 (en) 2014-04-10 2015-10-15 Semiconductor Manufacturing International (Shanghai) Corporation Nanowire device and method of manufacturing the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8716751B2 (en) * 2012-09-28 2014-05-06 Intel Corporation Methods of containing defects for non-silicon device engineering
US9224849B2 (en) * 2012-12-28 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with wrapped-around gates and methods for forming the same
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9425318B1 (en) * 2015-02-27 2016-08-23 GlobalFoundries, Inc. Integrated circuits with fets having nanowires and methods of manufacturing the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210854A (ja) 2005-01-31 2006-08-10 Toshiba Corp 半導体装置及びその製造方法
JP2012518269A (ja) 2009-02-17 2012-08-09 インターナショナル・ビジネス・マシーンズ・コーポレーション ナノワイヤ・メッシュ・デバイス及びその製造方法
JP2011066151A (ja) 2009-09-16 2011-03-31 Toshiba Corp 半導体装置およびその製造方法
JP2013527607A (ja) 2010-04-29 2013-06-27 クアルコム,インコーポレイテッド 向上したデバイス特性を有するネイティブデバイスおよび製造方法
US20120007051A1 (en) 2010-07-06 2012-01-12 International Business Machines Corporation Process for Forming a Surrounding Gate for a Nanowire Using a Sacrificial Patternable Dielectric
JP2014505995A (ja) 2010-12-01 2014-03-06 インテル コーポレイション シリコン及びシリコンゲルマニウムのナノワイヤ構造
JP2015516679A (ja) 2012-03-31 2015-06-11 サイプレス セミコンダクター コーポレーション 非揮発性電荷トラップメモリ装置及びロジックcmos装置の統合
US20150295036A1 (en) 2014-04-10 2015-10-15 Semiconductor Manufacturing International (Shanghai) Corporation Nanowire device and method of manufacturing the same

Also Published As

Publication number Publication date
EP3516700A1 (en) 2019-07-31
KR102444200B1 (ko) 2022-09-19
CN109791947A (zh) 2019-05-21
KR20190046837A (ko) 2019-05-07
JP2019530255A (ja) 2019-10-17
CN109791947B (zh) 2021-07-23
US9704995B1 (en) 2017-07-11
WO2018057539A1 (en) 2018-03-29

Similar Documents

Publication Publication Date Title
JP7023284B2 (ja) 局所酸化物を有するゲートオールアラウンドデバイスアーキテクチャ
JP7126521B2 (ja) ハイブリッドウエハ接合技術を用いたゲートオールアラウンドデバイスアーキテクチャ
US20230127985A1 (en) Techniques for achieving multiple transistor fin dimensions on a single die
JP5294605B2 (ja) 非対称マルチゲート型トランジスタ及び形成方法
CN101908506B (zh) 半导体装置及其制造方法
US8609480B2 (en) Methods of forming isolation structures on FinFET semiconductor devices
KR102304791B1 (ko) 성능 및 게이트 충전을 위한 게이트 프로파일의 최적화
CN105431945B (zh) 具有带有顶部阻挡层的自对准鳍的非平面半导体器件
TW201349355A (zh) 形成用於半導體設備之取代閘極結構的方法
TWI578524B (zh) 藉由執行三重塗覆程序形成用於半導體裝置之通道區的方法
US8183101B2 (en) Multiple gate transistor having fins with a length defined by the gate electrode
TW201618308A (zh) 用於鰭式電晶體的高移動率應變通道(二)
US20130175624A1 (en) Recessed source and drain regions for finfets
US9472640B2 (en) Self aligned embedded gate carbon transistors
CN102110648A (zh) 一种制备体硅围栅金属半导体场效应晶体管的方法
US20170011929A1 (en) Vertical channel transistors fabrication process by selective subtraction of a regular grid
WO2015089952A1 (zh) 制备准soi源漏多栅器件的方法
CN106952911B (zh) 鳍式半导体器件的形成方法
CN203038895U (zh) 一种半导体结构
TW202240909A (zh) 電晶體源極/汲極外延阻擋層
TW202406149A (zh) 半導體裝置及其製造方法
TW202349505A (zh) 製造半導體裝置的方法及半導體裝置
CN116504720A (zh) 一种全包围栅极纳米片cmos器件集成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200826

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210907

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211203

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220111

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220208

R150 Certificate of patent or registration of utility model

Ref document number: 7023284

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150