JP6993547B2 - 局地化されたシリコンエピタキシャルシード形成によるバルクウェハにおける隔離された半導体層 - Google Patents

局地化されたシリコンエピタキシャルシード形成によるバルクウェハにおける隔離された半導体層 Download PDF

Info

Publication number
JP6993547B2
JP6993547B2 JP2019238830A JP2019238830A JP6993547B2 JP 6993547 B2 JP6993547 B2 JP 6993547B2 JP 2019238830 A JP2019238830 A JP 2019238830A JP 2019238830 A JP2019238830 A JP 2019238830A JP 6993547 B2 JP6993547 B2 JP 6993547B2
Authority
JP
Japan
Prior art keywords
layer
integrated circuit
silicon
single crystal
epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019238830A
Other languages
English (en)
Other versions
JP2020061577A (ja
Inventor
ネルソン カロザーズ ダニエル
アール デボール ジェフェリー
Original Assignee
テキサス インスツルメンツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テキサス インスツルメンツ インコーポレイテッド filed Critical テキサス インスツルメンツ インコーポレイテッド
Publication of JP2020061577A publication Critical patent/JP2020061577A/ja
Application granted granted Critical
Publication of JP6993547B2 publication Critical patent/JP6993547B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76272Vertical isolation by lateral overgrowth techniques, i.e. ELO techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02645Seed materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76248Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using lateral overgrowth techniques, i.e. ELO techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76278Vertical isolation by selective deposition of single crystal silicon, i.e. SEG techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76289Lateral isolation by air gap

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Optics & Photonics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Element Separation (AREA)
  • Recrystallisation Techniques (AREA)

Description

本願は、概して集積回路に関し、更に特定して言えば、集積回路における隔離された半導体層に関連する。
誘電的に隔離されたシリコンにおいて幾つかの回路又は構成要素を備えた集積回路が、SOI(シリコンオンインシュレータ)ウエハ上に形成され得る。SOIウエハは、バルク及びエピタキシャルウエハより高価であり、集積回路のコストを望ましくなく増大させる。代替として、こういった回路又は構成要素は、二酸化シリコンの埋め込み層の上に形成され得る。酸素を注入することなどの、埋め込み二酸化シリコン層を形成するための方法は、埋め込み酸化物層の所望の横方向及び垂直寸法制御を提供することに関連して問題となっており、ウエハ上の応力を望ましくなく増大させて、フォトリソグラフィオペレーションの間の問題をもたらす。埋め込み酸化物層の上にデバイス品質シリコンの薄い層を形成することも問題となっている。
記載される例において、集積回路が、単結晶シリコンベースの基板に隔離窪みを形成すること、及び隣接する(adjacent)基板の頂部表面より低い頂部表面を備えた埋め込み隔離層を形成するために、隔離窪みを隔離誘電体材料で充填することにより形成され得る。埋め込み隔離層における基板の露出された横方向表面が、誘電体側壁で覆われる。基板を露出させるために、シードトレンチが埋め込み隔離層を介して形成される。単結晶シリコンベースのシード層が、埋め込み隔離層の頂部表面より上に延在して、シードトレンチを介して形成される。シリコンベースの非結晶層が、シード層に接して、基板及び埋め込み隔離層の上に形成される。非結晶層の上にキャップ層が形成される。放射誘導(radiant-induced)再結晶化プロセスが、埋め込み隔離層の上の非結晶層を加熱し、それをシード層と整合される単結晶層に変換する。キャップ層が取り除かれ、非結晶層が基板の上から取り除かれて、隔離された半導体層を埋め込み隔離層の上に残す。
製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。 製造の連続的段階で示される、例示の集積回路の断面図である。
図1A~図1Sの集積回路の断面図であり、単結晶領域及び非結晶領域を形成する、また、後続の放射誘導再結晶化プロセスのための、代替の方法を示す。 図1A~図1Sの集積回路の断面図であり、単結晶領域及び非結晶領域を形成する、また、後続の放射誘導再結晶化プロセスのための、代替の方法を示す。 図1A~図1Sの集積回路の断面図であり、単結晶領域及び非結晶領域を形成する、また、後続の放射誘導再結晶化プロセスのための、代替の方法を示す。 図1A~図1Sの集積回路の断面図であり、単結晶領域及び非結晶領域を形成する、また、後続の放射誘導再結晶化プロセスのための、代替の方法を示す。 図1A~図1Sの集積回路の断面図であり、単結晶領域及び非結晶領域を形成する、また、後続の放射誘導再結晶化プロセスのための、代替の方法を示す。 図1A~図1Sの集積回路の断面図であり、単結晶領域及び非結晶領域を形成する、また、後続の放射誘導再結晶化プロセスのための、代替の方法を示す。 図1A~図1Sの集積回路の断面図であり、単結晶領域及び非結晶領域を形成する、また、後続の放射誘導再結晶化プロセスのための、代替の方法を示す。
下記文献は、関連する主題を述べており、全体として参照のためこの出願に組み込まれている。
米国特許出願番号US14/301,765 米国特許出願番号US14/301,827 米国特許出願番号US14/301,848
集積回路が、単結晶シリコンベースの基板において隔離窪みを形成すること、及び隣接する基板の頂部表面より低い頂部表面を備えた埋め込み隔離層を形成するために隔離窪みを隔離誘電体材料で充填することにより形成され得る。埋め込み隔離層における基板の露出された横方向表面が、誘電体側壁で覆われる。基板を露出させるために埋め込み隔離層を介してシードトレンチが形成される。単結晶シリコンベースのシード層が、埋め込み隔離層の頂部表面より上に延在して、シードトレンチを介して形成される。シリコンベースの非結晶層が、シード層に接して、基板及び埋め込み隔離層の上に形成される。非結晶層の上にキャップ層が形成される。放射誘導再結晶化プロセスが、埋め込み隔離層の上の非結晶層を加熱し、それを、シード層と整合される単結晶層に変換する。キャップ層が取り除かれ、非結晶層が基板の上から取り除かれて、隔離された半導体層が埋め込み隔離層の上に残る。
図1A~図1Sは、製造の連続的段階で示される例示の集積回路の断面図である。図1Aを参照すると、集積回路100が、シリコンベースの単結晶半導体材料の基板102上に形成される。基板102は、バルクシリコンウエハ又はエピタキシャル層を備えたシリコンウエハ、又は、集積回路100の形成に適したその他の基板102であり得る。ブロック層104が、後続のトレンチエッチングのためのエッチングマスクを提供するため及び後続のエピタキシャルプロセスにおいてエピタキシャル成長をブロックするために、基板102の頂部表面106の上に形成される。ブロック層104は、頂部表面106において熱酸化によって形成される、5ナノメートル~20ナノメートルの厚みの二酸化シリコン108の層、及び二酸化シリコン108の層上に低圧化学気相成長(LPCVD)プロセスによって形成される50ナノメートル~150ナノメートルの厚みのシリコン窒化物110の層を含み得る。ブロック層104のその他の構造及び構成がこの例の範囲内にある。隔離された半導体層114のためのエリアを露出させるため及び隣接するブロック層104を覆うために、ブロック層104の上に隔離マスク112が形成される。例えば、隔離された半導体層114のためのエリアは、2ミクロン~200ミクロン幅であり得る。隔離マスク112は、フォトリソグラフィプロセスによって形成されたフォトレジストを含み得、シリコンカーバイド又は非晶質炭素などの、反反射層及び又はハードマスク材料を含み得る。隔離マスク112は、シャロートレンチアイソレーションプロセスの一部としてフィールド酸化物のための集積回路100のその他のエリアを露出させ得る。
図1Bを参照すると、隔離エッチングプロセス116が、隔離された半導体層114のためのエリアからブロック層104を取り除き、その後、隔離窪み118を形成するために基板102から半導体材料を取り除く。例えば、隔離窪み118は、基板102において250ナノメートル~1000ナノメートルの深さであり得る。隔離エッチングプロセス116は、図1Bにおいて概略で示すように、反応性イオンエッチング(RIE)プロセスであり得る。隔離エッチングプロセス116は、隔離窪み118の所望の深さを提供するように時限エッチングであり得る。隔離マスク112は取り除かれる。例えば、少なくとも一つの実施例において、隔離マスク112の一部が隔離エッチングプロセス116の間取り除かれ得、隔離マスク112の残りの部分が、隔離エッチングプロセス116が完了した後に、アッシングプロセス及びその後続くウェット洗浄プロセスなどにより、取り除かれ得る。
図1Cを参照すると、隔離誘電体材料120が、隔離窪み118に延在し、且つ、隔離窪み118を充填して、集積回路100の既存の頂部表面の上に形成される。隔離誘電体材料120は、主として二酸化シリコンであり得、又は主としてアルミニウム酸化物であり得、又は、異なる誘電体材料の複数のサブ層を含み得る。隔離誘電体材料120において二酸化シリコンが、シラン及び酸素を用いる常圧化学気相成長(APCVD)プロセス、オルトけい酸テトラエチル(テトラエトキシシラン(TEOS)としても知られている)を用いるプラズマエンハンスト化学気相成長(PECVD)プロセス、高密度プラズマ(HDP)プロセス、及び/又はオゾンベースの熱化学気相成長(CVD)プロセス(高アスペクト比プロセス(HARP)としても知られている)により形成され得る。隔離誘電体材料120においてアルミニウム酸化物が、酸素雰囲気における物理気相成長(PVD)プロセス、又はCVDプロセスにより形成され得る。隔離誘電体材料120は、隔離誘電体材料120の頂部表面の平坦性を改善するために、堆積及びその後続く部分的エッチバックの幾つかの反復により形成され得る。
図1Dを参照すると、隔離誘電体材料120は、CMPパッドで図1Dに示される化学機械研磨(CMP)プロセス122により、ブロック層104まで平坦化される。CMPプロセス122は酸化セリウムスラリーを用い得、これは、ブロック層104のものより高い隔離誘電体材料120の除去レートを有する。CMPプロセス122は、後続のエピタキシャルプロセス形成の間エピタキシャル材料をブロックするために、所望の厚みのブロック層104を残す。
図1Eを参照すると、埋め込み隔離層124を形成するために、隔離窪み118における隔離誘電体材料120が、隣接する基板102の頂部表面106より下に窪まされる。例えば、埋め込み隔離層の頂部表面126を、基板102の頂部表面106より50ナノメートル~150ナノメートル下とし得る。隔離誘電体材料120は、図1Eにおいて概略で示すように時限プラズマエッチングプロセス128を用いて又は別の方法により窪まされ得る。
図1Fを参照すると、側壁材料130の層が、埋め込み隔離層124の頂部表面126の上の隔離窪み118における基板102の露出された横方向表面132を覆うように、ブロック層104の上に及び埋め込み隔離層124上に延在してコンフォーマルに形成される。例えば、側壁材料130の層は、ビス(ターシャルブチルアミノ)シラン(BTBAS)を用いるPECVDプロセスによって形成されるシリコン窒化物を含み得る。代替として、側壁材料130の層は、TEOSを用いるPECVDプロセスによって形成される二酸化シリコンを含み得る。例えば、側壁材料130の層は、隔離窪み118における基板102の露出された横方向表面132上に10ナノメートル~25ナノメートルの厚みとし得る。
図1Gを参照すると、任意選択の異方性エッチングプロセス134が、隔離窪み118における基板102の露出された横方向表面132上に側壁136を残すように、図1Fの側壁材料130の層を、ブロック層104の上から及び埋め込み隔離層124の頂部表面126から取り除く。異方性エッチングプロセス134は、金属酸化物半導体(MOS)トランジスタ製造においてゲート側壁スペーサを形成するためのRIEプロセスに類似するRIEプロセスであり得る。代替として、側壁136は、隔離窪み118における基板102の露出された横方向表面132における基板102におけるシリコンの熱酸化によって形成され得る。代替として、図1Fの側壁材料130の層は、側壁材料130の層が主として二酸化シリコンであるこの例のバージョンなどにおいて、元のまま残り得る。
図1Hを参照すると、埋め込み隔離層124を介してシードトレンチ140のためのエリアを露出させるために、ブロック層104及び埋め込み隔離層124の上にトレンチマスク138が形成される。トレンチマスク138は、フォトレジスト及び/又はハードマスク材料を含み得る。トレンチエッチングプロセス142が、シードトレンチ140を形成するために、トレンチマスク138により露出されたエリアにおける埋め込み隔離層124から誘電体材料を取り除く。トレンチエッチングプロセス142は、図1Hにおいて概略で示すようなRIEプロセスであり得る。シードトレンチ140は基板102を露出させる。
図1Iを参照すると、図1Hのトレンチマスク138が取り除かれる。例えば、少なくとも一つの実施例において、トレンチマスク138の一部が、トレンチエッチングプロセス142の間取り除かれ得、トレンチマスク138の残りの部分が、トレンチエッチングプロセス142が完了した後取り除かれ得る。トレンチマスク138におけるフォトレジストは、アッシングプロセスにより取り除かれ得、硫酸及び過酸化水素の水溶性混合物及び/又は水酸化アンモニウム及び過酸化水素の水溶性混合物を用いるウェット洗浄プロセスが続き得る。トレンチマスク138におけるハードマスク材料は、ブロック層104及び埋め込み隔離層124に選択的なRIEプロセスにより取り除かれ得る。
図1Jを参照すると、単結晶シリコンベースのシード層144が、埋め込み隔離層124の頂部表面126より上に延在して、シードトレンチ140において基板102上に形成される。この選択性エピタキシャルプロセスは、シードトレンチ140における基板102の表面から如何なる自然酸化物をも取り除くために、Applied Materials Siconi(商標)洗浄プロセスなどの現場(in situ)洗浄プロセスで開始し得る。この選択性エピタキシャルプロセスは、700℃~900℃の温度の基板102で、10torr~100torrの圧力で、100毎分標準立方センチメートル(sccm)~300sccmでジクロロシラン(SiH)ガスを、及び100sccm~300sccmで塩化水素(HCl)ガスを提供し得、これにより、5ナノメートル分毎~50ナノメートル分毎の成長レートを提供し得る。側壁136は、エピタキシャル材料が、隔離窪み118における基板102の横方向表面132上に形成しないようにする。ブロック層104は、エピタキシャル材料が、隔離窪み118に隣接する基板102の頂部表面106上に形成しないようにする。
図1Kを参照すると、非選択的エピタキシャルプロセスが、ブロック層104及び埋め込み隔離層124の上に、シード層144に接して、シリコンベースの半導体材料のエピタキシャル層146を形成する。エピタキシャル層146は、シード層144上の単結晶領域148、及び単結晶領域148に横方向に接する埋め込み隔離層124上の非結晶領域150を含む。非結晶領域150は多結晶又はアモルファスであり得る。非結晶領域150の厚みは、埋め込み隔離層124の上の単結晶層の所望の最終的な厚みより50ナノメートル~200ナノメートル厚くし得る。例えば、埋め込み隔離層124の上の単結晶層のための75ナノメートルの最終的な所望の厚みのための非結晶領域150の厚みは、125ナノメートルとし得る。単結晶領域148の厚みは、非結晶領域150の厚みと実質的に等しく又はそれより大きくし得る。非選択的エピタキシャルプロセスは、20毎分標準立方センチメートル(sccm)~200sccmで、10torr~100torrの圧力で、及び500℃~700℃の温度で、シラン(SiH)及び/又はジシラン(Si)を提供し得、これは、5ナノメートル分毎~50ナノメートル分毎の成長レートを提供し得る。代替として、非選択的エピタキシャルプロセスは、20ミリグラム分毎~250ミリグラム分毎で、10torr~100torrの圧力で、及び400℃~650℃の温度で、トリシラン(Si)を提供し得る。エピタキシャル層146は、同じ温度のシラン及びジシランを用いるより高レートでトリシランを用いて成長され得、又はエピタキシャル層146は、一層低い温度のシラン/ジシランレートと同等のレートで成長され得る。この例の幾つかのバージョンにおいて、エピタキシャル層146の形成の間の非選択的エピタキシャルプロセスによりシード層144の頂部表面に提供されるガスには、実質的に塩素含有ガスをなくし得、これにより、実質的に同一の厚みを備えた単結晶領域148及び非結晶領域150が形成され得る。他のバージョンにおいて、エピタキシャル層146の形成の間基板102に提供されるガスは、何らかの塩素含有ガスを含み得、これにより、非結晶領域150より厚く(20パーセント厚くなど)単結晶領域148が形成され得る。例えば、非選択的エピタキシャルプロセスは、20torr~100torrの圧力及び1080℃~1120℃の温度で水素(H)を備えたジクロロシランを提供し得、これは、500ナノメートル分毎~2ミクロン分毎の成長レートを提供し得る。代替として、非選択的エピタキシャルプロセスは、500torr~760torrの圧力及び1115℃~1200℃の温度で水素を備えたトリクロロシラン(SiHCl)を提供し得、これは、3.5ミクロン分毎~4ミクロン分毎の成長レートを提供し得る。
図1Lを参照すると、エピタキシャル層146の上にキャップ層152が形成される。キャップ層152は、二酸化シリコン、シリコン窒化物、及び/又はシリコンオキシナイトライドの一つ又は複数の層を含み得る。キャップ層152は、50ナノメートル~200ナノメートルの厚みとし得、二酸化シリコンのためにTEOSを及びシリコン窒化物のためにBTBASを用いるPECVDプロセスにより形成され得る。キャップ層152は、有効屈折率を乗じた厚みである光学的厚みを有し得、これにより、後続の放射誘導再結晶化プロセスのための非結晶領域150に対して有効反反射層が提供される。例えば、キャップ層152の光学厚みは、有効反反射層を提供するための後続の放射誘導再結晶化プロセスの放射エネルギーの一次波長の20パーセント~30パーセントとし得る。10.6ミクロンの波長を有する二酸化炭素レーザーを用いる放射誘導再結晶化プロセスでは、キャップ層152の光学厚みは、有効反反射層を提供するために2.12ミクロン~3.18ミクロンとし得る。
図1Mを参照すると、放射誘導再結晶化プロセス154が、埋め込み隔離層124の上に単結晶領域148が延在するよう非結晶領域150が再結晶するように、エピタキシャル層146の単結晶領域148より高い温度まで非結晶領域150を加熱する。図1Mは、終了時の放射誘導再結晶化プロセス154を示す。放射誘導再結晶化プロセス154は、非結晶領域150の温度をその融点を超えて上昇させ得る。非結晶領域150に対して反反射層を提供するためにキャップ層152を形成することにより、単結晶領域148内へよりも非結晶領域150内へより多い放射エネルギーが放射誘導再結晶化プロセス154から有利に結合され得、それにより、拡張された単結晶領域148における低欠陥が促進される。放射誘導再結晶化プロセス154は、埋め込み隔離層124の上のキャップ層152と単結晶領域148の新たに再結晶化された部分との間に、粗化されたインタフェース156を生成し得る。例えば、放射誘導再結晶化プロセス154は、図1Mにおいて概略で示すように、スキャンドレーザーアニールプロセス154を含み得る。代替として、放射誘導再結晶化プロセス154は、フラッシュランプアニールプロセス、又は電磁スペクトルの任意の一部における放射源からエネルギーを非結晶領域150に提供するその他の放射プロセスであり得る。エピタキシャル層146を、埋め込み隔離層124の頂部表面126の上であり非結晶領域150に横方向に接する単結晶領域148を有するように形成することにより、埋め込み隔離層124の上の単結晶領域148の新たに再結晶化された部分は、非結晶領域に横方向に接する単結晶領域なしにエピタキシャル層より少ない欠陥で形成することが可能となり得る。
図1Nを参照すると、単結晶領域148の有意な部分を取り除くことなく図1Mのキャップ層152が取り除かれる。キャップ層152は、エピタキシャル層146に選択的なプラズマエッチングにより、又はフッ化水素酸の希釈緩衝水溶液を用いるウェットエッチングにより取り除かれ得る。
図1Oを参照すると、単結晶領域148が、埋め込み隔離層124の上に延在する単結晶領域148の滑らかな頂部表面158を提供するために平坦化される。単結晶領域148は、CMPパッドにより図1Oに示したCMPプロセス160により平坦化され得る。代替として、単結晶領域148は、レジストエッチバックプロセスなどの別の方法により平坦化されてもよい。
図1Pを参照すると、頂部表面158における単結晶領域148の所望の厚みを消費するために熱酸化プロセスが用いられ得、単結晶領域148上に熱酸化物162の層が形成される。熱酸化プロセスを用いて単結晶領域148の所望の厚みを消費することにより、均一な量の単結晶領域148が、埋め込み隔離層124にわたって有利に消費され得る。時限ブランケットエッチングプロセスなど、単結晶領域148の所望の厚みを消費する他の方法が、この例の範囲内にある。
図1Qを参照すると、単結晶領域148上の図1Pの熱酸化物162の層は、埋め込み隔離層124の上に最終的な厚み164を有する単結晶領域148を残して、取り除かれる。熱酸化物162の層は、単結晶領域148に選択的であり、単結晶領域148の頂部表面158を終点とする、プラズマエッチングプロセスにより取り除かれ得る。代替として、熱酸化物162の層は、フッ化水素酸の緩衝希釈水溶液を用いる時限ウェットエッチングプロセスにより取り除かれ得る。埋め込み隔離層124の上の単結晶領域148は、隔離された半導体層114を提供する。最終的な厚み164は、75ナノメートル~100ナノメートルなど、120ナノメートルより小さくし得る。
図1Rを参照すると、隔離された半導体層114の上に保護層166が形成される。例えば、保護層166は、5ナノメートル~15ナノメートルの熱酸化物を含み得る。保護層166は、シリコン窒化物110の層など、側壁136及びブロック層104の頂部層とは異なるエッチング特性を有する。
図1Sを参照すると、図1Rの側壁136及びブロック層104のシリコン窒化物110の層が取り除かれる。側壁136及びシリコン窒化物110の層におけるシリコン窒化物が、150℃のリン酸の水溶液により取り除かれ得る。例えば、隔離された半導体層114の幅168は2ミクロン~200ミクロンとし得る。また、例えば、埋め込み隔離層124の最終的な厚み170を、200ナノメートル~900ナノメートルの厚みとし得る。構成要素(トランジスタなど)が、その後、埋め込み隔離層124の上の隔離された半導体層114に形成され得、基板102に対する低静電容量を有利に有する。
図2A~図2Gは、図1A~図1Sの集積回路の断面図であり、単結晶領域及び非結晶領域を形成する、及び後続の放射誘導再結晶化プロセスのための、代替の方法を示す。図2Aを参照すると、埋め込み隔離層124が、集積回路100の基板102における隔離窪み118に形成される。埋め込み隔離層124の頂部表面126が、隔離窪み118に近接するブロック層104の下の基板102の頂部表面106より下に窪まされる。側壁136が、隔離窪み118における基板102の横方向表面132上に形成される。この例では、シード層144が、隔離窪み118に近接する基板102の頂部表面106により画定される平面より上に延在するようにシードトレンチ140における基板102上に形成される。
図2Bを参照すると、非結晶領域150が、ブロック層104及び埋め込み隔離層124の上に及びシード層144に接して形成される。この例では、非結晶領域150は、ポリシリコンと称される多結晶シリコン150の層として形成される。ポリシリコン非結晶領域150は、550℃~600℃の温度及び0.2torr~1torrの圧力でのシランの熱分解などにより形成され得る。シード層144とは別に非結晶領域150を形成することにより、同時形成に比べて非結晶領域150にとって一層大きな厚み制御が有利に達成され得る。側壁136は、非結晶領域150を基板102から分離する。
図2Cを参照すると、図1Lを参照して説明したようにキャップ層152が非結晶領域150の上に形成される。キャップ層152は、非結晶領域150の頂部表面の形状を考慮して、シード層144に近接するエリアにおける後続の放射誘導再結晶化プロセスのための最大反反射特性を提供するように形成され得る。
図2Dを参照すると、非結晶領域150におけるポリシリコンが、シード層144の周りに単結晶領域148を形成し、埋め込み隔離層124にわたって外方に成長するように、放射誘導再結晶化プロセス154が非結晶領域150をシード層144より高い温度まで加熱する。放射誘導再結晶化プロセス154は、キャップ層152と単結晶領域148の新たに再結晶化された部分との間に、粗化されたインタフェース156を生成し得る。基板102の頂部表面106より上に延在するようにシード層144を形成することにより、埋め込み隔離層124の上の単結晶領域148の新たに再結晶化された部分は、埋め込み隔離層124の頂部表面126と共平面のシード層144を備える場合よりも、少ない欠陥で形成することが可能となり得る。
図2Eを参照すると、単結晶領域148の有意な部分を取り除くことなく図2Dのキャップ層152が取り除かれる。キャップ層152は、図1Nを参照して説明したように取り除かれ得る。
図2Fを参照すると、滑らかな平らな頂部表面158を提供するために、単結晶領域148及び場合によってはシード層144が平坦化される。単結晶領域148及び場合によってはシード層144は、CMPプロセスにより又は別の方法により平坦化され得る。頂部表面158は、図2Fに示すようにブロック層104より上とし得る。この例の別のバージョンにおいて、CMP平坦化プロセスから生じ得るように、頂部表面158はブロック層104の頂部表面と実質的に共平面であってもよい。更なるバージョンにおいて、頂部表面158は、ブロック層104の頂部表面より下とし得るが、隔離窪み118に近接する基板102の頂部表面106より上とし得る。
図2Gを参照すると、単結晶領域148が、所望の厚み164を提供するように隔離窪み118において窪まされる。単結晶領域148は、図2Gに示すように時限プラズマエッチングプロセス172により、又は場合によってはフッ化水素酸の緩衝水溶液を用いる時限ウェットエッチングプロセスにより窪まされ得る。所望の厚み164が得られた後、集積回路100のプロセスが図1Rを参照して説明されるように継続する。
本発明の特許請求の範囲内で、説明した例示の実施例に変形が成され得、他の実施例が可能である。

Claims (14)

  1. 集積回路であって、
    向き合う第1及び第2の表面を有し、本質的に単結晶シリコンベースの半導体材料で構成されるバルクシリコンウェハであって、その中に凹部を有し、前記凹部が底部と少なくとも第1及び第2の側壁を有し、前記凹部が前記底部から前記第1の表面に延びる、前記バルクシリコンウェハと、
    前記凹部の少なくとも一部内の埋込誘電体層であって、前記第1及び第2の側壁に延び、その中にトレンチを有し、前記トレンチが前記バルクシリコンウェハに延びる、前記埋込誘電体層と、
    少なくとも前記トレンチを充填する単結晶シリコンベースのシード層と、
    前記埋込誘電体層を少なくとも部分的に覆うエピタキシャルシリコン層と、
    を含み、
    少なくとも前記エピタキシャルシリコン層が前記埋込誘電体層を覆う範囲まで、前記エピタキシャルシリコン層が本質的に単結晶材料で構成され、前記シード層と前記エピタキシャルシリコン層とが平坦な頂部表面を提供する、集積回路。
  2. 請求項1に記載の集積回路であって、
    前記エピタキシャルシリコン層上に誘電体層を更に含む、集積回路。
  3. 請求項1に記載の集積回路であって、
    前記エピタキシャルシリコン層と前記凹部の側壁との間に誘電体層を更に含む、集積回路。
  4. 請求項1に記載の集積回路であって、
    前記シリコンベースのシード層の少なくとも一部が選択性エピタキシャル成長により形成される、集積回路。
  5. 請求項1に記載の集積回路であって、
    前記エピタキシャルシリコン層の少なくとも一部が前記シリコンベースのシード層の少なくとも一部に近接する、集積回路。
  6. 請求項1に記載の集積回路であって、
    前記エピタキシャルシリコン層の第1の表面が前記バルクシリコンウェハの第1の表面と共面である、集積回路。
  7. 請求項1に記載の集積回路であって、
    前記埋込誘電体層が二酸化シリコンを含む、集積回路。
  8. 請求項1に記載の集積回路であって、
    前記埋込誘電体層が異なる誘電体材料の副層を含む、集積回路。
  9. 集積回路を製造する方法であって、
    向かい合う第1及び第2の表面を有し、本質的に単結晶シリコンベースの半導体材料で構成されるバルクシリコンウェハに凹部を形成することであって、前記凹部が底部と少なくとも第1及び第2の側壁を有し、前記凹部が前記底部から前記第1の表面に延びる、前記バルクシリコンウェハに凹部を形成することと、
    前記凹部の少なくとも一部内埋込誘電体層を形成することであって、前記埋込誘電体層が前記第1及び第2の側壁に延びその中にトレンチを有し、前記トレンチが前記バルクシリコンウェハに延びる、前記埋込誘電体層を形成することと、
    少なくとも前記トレンチを充填する単結晶シリコンベースのシード層を形成することであって、前記シリコンベースのシード層の少なくとも一部が選択エピタキシャル成長により形成される、前記シリコンベースのシード層を形成することと、
    前記埋込誘電体層を少なくとも部分的に覆うエピタキシャルシリコン層を形成することであって、前記エピタキシャルシリコン層の少なくとも一部が非選択性エピタキシャル成長により形成され、前記エピタキシャルシリコン層の少なくとも一部が前記シリコンベースのシード層の少なくとも一部に近接する、前記エピタキシャルシリコン層を形成すことと、
    を含み、
    少なくとも前記エピタキシャルシリコン層が前記埋込誘電体層に重なる範囲まで、前記エピタキシャルシリコン層が本質的に単結晶材料で構成され、前記シード層と前記エピタキシャルシリコン層とが平坦な頂部表面を提供する、方法
  10. 請求項9に記載の方法であって、
    前記エピタキシャルシリコン層上に誘電体層を形成することを更に含む、方法
  11. 請求項9に記載の方法であって、
    前記エピタキシャルシリコン層と前記凹部の側壁との間に誘電体層を形成することを更に含む、方法
  12. 請求項9に記載の方法であって、
    前記エピタキシャルシリコン層の第1の表面が前記バルクシリコンウェハの前記第1の表面と共面である、方法
  13. 請求項9に記載の方法であって、
    前記埋込誘電体層が二酸化シリコンを含む、方法
  14. 請求項9に記載の方法であって、
    前記埋込誘電体層が異なる誘電体材料の副層を含む、方法
JP2019238830A 2014-04-13 2019-12-27 局地化されたシリコンエピタキシャルシード形成によるバルクウェハにおける隔離された半導体層 Active JP6993547B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461978935P 2014-04-13 2014-04-13
US61/978,935 2014-04-13
US14/301,788 2014-06-11
US14/301,788 US9330959B2 (en) 2014-04-13 2014-06-11 Isolated semiconductor layer in bulk wafer by localized silicon epitaxial seed formation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016562495A Division JP2017511610A (ja) 2014-04-13 2015-04-13 局地化されたシリコンエピタキシャルシード形成によるバルクウエハにおける隔離された半導体層

Publications (2)

Publication Number Publication Date
JP2020061577A JP2020061577A (ja) 2020-04-16
JP6993547B2 true JP6993547B2 (ja) 2022-01-13

Family

ID=54265679

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016562495A Pending JP2017511610A (ja) 2014-04-13 2015-04-13 局地化されたシリコンエピタキシャルシード形成によるバルクウエハにおける隔離された半導体層
JP2019143488A Active JP7137538B2 (ja) 2014-04-13 2019-08-05 局地化されたシリコンエピタキシャルシード形成によるバルクウェハにおける隔離された半導体層
JP2019238830A Active JP6993547B2 (ja) 2014-04-13 2019-12-27 局地化されたシリコンエピタキシャルシード形成によるバルクウェハにおける隔離された半導体層

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2016562495A Pending JP2017511610A (ja) 2014-04-13 2015-04-13 局地化されたシリコンエピタキシャルシード形成によるバルクウエハにおける隔離された半導体層
JP2019143488A Active JP7137538B2 (ja) 2014-04-13 2019-08-05 局地化されたシリコンエピタキシャルシード形成によるバルクウェハにおける隔離された半導体層

Country Status (5)

Country Link
US (3) US9330959B2 (ja)
EP (1) EP3132468B1 (ja)
JP (3) JP2017511610A (ja)
CN (2) CN116646315A (ja)
WO (1) WO2015160714A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9330959B2 (en) * 2014-04-13 2016-05-03 Texas Instruments Incorporated Isolated semiconductor layer in bulk wafer by localized silicon epitaxial seed formation
CN107527815B (zh) * 2016-06-21 2022-03-22 蓝枪半导体有限责任公司 外延层的制作方法
CN107958933B (zh) * 2016-10-17 2020-05-26 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
US20230420546A1 (en) * 2022-06-24 2023-12-28 Nxp Usa, Inc. Transistor with current terminal regions and channel region in layer over dielectric

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000332224A (ja) 1999-04-30 2000-11-30 Stmicroelectronics Srl 集積回路構造の製造方法
JP2003332414A (ja) 2002-05-13 2003-11-21 Hynix Semiconductor Inc 半導体素子の製造方法および半導体素子
JP2008159691A (ja) 2006-12-21 2008-07-10 Rohm Co Ltd 窒化物半導体結晶成長基板、窒化物半導体素子および窒化物半導体結晶成長基板の製造方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS594048A (ja) * 1982-06-30 1984-01-10 Toshiba Corp 半導体装置の製造方法
JPS63131510A (ja) * 1986-11-21 1988-06-03 Hitachi Ltd 単結晶薄膜の形成方法
US4749441A (en) * 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
FR2629636B1 (fr) * 1988-04-05 1990-11-16 Thomson Csf Procede de realisation d'une alternance de couches de materiau semiconducteur monocristallin et de couches de materiau isolant
JPH0281422A (ja) * 1988-09-16 1990-03-22 Fuji Electric Co Ltd Soi基板の製造方法
US5017999A (en) * 1989-06-30 1991-05-21 Honeywell Inc. Method for forming variable width isolation structures
US5143862A (en) * 1990-11-29 1992-09-01 Texas Instruments Incorporated SOI wafer fabrication by selective epitaxial growth
JPH1092922A (ja) * 1996-09-10 1998-04-10 Sony Corp 半導体装置の製造方法及び半導体装置
US5891763A (en) * 1997-10-22 1999-04-06 Wanlass; Frank M. Damascene pattering of SOI MOS transistors
US6198114B1 (en) * 1997-10-28 2001-03-06 Stmicroelectronics, Inc. Field effect transistor having dielectrically isolated sources and drains and method for making same
JP2002359290A (ja) 2001-03-27 2002-12-13 Matsushita Electric Ind Co Ltd 半導体集積装置
EP1302982A1 (de) * 2001-10-12 2003-04-16 Infineon Technologies AG Verfahren zum Ausbilden einer vertikalen Feldeffekttransistoreinrichtung
US6627510B1 (en) * 2002-03-29 2003-09-30 Sharp Laboratories Of America, Inc. Method of making self-aligned shallow trench isolation
DE102004005506B4 (de) * 2004-01-30 2009-11-19 Atmel Automotive Gmbh Verfahren zur Erzeugung von aktiven Halbleiterschichten verschiedener Dicke in einem SOI-Wafer
US7087965B2 (en) * 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US7611937B2 (en) * 2005-06-24 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistors with hybrid crystal orientations
KR100611076B1 (ko) * 2005-07-15 2006-08-09 삼성전자주식회사 스택형 반도체 장치 및 그 제조 방법
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
JP2007207815A (ja) * 2006-01-31 2007-08-16 Seiko Epson Corp 半導体装置、及び半導体装置の製造方法
KR100703033B1 (ko) * 2006-03-22 2007-04-09 삼성전자주식회사 반도체 장치 및 그 제조 방법
US7435639B2 (en) * 2006-05-31 2008-10-14 Freescale Semiconductor, Inc. Dual surface SOI by lateral epitaxial overgrowth
US7749829B2 (en) * 2007-05-01 2010-07-06 Freescale Semiconductor, Inc. Step height reduction between SOI and EPI for DSO and BOS integration
US7790528B2 (en) * 2007-05-01 2010-09-07 Freescale Semiconductor, Inc. Dual substrate orientation or bulk on SOI integrations using oxidation for silicon epitaxy spacer formation
US8815654B2 (en) * 2007-06-14 2014-08-26 International Business Machines Corporation Vertical current controlled silicon on insulator (SOI) device such as a silicon controlled rectifier and method of forming vertical SOI current controlled devices
JP5353016B2 (ja) * 2008-01-22 2013-11-27 株式会社デンソー 半導体装置
US8253211B2 (en) * 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
KR20100091742A (ko) 2009-02-11 2010-08-19 삼성전자주식회사 반도체 집적 회로 장치 및 그의 제조 방법
US8093084B2 (en) * 2009-04-30 2012-01-10 Freescale Semiconductor, Inc. Semiconductor device with photonics
US20110147883A1 (en) * 2009-12-23 2011-06-23 Infineon Technologies Austria Ag Semiconductor body with a buried material layer and method
DE102010002412B4 (de) 2010-02-26 2012-04-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Transistor mit vergrabener Metallgateelektrodenstruktur mit großem ε
US9806190B2 (en) * 2010-10-28 2017-10-31 Texas Instruments Incorporated High voltage drain extension on thin buried oxide SOI
US8623713B2 (en) 2011-09-15 2014-01-07 International Business Machines Corporation Trench isolation structure
US8603889B2 (en) 2012-03-30 2013-12-10 International Business Machines Corporation Integrated circuit structure having air-gap trench isolation and related design structure
US8637993B2 (en) 2012-04-23 2014-01-28 GlobalFoundries, Inc. 3D integrated circuit system with connecting via structure and method for forming the same
KR102078851B1 (ko) * 2013-03-11 2020-04-08 삼성전자 주식회사 에피택셜층 형성 방법
US9330959B2 (en) * 2014-04-13 2016-05-03 Texas Instruments Incorporated Isolated semiconductor layer in bulk wafer by localized silicon epitaxial seed formation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000332224A (ja) 1999-04-30 2000-11-30 Stmicroelectronics Srl 集積回路構造の製造方法
JP2003332414A (ja) 2002-05-13 2003-11-21 Hynix Semiconductor Inc 半導体素子の製造方法および半導体素子
JP2008159691A (ja) 2006-12-21 2008-07-10 Rohm Co Ltd 窒化物半導体結晶成長基板、窒化物半導体素子および窒化物半導体結晶成長基板の製造方法

Also Published As

Publication number Publication date
EP3132468B1 (en) 2024-04-10
WO2015160714A1 (en) 2015-10-22
US10032863B2 (en) 2018-07-24
US20160218177A1 (en) 2016-07-28
CN106233452B8 (zh) 2023-08-15
JP2017511610A (ja) 2017-04-20
US20150294902A1 (en) 2015-10-15
CN106233452B (zh) 2023-06-30
JP2019220696A (ja) 2019-12-26
CN106233452A (zh) 2016-12-14
EP3132468A1 (en) 2017-02-22
US20180315816A1 (en) 2018-11-01
JP2020061577A (ja) 2020-04-16
JP7137538B2 (ja) 2022-09-14
EP3132468A4 (en) 2017-11-22
US9330959B2 (en) 2016-05-03
CN116646315A (zh) 2023-08-25
US10516019B2 (en) 2019-12-24

Similar Documents

Publication Publication Date Title
JP6993547B2 (ja) 局地化されたシリコンエピタキシャルシード形成によるバルクウェハにおける隔離された半導体層
US9536772B2 (en) Fin structure of semiconductor device
US8936972B2 (en) Epitaxially thickened doped or undoped core nanowire FET structure and method for increasing effective device width
TWI641085B (zh) 具有等效nfet/pfet間隔物寛度之差動sg/eg間隔物整合及在fdsoi上致能高壓eg裝置之雙凸起源極汲極磊晶矽與三重氮化物間隔物整合
US20150014807A1 (en) Method of forming a shallow trench isolation structure
TW554472B (en) A method for forming shallow trench isolation
JP2019091951A (ja) 埋め込みエピタキシャルファセットにおけるシリサイド及びコンタクトの形成
KR20060046020A (ko) 반도체 장치 및 그 제조 방법
JP5556851B2 (ja) 半導体装置の製造方法
CN103151264B (zh) 一种半导体器件的制造方法
US10886164B2 (en) Isolated semiconductor layer over buried isolation layer
US10170330B2 (en) Method for recessing a carbon-doped layer of a semiconductor structure
US9466520B2 (en) Localized region of isolated silicon over recessed dielectric layer
US9312164B2 (en) Localized region of isolated silicon over dielectric mesa
CN104425349B (zh) 一种半导体器件的制造方法
CN104425348A (zh) 一种半导体器件的制造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20200106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200115

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200115

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201125

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210217

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20210218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210602

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210826

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211111

R150 Certificate of patent or registration of utility model

Ref document number: 6993547

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150