JP6814377B2 - ビア対グリッドのパターニングにおけるオーバレイエラーを減少する方法 - Google Patents

ビア対グリッドのパターニングにおけるオーバレイエラーを減少する方法 Download PDF

Info

Publication number
JP6814377B2
JP6814377B2 JP2019521118A JP2019521118A JP6814377B2 JP 6814377 B2 JP6814377 B2 JP 6814377B2 JP 2019521118 A JP2019521118 A JP 2019521118A JP 2019521118 A JP2019521118 A JP 2019521118A JP 6814377 B2 JP6814377 B2 JP 6814377B2
Authority
JP
Japan
Prior art keywords
layer
etching
etching mask
storage layer
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019521118A
Other languages
English (en)
Other versions
JP2019537254A (ja
Inventor
モハンティ,ニハール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2019537254A publication Critical patent/JP2019537254A/ja
Application granted granted Critical
Publication of JP6814377B2 publication Critical patent/JP6814377B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

関連出願の相互参照
この出願は、2016年10月20日に出願された「Method of Reducing Overlay Error in Via to Grid Patterning」という名称の米国仮特許出願第62/410,811号の利益を主張し、その全体が参照により本明細書に組み込まれる。
この開示は、半導体ウェハのような基板の処理を含む半導体製造に関するものである。
半導体デバイスは、基板の単位面積当たりより多いデバイスに適合するために、絶えず縮小されている。本明細書における技術は、ビアパターニングが直面する問題を解決し、この問題は、ビア対グリッドのオーバレイの改善である。進化したノードにおいて、BEOL(配線工程)のトレンチパターニングが30nmピッチ未満になるとき、奇数および偶数のトレンチの間にビアマスクを整合することは課題になる。本明細書における技術は、複数の異なる材料および記憶層を用いて、奇数および偶数のトレンチにビアを整合するセルフアライメント(自己整合)ベースのプロセスを用いる。
技術は、基板のパターニング方法を含む。第1の記憶層を基板のターゲット層の上に形成する。第2の記憶層を第1の記憶層の上に形成する。マルチライン層を第2の記憶層より上に形成する。マルチライン層は、2つ以上の異なる材料の交互のラインのパターンを有する領域を含む。各ラインは、水平方向の厚さおよび垂直方向の高さを有し、基板全体に延在する。交互のラインのパターンの各ラインは、マルチライン層の上面の一部を形成し、マルチライン層の底面まで垂直方向に延在する。2つ以上の異なる材料の少なくとも2つは、互いに異なるエッチング抵抗率を有することによって、化学的に互いに異なる。第1のエッチングマスクをマルチライン層の上に形成する。第1のエッチングマスクおよびマルチライン層の1つまたは複数の材料を第1の複合エッチングマスクとして用いて、第2の記憶層内にエッチングする第1のエッチングプロセスを実行する。第2のエッチングマスクをマルチライン層の上に形成する。第2のエッチングマスクおよびマルチライン層の1つまたは複数の材料を第2の複合エッチングマスクとして用いて、第2の記憶層内にエッチングする第2のエッチングプロセスを実行する。
もちろん、本明細書において記載されている異なるステップに関する議論の順番は、明確にするために提示されてきた。一般的に、これらのステップは、任意の適切な順番で実行可能である。さらに、本願明細書における異なる特徴、技術、構成等の各々は、この開示の異なる箇所で議論されうるが、概念の各々が互いに独立に、または、各々に組み合わせて実行可能であることが意図されている。したがって、本発明は、多くの異なる方法で実施および考察可能である。
この概要の段落が本開示または請求項の発明のすべての実施形態または漸次新規の態様を特定するわけではないことに留意されたい。むしろ、この概要は、異なる実施形態および従来技術に対する新規性の対応箇所に関する予備的な議論を提供するのみである。本発明および実施形態の追加の詳細および/または可能な展望のために、以下に詳述するように、読者は、本開示の詳細な説明の段落および対応する図面に向けられる。
本発明の各種実施形態およびそれに付随する効果の多くのより完全な認識は、添付の図面に関連して考慮される以下の詳細な説明を参照して直ちに明らかになる。図面は、必ずしも一定の比率というわけではなく、むしろ、特徴、原則および概念を示すために強調されている。
本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。 本明細書に開示される実施形態に従うプロセスフローを示す一例の基板部分の断面の概略側面図である。
本明細書における技術は、セルフアライメントベースのプロセスを用いる基板のパターニング方法を含み、複数の異なる材料を用いて、奇数および偶数のトレンチにビアを整合する。例示的実施形態は、ダブル・パターニング・グリッド、例えば、SAQP(セルフアラインド・クワドループル・パターニング)グリッドまたはSADP(セルフアラインド・ダブル・パターニング)グリッドならびに他のパターニング方式に適用可能である。本明細書における方法は、ビアパターンをスペーサ側ビアおよびマンドレル側ビアに分解または分類し、次に、スペーサ側およびマンドレル側にそれぞれ順次アクセスする。この種の技術により、ビア対グリッドのオーバレイは、著しく改善する。トレンチ記憶層の下の追加の記憶層を用いることによって、かつ、トレンチパターンの真ん中のスペーサ側およびマンドレル側に独立してアクセスすることによって、ビアアライメントの著しい改善が達成される。
図1を次に参照すると、第1の記憶層111を基板100のターゲット層107の上に形成する。第2の記憶層112を第1の記憶層111の上に形成する。さまざまな材料を用いることができる。一例では、第1の記憶層111は、ビアの記憶のための窒化ケイ素とすることができ、一方、第2の記憶層112は、トレンチの記憶のための窒化チタンとすることができる。中間層115を第2の記憶層112の上に形成することができる。中間層115は、オプションである。
マルチライン層121を第2の記憶層112より上に形成する。マルチライン層121を、第2の記憶層112の上にまたは中間層115の上に形成することができる。マルチライン層121は、2つ以上の異なる材料の交互のラインのパターンを有する領域を含む。各ラインは、水平方向の厚さおよび垂直方向の高さを有し、基板全体に延在する。交互のラインのパターンの各ラインは、マルチライン層121の上面の一部を形成し、垂直方向にマルチライン層121の底面まで延在する。2つ以上の異なる材料の少なくとも2つは、互いに異なるエッチング抵抗率を有することによって、化学的に互いに異なる。
いくつかの実施形態では、マルチライン層121は、マンドレル131と、マンドレル131の側壁上に形成される側壁スペーサ132と、を備える。側壁スペーサ132は、マンドレル側136およびスペーサ側137を有する。マンドレル側136は、それぞれのマンドレルに接触している。側壁スペーサは、隣接する側壁スペーサのスペーサ側の間に開空間を定義する。換言すれば、開空間が、隣接する側壁スペーサのスペーサ側の間に存在する。
2つ以上の異なる材料の交互のラインのパターンは、A−B−C−B−A−B−C−Bの反復するシーケンスを含むことができ、材料Aおよび材料Bは、互いに異なるエッチング抵抗率を有する。一例では、マンドレル131は材料Aとすることができ、一方、側壁スペーサは材料Bである。材料Cは、第3の材料とすることができるか、または、図示するように、開空間とすることができる。
いくつかの実施形態では、マンドレルは、上のマンドレル層145および下のマンドレル層144を有する2つの材料の複合として形成可能である。中間層115および上のマンドレル層145は、同一材料から構成することができる。上のマンドレル層145の垂直方向の厚さは、中間層115の垂直方向の厚さより大きくすることができる。
図2を次に参照すると、1つのプラグ163(または複数のプラグ)を、マルチライン層121内の開空間内の所定の位置に形成することができる。非限定的な一例として、この種のプラグを、酸化チタンの原子層堆積によって形成することができる。プラグマスクを堆積のために用いることができる。プラグを形成することは、オプションであるが、使用のために選択される材料およびそれらの材料のエッチング抵抗率に応じて有益になりうる。代替的に、材料の第3のラインを、マルチライン層121内に用いることができる。
マルチライン層121(および任意のプラグ)をエッチングマスクとして用いて、第2の記憶層112内にエッチングするエッチングプロセスを実行することができる(図3)。中間層115と比較してより厚い上のマンドレル層145を有することは、中間層115を通してエッチングすることができる間、マンドレルキャップを保存するのに役立つ。
第1のエッチングマスク151をマルチライン層121の上に形成する。図4および図5は、一例の結果を示す。第1のエッチングマスク151およびマルチライン層121の1つまたは複数の材料を第1の複合エッチングマスクとして用いて、第1の記憶層111内にエッチングする第1のエッチングプロセスを実行する(図6)。一例では、第1のエッチングマスクは、ビアをパターニングするためのスロット開口を備えることができる。
次に、第1のエッチングマスク151を除去することができる(図7)。オプションで、プラグ164を、第1のエッチングプロセスによって作成される開口内に形成することができる(図8)。この種のプラグは、例えば、酸化ジルコニウムとすることができる。
図9において、マンドレル131をマルチライン層121から除去する。図10において、マルチライン層121の残留する材料をエッチングマスクとして用いて、第2の記憶層112をエッチングするエッチングプロセスを実行する。
第2のエッチングマスク152をマルチライン層の上に形成する(図11)。第2のエッチングマスク152およびマルチライン層121の1つまたは複数の材料を第2の複合エッチングマスクとして用いて、第1の記憶層111内にエッチングする第2のエッチングプロセスを実行する(図12)。マルチライン層材料の1つまたは複数をエッチングすることができること、または、マルチライン層がすでに開口を有することができ(特に、材料の3つ以上のラインと比較して、材料の2つのラインおよび空間が存在するとき)、それゆえ、マルチライン層の1つまたは複数のラインのエッチングが必要でないことに留意されたい。任意のエッチングマスクを形成する前のステップにおいて、1つまたは複数のラインをエッチングすることができる、または、所定のエッチングマスクを形成した後にエッチングすることができる。
第1のエッチングマスク、第2のエッチングマスクおよびマルチライン層をすべて除去することができる(図13および図14)。第1のエッチングマスクを、所望のプロセスフローに応じて以前のステップにおいて除去することができる。ここで、基板は、第1の記憶層111および第2の記憶層112内に記憶されるパターンを有する。第1の記憶層によって定義される第1の記憶層パターンをターゲット層に転写するエッチングプロセスを実行する(図15)。次に、第2の記憶層によって定義される第2の記憶層パターンをターゲット層に転写するエッチングプロセスを実行することができる(図16および図17)。ターゲット層107が1つの材料の場合、第2の記憶層パターンをターゲット層107に転写するとき、第1の記憶層パターンを用いてすでにエッチングした特徴または開口がより深くエッチングされることに留意されたい。
したがって、ビアおよびトレンチの両方を、ターゲット層107内にエッチングすることができる。図18では、記憶層が除去され、ビア171およびトレンチ172がターゲット層内にパターニングされている。他の利点は、ビア開口がスロット開口によりパターニング可能であり、マルチライン層および二重の記憶層を、マルチライン層のラインに対して垂直に横断するスロット開口とともに有するエッチング選択性によって正方形または円形の開口に制限可能であるということである。この種の技術は、収率を改善することができ、エッジ配置エラーを減少することができる。さまざまなプロセスフローを、マルチライン層の下の二重記憶層について用いることができることに留意されたい。例えば、記憶層のいずれかを、第1にまたは第2にパターニングすることができる。記憶層を、さまざまな段階で、マルチライン層および/またはさまざまなエッチングマスクを用いてパターニングすることができる。結果は、2つのパターンがセルフアライメントによって記憶され、メタライゼーションのためのトレンチおよびビアを正確にパターニングするために用いることができるということである。
上述した説明において、具体的な詳細、例えば、処理システムの特定の形状および本明細書で用いられるさまざまな構成要素およびプロセスの説明が記載されてきた。しかしながら、本明細書の技術がこれらの具体的な詳細から逸脱する他の実施形態において実施されてもよいこと、および、この種の詳細が説明のためであり限定のためではないということを理解すべきである。本明細書に開示される実施形態は、添付の図面を参照して記載されてきた。同様に、説明のために、特定の数、材料および構成は、完全な理解を提供するために記載されてきた。それにもかかわらず、実施形態は、この種の具体的な詳細なしで実施されてもよい。実質的に同一の機能的な構造を有する構成要素は、同様の参照符号によって示され、それゆえ、いかなる冗長な説明も省略することができる。
さまざまな技術は、各種実施形態を理解するのに役立つ複数の別々の動作として記載されてきた。説明の順番は、これらの動作が必ずしも順番に依存することを示唆するものとして解釈されてはならない。実際、これらの動作は、記載の順番で実行される必要はない。記載されている動作は、記載されている実施形態と異なる順番で実行されてもよい。さまざまな追加の動作が実行されてもよい、および/または、記載された動作が追加の実施形態において省略されてもよい。
本明細書で用いられる「基板」または「ターゲット基板」は、一般的に、本発明に従って処理されている対象を参照する。基板は、デバイス、特に半導体または他の電子デバイスの任意の材料部分または構造を含んでもよく、例えばベース基板構造でもよく、例えば半導体ウェハ、レチクル、ベース基板構造上の層またはベース基板構造を覆う層、例えば薄膜でもよい。このように、基板は、任意の特定のベース構造、下層または覆う層に限定されるものではなく、パターニングの有無にも限定されず、むしろ、任意のこの種の層またはベース構造を含むことを意図し、層および/またはベース構造の任意の組み合わせを含むことを意図する。説明は特定のタイプの基板を参照してもよいが、これは図示の目的のためのみである。
当業者は、本発明の同一の目的を依然として達成しながら、多くのバリエーションが上述した技術の動作に行われうることもまた理解するであろう。この種のバリエーションは、この開示の範囲によってカバーされることを意図する。このように、本発明の実施形態の上述した説明は、限定することを意図しない。むしろ、本発明の実施形態に対するあらゆる限定は、以下の請求項において提示される。

Claims (15)

  1. 基板のパターニング方法であって、前記方法は、
    第1の記憶層を基板のターゲット層の上に形成するステップと、
    第2の記憶層を前記第1の記憶層の上に形成するステップと、
    マルチライン層を前記第2の記憶層より上に形成するステップと、
    を含み、
    前記マルチライン層は、2つ以上の異なる材料の交互のラインのパターンを有する領域を含み、各ラインは、水平方向の厚さおよび垂直方向の高さを有し、前記基板全体に延在し、交互のラインの前記パターンの各ラインは、前記マルチライン層の上面の一部を形成し、前記マルチライン層の底面まで垂直方向に延在し、前記2つ以上の異なる材料の少なくとも2つは、互いに異なるエッチング抵抗率を有することによって、化学的に互いに異なり、
    前記方法は、
    第1のエッチングマスクを前記マルチライン層の上に形成するステップと、前記第1のエッチングマスクおよび前記マルチライン層の1つまたは複数の材料を第1の複合エッチングマスクとして用いて、前記第1の記憶層エッチングする第1のエッチングプロセスを実行するステップと、
    前記第1のエッチングプロセスを実行するステップの後に、前記マルチライン層から前記2つ以上の異なる材料のうちの少なくとも1つの材料を除去するステップと、
    前記マルチライン層をエッチングマスクとして前記第記憶層をエッチングするするステップと、
    前記マルチライン層をエッチングマスクとして前記第記憶層をエッチングするステップの後に、第2のエッチングマスクを前記マルチライン層の上に形成するステップと、前記第2のエッチングマスクおよび前記マルチライン層の1つまたは複数の材料を第2の複合エッチングマスクとして用いて、前記第の記憶層エッチングする第2のエッチングプロセスを実行するステップと、
    を含む方法。
  2. 前記第1のエッチングプロセスを実行するステップの後に、前記第1のエッチングマスクを除去し前記第2のエッチングプロセスを実行するステップの後に、前記第2のエッチングマスクおよび前記マルチライン層を除去するステップと、
    前記第1の記憶層によって定義される第1の記憶層パターンを前記ターゲット層に転写するステップと、
    前記第2の記憶層によって定義される第2の記憶層パターンを前記ターゲット層に転写するステップと、
    をさらに含む、
    請求項1に記載の方法。
  3. 前記ターゲット層は、誘電材料を備え、
    前記第1の記憶層パターンは、ビアを定義し、
    前記第2の記憶層パターンは、トレンチを定義する、
    請求項2に記載の方法。
  4. 前記マルチライン層は、マンドレルと、前記マンドレルの側壁の上に形成される側壁スペーサと、を備え、前記側壁スペーサは、マンドレル側およびスペーサ側を有し、前記マンドレル側は、それぞれのマンドレルに接触し、前記側壁スペーサは、隣接する側壁スペーサのスペーサ側の間に開空間を定義する、
    請求項2に記載の方法。
  5. 2つ以上の異なる材料の交互のラインの前記パターンは、A−B−C−B−A−B−C−Bの反復するシーケンスを含み、材料Aおよび材料Bは、互いに異なるエッチング抵抗率を有する、
    請求項2に記載の方法。
  6. 前記基板は、前記第2の記憶層と前記マルチライン層との間の中間層をさらに備える、
    請求項4に記載の方法。
  7. 前記マンドレルは、上のマンドレル層および下のマンドレル層を有する2つの材料の複合物として形成される、
    請求項6に記載の方法。
  8. 前記中間層および前記上のマンドレル層は、同一材料から構成され、前記上のマンドレル層の垂直方向の厚さは、前記中間層の垂直方向の厚さより大きい、
    請求項7に記載の方法。
  9. 前記第1のエッチングマスクを形成するステップの前に、プラグを前記マルチライン層内の開空間内の所定の位置に形成するステップをさらに含む、
    請求項4に記載の方法。
  10. 前記第1のエッチングプロセスを実行するステップの後かつ前記第2のエッチングマスクを形成するステップの前に、プラグを前記マルチライン層内の開空間内の覆われていない位置に形成するステップをさらに含む、
    請求項9に記載の方法。
  11. 前記第2のエッチングマスクを形成するステップの前に、前記第1のエッチングマスクを前記基板から除去する、
    請求項2に記載の方法。
  12. 前記第1のエッチングプロセスを実行するステップは、前記マルチライン層の前記2つ以上の異なる材料の少なくとも1つの覆われていない部分を選択的に除去するステップを含む、
    請求項1に記載の方法。
  13. 前記2つ以上の異なる材料は、3つ以上の異なる材料を含み、前記2つ以上の異なる材料の少なくとも1つを選択的に除去するステップは、前記3つ以上の異なる材料の2つを選択的に除去するステップを含み、その結果、前記ターゲット層の対応する部分は、覆われていない、
    請求項12に記載の方法。
  14. 前記第2のエッチングプロセスを実行するステップは、前記マルチライン層の前記2つ以上の異なる材料の少なくとも1つの覆われていない部分を選択的に除去するステップを含む、
    請求項1に記載の方法。
  15. 前記第1のエッチングマスクを形成するステップの前に、前記マルチライン層をエッチングマスクとして前記第の記憶層をエッチングするするステップをさらに含む、
    請求項1に記載の方法。
JP2019521118A 2016-10-20 2017-10-19 ビア対グリッドのパターニングにおけるオーバレイエラーを減少する方法 Active JP6814377B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662410811P 2016-10-20 2016-10-20
US62/410,811 2016-10-20
PCT/US2017/057366 WO2018075755A1 (en) 2016-10-20 2017-10-19 Method of reducing overlay error in via to grid patterning

Publications (2)

Publication Number Publication Date
JP2019537254A JP2019537254A (ja) 2019-12-19
JP6814377B2 true JP6814377B2 (ja) 2021-01-20

Family

ID=61969875

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019521118A Active JP6814377B2 (ja) 2016-10-20 2017-10-19 ビア対グリッドのパターニングにおけるオーバレイエラーを減少する方法

Country Status (6)

Country Link
US (1) US10256140B2 (ja)
JP (1) JP6814377B2 (ja)
KR (1) KR102303129B1 (ja)
CN (1) CN109844905B (ja)
TW (1) TWI694486B (ja)
WO (1) WO2018075755A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10629436B2 (en) * 2018-04-12 2020-04-21 International Business Machines Corporation Spacer image transfer with double mandrel
EP3618103A1 (en) * 2018-08-30 2020-03-04 IMEC vzw A patterning method
US11664274B2 (en) * 2019-05-23 2023-05-30 Intel Corporation Method to repair edge placement errors in a semiconductor device
US11508752B2 (en) * 2019-12-17 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Grid structure to reduce domain size in ferroelectric memory device
US11380579B2 (en) * 2020-05-01 2022-07-05 Tokyo Electron Limited Method and process using dual memorization layer for multi-color spacer patterning
US20220172857A1 (en) * 2020-11-30 2022-06-02 Intel Corporation Enhanced grating aligned patterning for euv direct print processes

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100791344B1 (ko) * 2006-08-29 2008-01-03 삼성전자주식회사 반도체 집적 회로 장치의 제조 방법
GB0620955D0 (en) * 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
KR100932333B1 (ko) * 2007-11-29 2009-12-16 주식회사 하이닉스반도체 반도체 소자의 하드 마스크 패턴 및 그 형성 방법
US7790531B2 (en) * 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US8298943B1 (en) 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
CN103515323B (zh) * 2012-06-25 2016-01-13 中芯国际集成电路制造(上海)有限公司 一种nand器件的制造方法
US9267411B2 (en) 2013-08-15 2016-02-23 Cummins Emission Solutions, Inc. Pressurized tank vehicular fluid delivery system
WO2015047321A1 (en) 2013-09-27 2015-04-02 Intel Corporation Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects
US9177797B2 (en) 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US9379010B2 (en) * 2014-01-24 2016-06-28 Intel Corporation Methods for forming interconnect layers having tight pitch interconnect structures
US9240329B2 (en) * 2014-02-23 2016-01-19 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers
US9786551B2 (en) * 2014-04-29 2017-10-10 Stmicroelectronics, Inc. Trench structure for high performance interconnection lines of different resistivity and method of making same
US9508560B1 (en) * 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9373582B1 (en) * 2015-06-24 2016-06-21 International Business Machines Corporation Self aligned via in integrated circuit
US10366890B2 (en) * 2016-05-23 2019-07-30 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
US10026645B2 (en) * 2016-08-31 2018-07-17 Globalfoundries Inc. Multiple patterning process for forming pillar mask elements

Also Published As

Publication number Publication date
JP2019537254A (ja) 2019-12-19
US10256140B2 (en) 2019-04-09
WO2018075755A1 (en) 2018-04-26
CN109844905B (zh) 2023-01-13
TWI694486B (zh) 2020-05-21
CN109844905A (zh) 2019-06-04
KR102303129B1 (ko) 2021-09-15
TW201834004A (zh) 2018-09-16
US20180114721A1 (en) 2018-04-26
KR20190058504A (ko) 2019-05-29

Similar Documents

Publication Publication Date Title
JP6814377B2 (ja) ビア対グリッドのパターニングにおけるオーバレイエラーを減少する方法
TWI556066B (zh) 執行自對準微影蝕刻製程的方法
KR102436100B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
US10276376B2 (en) Multi-patterning method and device formed by the method
KR102603019B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
TWI634593B (zh) 形成用於心軸及非心軸互連線之自對準連續性區塊之方法
TWI640042B (zh) 半導體裝置之圖案化結構的製作方法
KR101576335B1 (ko) 집적 회로 패터닝 방법
US10770295B2 (en) Patterning method
US9786551B2 (en) Trench structure for high performance interconnection lines of different resistivity and method of making same
US9564371B2 (en) Method for forming semiconductor device
TW201834003A (zh) 單鰭片之自對準切割方法
US10651076B2 (en) Method for defining patterns for conductive paths in dielectric layer
US11646221B2 (en) Self-aligned pattern formation for a semiconductor device
US9418887B2 (en) Method of manufacturing semiconductor device
CN109494149A (zh) 半导体结构的制作方法
US11264271B2 (en) Semiconductor fabrication method for producing nano-scaled electrically conductive lines
US11456252B2 (en) Semiconductor device having symmetric conductive interconnection patterns
CN102522371B (zh) 接触孔的制作方法
US8722535B2 (en) Pattern forming method, mold and data processing method

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190709

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190709

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201007

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201104

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20201130

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201130

R150 Certificate of patent or registration of utility model

Ref document number: 6814377

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250