JP5684371B2 - Manufacturing method of semiconductor integrated circuit device - Google Patents

Manufacturing method of semiconductor integrated circuit device Download PDF

Info

Publication number
JP5684371B2
JP5684371B2 JP2013509894A JP2013509894A JP5684371B2 JP 5684371 B2 JP5684371 B2 JP 5684371B2 JP 2013509894 A JP2013509894 A JP 2013509894A JP 2013509894 A JP2013509894 A JP 2013509894A JP 5684371 B2 JP5684371 B2 JP 5684371B2
Authority
JP
Japan
Prior art keywords
channel
region
film
threshold adjustment
adjustment element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2013509894A
Other languages
Japanese (ja)
Other versions
JPWO2012141122A1 (en
Inventor
博文 篠原
博文 篠原
西田 征男
征男 西田
堀田 勝之
勝之 堀田
山下 朋弘
朋弘 山下
尾田 秀一
秀一 尾田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2013509894A priority Critical patent/JP5684371B2/en
Publication of JPWO2012141122A1 publication Critical patent/JPWO2012141122A1/en
Application granted granted Critical
Publication of JP5684371B2 publication Critical patent/JP5684371B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET

Description

本発明は、半導体集積回路装置および半導体集積回路装置(または半導体装置)の製造方法における閾値電圧制御技術に適用して有効な技術に関する。   The present invention relates to a technology effective when applied to a semiconductor integrated circuit device and a threshold voltage control technology in a method of manufacturing a semiconductor integrated circuit device (or a semiconductor device).

V.Narayananほか25名、”Band−Edge High−Performance high−k/Metal Gate n−MOSFETs using Cap Layers Containing Group IIA and IIIB Elemens with Gate−First Processing for 45nm and Beyond”,2006 Symposium on VLSI Technology Digest of Technical Papers(非特許文献1)には、High−kゲート絶縁膜を有するn−MISFET(Metal Insulator Semiconductor Field Effect Transistor)とp−MISFETの閾値電圧(より正確には、その絶対値)を両方同時にバランスよく低下させるために、それぞれの領域のHigh−kゲート絶縁膜上に、別種の金属または金属酸化物を形成して、その成分をHigh−kゲート絶縁膜内に導入する技術が開示されている。   V. Narayanan other 25 people, "Band-Edge High-Performance high-k / Metal Gate n-MOSFETs using Cap Layers Containing Group IIA and IIIB Elemens with Gate-First Processing for 45nm and Beyond", 2006 Symposium on VLSI Technology Digest of Technical Papers (Non-Patent Document 1) includes an n-MISFET (Metal Insulator Semiconductor Field Effect Transistor) having a High-k gate insulating film and a threshold voltage (more accurately, a p-MISFET). In order to reduce both of the absolute values in a balanced manner at the same time, a different kind of metal or metal oxide is formed on the High-k gate insulating film in each region, and the components are contained in the High-k gate insulating film. A technique to be introduced in is disclosed.

T.Morookaほか13名、”Suppression of Anomalous Threshold Voltage Increase with Area Scaling for Mg− or La−incorporated High−k/Metal Gate nMOSFETs in Deep Scaled Region”,2010 Symposium on VLSI Technology Digest of Technical Papers(非特許文献2)には、n−MISFETおよびp−MISFETの閾値電圧を制御するために、High−kゲート絶縁膜内に導入された添加成分の濃度が、その後の高温熱処理による拡散等により低下しないように、High−kゲート絶縁膜の加工端部をオフセットサイドウォール端部まで延長する技術が開示されている。   T. T. et al. Morooka and 13 other people, "Suppression of Anomalous Threshold Voltage Increase with Area Scaling for Mg- or La-incorporated High-k / Metal Gate nMOSFETs in Deep Scaled Region", 2010 Symposium on VLSI Technology Digest of Technical Papers (Non-Patent Document 2) In order to control the threshold voltage of the n-MISFET and the p-MISFET, the concentration of the additive component introduced into the High-k gate insulating film is not lowered due to diffusion caused by subsequent high-temperature heat treatment or the like. −k Offset the gate insulating film processing edge. Technology to extend to the sidewall end portion is disclosed.

V.Narayananほか25名、”Band−Edge High−Performance high−k/Metal Gate n−MOSFETs using Cap Layers Containing Group IIA and IIIB Elemens with Gate−First Processing for 45nm and Beyond”,2006 Symposium on VLSI Technology Digest of Technical PapersV. Narayanan other 25 people, "Band-Edge High-Performance high-k / Metal Gate n-MOSFETs using Cap Layers Containing Group IIA and IIIB Elemens with Gate-First Processing for 45nm and Beyond", 2006 Symposium on VLSI Technology Digest of Technical Papers T.Morookaほか13名、”Suppression of Anomalous Threshold Voltage Increase with Area Scaling for Mg− or La−incorporated High−k/Metal Gate nMOSFETs in Deep Scaled Region”,2010 Symposium on VLSI Technology Digest of Technical PapersT. T. et al. Morooka and 13 other people, "Suppression of Anomalous Threshold Voltage Increase with Area Scaling for Mg- or La-incorporated High-k / Metal Gate nMOSFETs in Deep Scaled Region", 2010 Symposium on VLSI Technology Digest of Technical Papers

32nmテクノロジノード以降のSOC(System on Chip)等に用いられるMISFETにおいては、High−kゲート絶縁膜とメタルゲート電極が導入されている。この場合、n−MISFETおよびp−MISFETの閾値電圧の絶対値が、後の高温熱処理によって、上昇するという問題がある。そこで、各種の閾値電圧調整金属膜または閾値電圧調整金属酸化物膜をHigh−kゲート絶縁膜上に形成して、そこからHigh−kゲート絶縁膜内に、これらの膜成分を導入することによって、閾値電圧を制御している。しかし、n−MISFETのHigh−kゲート絶縁膜に導入されたランタン等が、その後の熱処理によって、STI(Shallow Trench Isolation)領域等に移動しやすいという問題があることが、本願発明者等によって、明らかにされた。   High-k gate insulating films and metal gate electrodes are introduced in MISFETs used for SOC (System on Chip) and the like after the 32 nm technology node. In this case, there is a problem that the absolute value of the threshold voltage of the n-MISFET and the p-MISFET is increased by the subsequent high-temperature heat treatment. Therefore, by forming various threshold voltage adjusting metal films or threshold voltage adjusting metal oxide films on the High-k gate insulating film and introducing these film components into the High-k gate insulating film therefrom. The threshold voltage is controlled. However, the inventors of the present application have a problem that lanthanum or the like introduced into the high-k gate insulating film of the n-MISFET is likely to move to a STI (Shallow Trench Isolation) region or the like by a subsequent heat treatment. It was revealed.

本願発明は、これらの課題を解決するためになされたものである。   The present invention has been made to solve these problems.

本発明の目的は、信頼性の高い半導体集積回路装置または半導体集積回路装置の製造プロセスを提供することにある。   An object of the present invention is to provide a highly reliable semiconductor integrated circuit device or a manufacturing process of a semiconductor integrated circuit device.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。   The following is a brief description of an outline of typical inventions disclosed in the present application.

すなわち、本願の一つの発明は、半導体集積回路装置において、n−MISFETのゲートスタック下部およびその周辺の素子分離領域表面部に、Nチャネル閾値調整元素外方拡散防止領域を設けたものである。   That is, according to one aspect of the present invention, in the semiconductor integrated circuit device, an N-channel threshold adjustment element outward diffusion prevention region is provided on the lower part of the gate stack of the n-MISFET and on the surface of the element isolation region around the gate stack.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、半導体集積回路装置において、n−MISFETのゲートスタック下部およびその周辺の素子分離領域表面部に、Nチャネル閾値調整元素外方拡散防止領域を設けたので、n−MISFETのHigh−kゲート絶縁膜に導入されたランタン等が、その後の熱処理によって、STI領域(素子分離領域)等に移動することを防止することができる。   That is, in the semiconductor integrated circuit device, since the N-channel threshold adjustment element outward diffusion prevention region is provided in the lower part of the gate stack of the n-MISFET and in the surface of the element isolation region around the gate stack, the high-k gate insulation of the n-MISFET is provided. Lanthanum or the like introduced into the film can be prevented from moving to an STI region (element isolation region) or the like by a subsequent heat treatment.

本願の一実施の形態の半導体集積回路装置のデバイス構造(Nチャネル閾値調整元素ドープSTI構造)等を説明するためのデバイス要部上面図である。It is a device principal part top view for demonstrating the device structure (N channel threshold value adjustment element dope STI structure) etc. of the semiconductor integrated circuit device of one embodiment of this application. 図1のX−X’断面に対応するデバイス断面図である。FIG. 2 is a device cross-sectional view corresponding to the X-X ′ cross section of FIG. 1. 図1のY−Y’断面に対応するデバイス断面図である。FIG. 2 is a device cross-sectional view corresponding to the Y-Y ′ cross section of FIG. 1. 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(STI領域形成途中)である。Device sectional view (STI) corresponding to the XX ′ section of FIG. 1 for explaining a main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). The region is being formed). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素イオン注入工程)である。1 is a device cross-sectional view corresponding to the XX ′ cross section of FIG. 1 for explaining a main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold adjustment element ion implantation step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(STI形成用窒化シリコン膜等除去工程)である。Device sectional view (STI) corresponding to the XX ′ section of FIG. 1 for explaining a main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Forming silicon nitride film removal step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(ウエル領域形成工程)である。Device sectional view corresponding to the XX ′ section of FIG. 1 for explaining the main process in the manufacturing method (N channel threshold adjustment element ion implantation method) of the semiconductor integrated circuit device of the one embodiment of the present application (well) Region forming step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネル閾値調整膜加工用ハードマスク用レジスト膜形成工程)である。Device sectional view (P) corresponding to the XX ′ section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device of the embodiment of the present application (N-channel threshold adjustment element ion implantation method). This is a resist film forming step for hard mask for channel threshold adjustment film processing). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネル閾値調整膜加工用ハードマスク膜加工工程)である。Device sectional view (P) corresponding to the XX ′ section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device of the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold adjustment film processing hard mask film processing step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネル閾値調整膜加工工程)である。Device sectional view (P) corresponding to the XX ′ section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device of the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold adjustment film processing step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整膜成膜工程)である。1 is a device cross-sectional view corresponding to the XX ′ cross section of FIG. 1 for explaining a main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold adjustment film forming step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整膜等除去工程)である。1 is a device cross-sectional view corresponding to the XX ′ cross section of FIG. 1 for explaining a main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold adjustment film removal process). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネル閾値調整膜加工用ハードマスク膜除去工程)である。Device sectional view (P) corresponding to the XX ′ section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device of the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold adjustment film processing hard mask film removal step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(メタルゲート電極膜およびポリシリコンゲート電極膜成膜工程)である。Device sectional view (metal) corresponding to the XX ′ section of FIG. 1 for explaining a main process in the method for manufacturing a semiconductor integrated circuit device of the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Gate electrode film and polysilicon gate electrode film forming step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(ゲートパターニング工程)である。Device sectional view (gate) corresponding to the XX ′ section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device (N channel threshold adjustment element ion implantation method) of the one embodiment of the present application Patterning step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜成膜工程)である。1 is a device cross-sectional view corresponding to the XX ′ cross section of FIG. 1 for explaining a main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold value adjusting element-containing film forming step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜パターニング工程)である。1 is a device cross-sectional view corresponding to the XX ′ cross section of FIG. 1 for explaining a main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold adjustment element-containing film patterning step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜パターニング後熱処理工程)である。1 is a device cross-sectional view corresponding to the XX ′ cross section of FIG. 1 for explaining a main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold adjustment element-containing film patterning heat treatment step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜除去工程)である。1 is a device cross-sectional view corresponding to the XX ′ cross section of FIG. 1 for explaining a main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold adjustment element-containing film removal step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜サイドウォール形成工程)である。1 is a device cross-sectional view corresponding to the XX ′ cross section of FIG. 1 for explaining a main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold value adjusting element-containing film sidewall forming step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜サイドウォール除去工程)である。1 is a device cross-sectional view corresponding to the XX ′ cross section of FIG. 1 for explaining a main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Channel threshold adjustment element-containing film sidewall removal step). 本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(STI形成用窒化シリコン膜等除去工程)である。Device sectional view (STI) corresponding to the XX ′ section of FIG. 1 for explaining a main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). Forming silicon nitride film removal step). 本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例3(Nチャネル閾値調整元素含有膜成膜&ハードマスク方式)を説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネルデバイス領域被覆用ハードマスク膜成膜工程)である。1 corresponds to the XX ′ cross section of FIG. 1 for explaining the third modification (the N channel threshold adjustment element-containing film formation & hard mask method) of the method for manufacturing the semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing (P channel device area | region covering hard mask film | membrane film-forming process). 本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例3(Nチャネル閾値調整元素含有膜成膜&ハードマスク方式)を説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネルデバイス領域被覆用ハードマスク膜パターニング工程)である。1 corresponds to the XX ′ cross section of FIG. 1 for explaining the third modification (the N channel threshold adjustment element-containing film formation & hard mask method) of the method for manufacturing the semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing (P channel device area | region covering hard mask film | membrane patterning process). 本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例3(Nチャネル閾値調整元素含有膜成膜&ハードマスク方式)を説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜成膜工程)である。1 corresponds to the XX ′ cross section of FIG. 1 for explaining the third modification (the N channel threshold adjustment element-containing film formation & hard mask method) of the method for manufacturing the semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing (N channel threshold value adjustment element containing film | membrane film-forming process). 本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例3(Nチャネル閾値調整元素含有膜成膜&ハードマスク方式)を説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜成膜後熱処理工程)である。1 corresponds to the XX ′ cross section of FIG. 1 for explaining the third modification (the N channel threshold adjustment element-containing film formation & hard mask method) of the method for manufacturing the semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing (N channel threshold value adjustment element containing film | membrane post-heat-treatment process). 本願の前記一実施の形態の半導体集積回路装置のデバイス構造の変形例(窒素ドープSTI構造)等を説明するためのデバイス要部上面図(図1に対応)である。It is a device principal part top view (corresponding to Drawing 1) for explaining a modification (nitrogen dope STI structure) etc. of a device structure of a semiconductor integrated circuit device of one embodiment of the present application. 図27のX−X’断面に対応するデバイス断面図である。It is device sectional drawing corresponding to the X-X 'cross section of FIG. 図27のY−Y’断面に対応するデバイス断面図である。FIG. 28 is a device cross-sectional view corresponding to the Y-Y ′ cross section of FIG. 27. 本願の前記一実施の形態の半導体集積回路装置のデバイス構造の変形例(窒素ドープSTI構造)に対応する製造方法(窒素イオン注入方式)における要部プロセスを説明するための図27のX−X’断面に対応するデバイス断面図(窒素イオン注入工程)である。XX in FIG. 27 for explaining a main process in a manufacturing method (nitrogen ion implantation method) corresponding to a modified example (nitrogen-doped STI structure) of the device structure of the semiconductor integrated circuit device according to the embodiment of the present application. 'Is a device cross-sectional view (nitrogen ion implantation step) corresponding to the cross-section. 本願の前記一実施の形態の半導体集積回路装置のデバイス構造の変形例(窒素ドープSTI構造)に対応する製造方法(窒素イオン注入方式)における要部プロセスを説明するための図27のX−X’断面に対応するデバイス断面図(STI形成用窒化シリコン膜等除去工程)である。XX in FIG. 27 for explaining a main process in a manufacturing method (nitrogen ion implantation method) corresponding to a modified example (nitrogen-doped STI structure) of the device structure of the semiconductor integrated circuit device according to the embodiment of the present application. It is a device sectional view corresponding to a section (step of removing silicon nitride film for forming STI).

〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
[Outline of Embodiment]
First, an outline of a typical embodiment of the invention disclosed in the present application will be described.

1.以下を含む半導体集積回路装置:
(a)半導体基板の第1の主面の表面に設けられた素子分離領域;
(b)前記半導体基板の前記第1の主面の前記表面に設けられ、前記素子分離領域によって相互に分離されたNチャネルアクティブ領域およびPチャネルアクティブ領域;
(c)前記半導体基板の前記第1の主面上に設けられ、前記Nチャネルアクティブ領域を横断し、Nチャネル型MISFETを構成するNチャネルゲートスタック;
(d)前記半導体基板の前記第1の主面上に設けられ、前記Pチャネルアクティブ領域を横断し、Pチャネル型MISFETを構成するPチャネルゲートスタック;
(e)前記Nチャネルゲートスタック下およびその周辺の前記素子分離領域の表面領域に設けられたNチャネル閾値調整元素外方拡散防止領域。
1. Semiconductor integrated circuit devices including:
(A) an element isolation region provided on the surface of the first main surface of the semiconductor substrate;
(B) an N channel active region and a P channel active region provided on the surface of the first main surface of the semiconductor substrate and separated from each other by the element isolation region;
(C) an N-channel gate stack which is provided on the first main surface of the semiconductor substrate and traverses the N-channel active region and constitutes an N-channel MISFET;
(D) a P-channel gate stack which is provided on the first main surface of the semiconductor substrate and traverses the P-channel active region and constitutes a P-channel MISFET;
(E) An N channel threshold adjustment element outward diffusion prevention region provided in a surface region of the element isolation region under and around the N channel gate stack.

2.前記1項の半導体集積回路装置において、前記Nチャネル閾値調整元素外方拡散防止領域には、Nチャネル閾値調整元素がドープされている。   2. In the semiconductor integrated circuit device according to the item 1, the N channel threshold adjustment element outward diffusion prevention region is doped with an N channel threshold adjustment element.

3.前記2項の半導体集積回路装置において、前記Nチャネル閾値調整元素は、La,Y,MgまたはScである。   3. In the semiconductor integrated circuit device according to the item 2, the N channel threshold value adjusting element is La, Y, Mg, or Sc.

4.前記1から3項のいずれか一つの半導体集積回路装置において、前記Nチャネル閾値調整元素外方拡散防止領域には、窒素がドープされている。   4). 4. In the semiconductor integrated circuit device according to any one of items 1 to 3, the N channel threshold adjustment element outward diffusion prevention region is doped with nitrogen.

5.前記1から4項のいずれか一つの半導体集積回路装置において、前記Pチャネルゲートスタック下およびその周辺の前記素子分離領域の表面領域には、前記Nチャネル閾値調整元素外方拡散防止領域は設けられていない。   5. 5. In the semiconductor integrated circuit device according to any one of 1 to 4, the N channel threshold adjustment element outward diffusion prevention region is provided in a surface region of the element isolation region below and around the P channel gate stack. Not.

6.以下の工程を含む半導体集積回路装置の製造方法:
(a)半導体ウエハの第1の主面の表面に素子分離領域を形成することにより、前記半導体基板の前記第1の主面の前記表面に、Nチャネルアクティブ領域およびPチャネルアクティブ領域を区画する工程;
(b)前記半導体基板の前記第1の主面上に、前記Nチャネルアクティブ領域を横断し、Nチャネル型MISFETを構成するNチャネルゲートスタック(真性ゲートスタックおよびダミーゲートスタックを含む)を形成する工程;
(c)前記半導体基板の前記第1の主面上に、前記Pチャネルアクティブ領域を横断し、Pチャネル型MISFETを構成するPチャネルゲートスタックを形成する工程;
(d)前記工程(b)および(c)の前であって、前記工程(a)の後に、前記Nチャネルゲートスタック下およびその周辺の前記素子分離領域の表面領域に、Nチャネル閾値調整元素外方拡散防止領域を形成する工程。
6). A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) By forming an element isolation region on the surface of the first main surface of the semiconductor wafer, an N channel active region and a P channel active region are partitioned on the surface of the first main surface of the semiconductor substrate. Process;
(B) On the first main surface of the semiconductor substrate, an N channel gate stack (including an intrinsic gate stack and a dummy gate stack) that forms an N channel MISFET is formed across the N channel active region. Process;
(C) forming a P-channel gate stack that forms a P-channel MISFET across the P-channel active region on the first main surface of the semiconductor substrate;
(D) Before the steps (b) and (c) and after the step (a), an N channel threshold adjustment element is formed in the surface region of the element isolation region below and around the N channel gate stack. Forming an outward diffusion prevention region;

7.前記6項の半導体集積回路装置の製造方法において、前記Nチャネル閾値調整元素外方拡散防止領域の形成は、Nチャネル閾値調整元素をイオン注入することによって行われる。   7). In the method of manufacturing a semiconductor integrated circuit device according to the item 6, the N channel threshold adjustment element outward diffusion prevention region is formed by ion implantation of the N channel threshold adjustment element.

8.前記6または7項の半導体集積回路装置の製造方法において、前記Nチャネル閾値調整元素外方拡散防止領域の形成は、窒素をイオン注入することによって行われる。   8). In the method of manufacturing a semiconductor integrated circuit device according to 6 or 7, the N channel threshold value adjusting element outward diffusion preventing region is formed by ion implantation of nitrogen.

9.前記6項の半導体集積回路装置の製造方法において、前記Nチャネル閾値調整元素外方拡散防止領域の形成は、前記Nチャネル閾値調整元素外方拡散防止領域となるべき部分の前記素子分離領域の表面に、Nチャネル閾値調整元素または、その酸化物を成膜し、熱処理することによって行われる。   9. 7. The method of manufacturing a semiconductor integrated circuit device according to claim 6, wherein the N channel threshold adjustment element outward diffusion prevention region is formed by forming a surface of the element isolation region in a portion to be the N channel threshold adjustment element outward diffusion prevention region. In addition, an N channel threshold adjusting element or an oxide thereof is formed and heat-treated.

10.前記6から9項のいずれか一つの半導体集積回路装置の製造方法において、前記Nチャネル閾値調整元素外方拡散防止領域の形成は、前記Pチャネルゲートスタック下およびその周辺の前記素子分離領域の表面領域には、前記Nチャネル閾値調整元素外方拡散防止領域が形成されないように行われる。   10. 10. The method of manufacturing a semiconductor integrated circuit device according to any one of 6 to 9, wherein the N channel threshold value adjusting element outward diffusion prevention region is formed under the P channel gate stack and in the vicinity of the surface of the element isolation region. In the region, the N channel threshold adjustment element outward diffusion prevention region is not formed.

11.前記6,9または10項の半導体集積回路装置の製造方法において、前記Nチャネル閾値調整元素は、La,Y,MgまたはScである。   11. In the method for manufacturing a semiconductor integrated circuit device according to 6, 9, or 10, the N channel threshold value adjusting element is La, Y, Mg, or Sc.

〔本願における記載形式、基本的用語、用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数のセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
[Description format, basic terms, usage in this application]
1. In the present application, the description of the embodiment may be divided into a plurality of sections for convenience, if necessary, but these are not independent from each other unless otherwise specified. Each part of a single example, one part is the other part of the details, or part or all of the modifications. Moreover, as a general rule, the same part is not repeated. In addition, each component in the embodiment is not indispensable unless specifically stated otherwise, unless it is theoretically limited to the number, and obviously not in context.

更に、本願において、「半導体装置」または「半導体集積回路装置」というときは、主に、各種トランジスタ(能動素子)単体、および、それらを中心に、抵抗、コンデンサ等を半導体チップ等(たとえば単結晶シリコン基板)上に集積したものをいう。ここで、各種トランジスタの代表的なものとしては、MOSFET(Metal Oxide Semiconductor Field Effect Transistor)に代表されるMISFET(Metal Insulator Semiconductor Field Effect Transistor)を例示することができる。このとき、集積回路構成の代表的なものとしては、Nチャネル型MISFETとPチャネル型MISFETを組み合わせたCMOS(Complemetary Metal Oxide Semiconductor)型集積回路に代表されるCMIS(Complemetary Metal Insulator Semiconductor)型集積回路を例示することができる。   Further, in the present application, the term “semiconductor device” or “semiconductor integrated circuit device” mainly refers to various types of transistors (active elements) alone, and resistors, capacitors, etc. as semiconductor chips (eg, single crystal). The one integrated on the silicon substrate). Here, as a representative of various transistors, a MISFET (Metal Insulator Semiconductor Effect Transistor) typified by a MOSFET (Metal Oxide Field Effect Transistor) can be exemplified. At this time, as a typical integrated circuit configuration, a CMIS (Complementary Metal Insulator Semiconductor) integrated circuit represented by a CMOS (Complementary Metal Oxide Semiconductor) integrated circuit combining an N-channel MISFET and a P-channel MISFET. Can be illustrated.

今日の半導体集積回路装置、すなわち、LSI(Large Scale Integration)のウエハ工程は、通常、原材料としてのシリコンウエハの搬入からプリメタル(Premetal)工程(M1配線層下端とゲート電極構造の間の層間絶縁膜等の形成、コンタクトホール形成、タングステンプラグ、埋め込み等からなる工程)あたりまでのFEOL(Front End of Line)工程と、M1配線層形成から始まり、アルミニウム系パッド電極上のファイナルパッシベーション膜へのパッド開口の形成あたりまで(ウエハレベルパッケージプロセスにおいては、当該プロセスも含む)のBEOL(Back End of Line)工程に大別できる。FEOL工程の内、ゲート電極パターニング工程、コンタクトホール形成工程等は、特に微細な加工が要求される微細加工工程である。一方、BEOL工程においては、ビアおよびトレンチ形成工程、特に、比較的下層のローカル配線(たとえば4層程度の構成の埋め込み配線では、M1からM3あたりまで、10層程度の構成の埋め込み配線では、M1からM5あたりまでの微細埋め込み配線)等において、特に微細加工が要求される。なお、「MN(通常N=1から15程度)」で、下から第N層配線を表す。M1は第1層配線であり、M3は第3層配線である。   A semiconductor process of today's semiconductor integrated circuit device, that is, a LSI (Large Scale Integration) wafer process, is usually performed by carrying a silicon wafer as a raw material to a premetal process (an interlayer insulating film between the lower end of the M1 wiring layer and the gate electrode structure). Etc., contact hole formation, tungsten plug, embedding, etc.) (FEOL (Front End of Line) process) and M1 wiring layer formation, pad opening to the final passivation film on the aluminum-based pad electrode Can be roughly divided into BEOL (Back End of Line) processes up to the formation of the wafer (including the process in the wafer level package process). Among the FEOL processes, the gate electrode patterning process, the contact hole forming process, and the like are microfabrication processes that require particularly fine processing. On the other hand, in the BEOL process, a via and trench formation process, in particular, a relatively lower local wiring (for example, M1 to M3 in a buried wiring having a structure of about four layers, M1 in a buried wiring having a structure of about 10 layers. In particular, fine processing is required for fine embedded wiring from M to around M5. Note that “MN (usually N = 1 to 15)” represents the N-th layer wiring from the bottom. M1 is a first layer wiring, and M3 is a third layer wiring.

2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかに、そうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。同様に、「酸化シリコン膜」、「酸化シリコン系絶縁膜」等と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon Dioxide)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS−based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon−doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、SOG(Spin ON Glass)、ナノクラスタリングシリカ(Nano−Clustering Silica:NCS)等の塗布系酸化シリコン、これらと同様な部材に空孔を導入したシリカ系Low−k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。   2. Similarly, in the description of the embodiment and the like, the material, composition, etc. may be referred to as “X consisting of A”, etc., except when clearly stated otherwise and clearly from the context, except for A It does not exclude what makes an element one of the main components. For example, as for the component, it means “X containing A as a main component”. For example, “silicon member” is not limited to pure silicon, but also includes SiGe alloys, other multi-component alloys containing silicon as a main component, and members containing other additives. Needless to say. Similarly, “silicon oxide film”, “silicon oxide insulating film”, etc. are not only relatively pure undoped silicon oxide (FS), but also FSG (Fluorosilicate Glass), TEOS-based silicon oxide ( Thermal oxide films such as TEOS-based silicon oxide, SiOC (Silicon Oxicarbide) or carbon-doped silicon oxide or OSG (Organosilicate glass), PSG (Phosphorus Silicate Glass), BPSG (Borophosphosilicate Glass), CVD Oxide film, SOG (Spin ON Glass), nano-clustering silica (Nano-Clustering Silica: NCS) coated silicon oxide, silica-based low-k insulating film (porous insulating) Needless to say, a film) and a composite film with other silicon-based insulating films including these as main constituent elements are included.

また、酸化シリコン系絶縁膜と並んで、半導体分野で常用されているシリコン系絶縁膜としては、窒化シリコン系絶縁膜がある。この系統の属する材料としては、SiN,SiCN,SiNH,SiCNH等がある。ここで、「窒化シリコン」というときは、特にそうでない旨明示したときを除き、SiNおよびSiNHの両方を含む。同様に、「SiCN」というときは、特にそうでない旨明示したときを除き、SiCNおよびSiCNHの両方を含む。   In addition to silicon oxide insulating films, silicon nitride insulating films that are commonly used in the semiconductor field include silicon nitride insulating films. Materials belonging to this system include SiN, SiCN, SiNH, SiCNH, and the like. Here, “silicon nitride” includes both SiN and SiNH unless otherwise specified. Similarly, “SiCN” includes both SiCN and SiCNH, unless otherwise specified.

なお、SiCは、SiNと類似の性質を有するが、SiONは、むしろ、酸化シリコン系絶縁膜に分類すべき場合が多い。   Note that SiC has similar properties to SiN, but SiON is often rather classified as a silicon oxide insulating film.

窒化シリコン膜は、SAC(Self−Aligned Contact)技術におけるエッチストップ膜またはCESL(Contact Etch Stop Layer)として、多用されるほか、SMT(Stress Memorization Technique)における応力付与膜(ストレッサまたはストレッサ膜)としても使用される。   The silicon nitride film is frequently used as an etch stop film or CESL (Contact Etch Stop Layer) in SAC (Self-Aligned Contact) technology, and also as a stress applying film (stressor film) in SMT (Stress Memory Technique). used.

同様に、「ニッケルシリサイド」というときは、通常、ニッケルモノシリサイドを指すが、比較的純粋なものばかりではなく、ニッケルモノシリサイドを主要な構成要素とする合金、混晶等を含む。また、シリサイドは、ニッケルシリサイドに限らず、従来から実績のあるコバルトシリサイド、チタンシリサイド、タングステンシリサイド等でもよい。また、シリサイド化のための金属膜としては、Ni(ニッケル)膜以外にも、例えばNi−Pt合金膜(NiとPtの合金膜)、Ni−V合金膜(NiとVの合金膜)、Ni−Pd合金膜(NiとPdの合金膜)、Ni−Yb合金膜(NiとYbの合金膜)またはNi−Er合金膜(NiとErの合金膜)のようなニッケル合金膜などを用いることができる。なお、これらのニッケルを主要な金属元素とするシリサイドを「ニッケル系のシリサイド」と総称する。   Similarly, the term “nickel silicide” usually refers to nickel monosilicide, but includes not only relatively pure ones but also alloys, mixed crystals, and the like whose main components are nickel monosilicide. Further, the silicide is not limited to nickel silicide, but may be cobalt silicide, titanium silicide, tungsten silicide, or the like that has been proven in the past. In addition to the Ni (nickel) film, for example, a Ni-Pt alloy film (Ni and Pt alloy film), a Ni-V alloy film (Ni and V alloy film), A nickel alloy film such as a Ni—Pd alloy film (Ni—Pd alloy film), a Ni—Yb alloy film (Ni—Yb alloy film) or a Ni—Er alloy film (Ni—Er alloy film) is used. be able to. These silicides having nickel as a main metal element are collectively referred to as “nickel-based silicide”.

3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。   3. Similarly, suitable examples of graphics, positions, attributes, and the like are given, but it is needless to say that the present invention is not strictly limited to those cases unless explicitly stated otherwise, and unless otherwise apparent from the context.

4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。   4). In addition, when a specific number or quantity is mentioned, a numerical value exceeding that specific number will be used unless specifically stated otherwise, unless theoretically limited to that number, or unless otherwise clearly indicated by the context. There may be a numerical value less than the specific numerical value.

5.「ウエハ」というときは、通常は半導体集積回路装置(半導体装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャルウエハ、SOI基板、LCDガラス基板等の絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。   5. “Wafer” usually refers to a single crystal silicon wafer on which a semiconductor integrated circuit device (same as a semiconductor device and an electronic device) is formed, but an insulating substrate such as an epitaxial wafer, an SOI substrate, an LCD glass substrate and the like. Needless to say, a composite wafer such as a semiconductor layer is also included.

6.本願において、「ゲート」というときは、「実ゲート」すなわち、実際にゲートとなるものとともに、後に除去するいわゆる「ダミーゲート」、「リプレースメントゲート」を含む。「ゲートスタック」とは、主にゲート絶縁膜およびゲート電極から構成された積層体を言う(「ダミーゲートスタック」と特に区別する必要があるときは、「実ゲートスタック」という)。「High−kゲートスタック」というときは、ゲート絶縁膜中にHigh−kゲート絶縁層を有するものをいう。   6). In the present application, the term “gate” includes “real gate”, that is, what is actually a gate, and so-called “dummy gate” and “replacement gate” that are removed later. The “gate stack” refers to a stacked body mainly composed of a gate insulating film and a gate electrode (referred to as an “actual gate stack” when it is particularly necessary to distinguish from a “dummy gate stack”). The term “High-k gate stack” refers to a gate insulating film having a High-k gate insulating layer.

また、「ゲート側面構造体」とは、ゲートスタックの側壁に作られたオフセットスペーサ、サイドウォールスペーサ等のゲート周辺構造を言う。更に、ゲートスタックとゲート側面構造体を含むゲート周辺構造を「ゲート構造体」という。   The “gate side structure” refers to a gate peripheral structure such as an offset spacer or a side wall spacer formed on the side wall of the gate stack. Further, the gate peripheral structure including the gate stack and the gate side surface structure is referred to as a “gate structure”.

また、本願において、「ゲートファースト方式」とは、MISFETを集積した集積回路装置の製造方法において、実ゲートスタックの形成をソースドレインの活性化熱処理よりも前に実行する方式を言う。一方、「ゲートラスト方式」とは、実ゲートスタックの主要要素の形成をソースドレインの活性化熱処理よりも後に実行する方式を言う。ゲートラスト方式のうち、界面ゲート絶縁膜(界面実ゲート絶縁膜)およびHigh−kゲート絶縁膜(実ゲート絶縁膜)をソースドレインの活性化熱処理よりも前に実行し、それよりも上層の実ゲートスタックの主要要素の形成をソースドレインの活性化熱処理よりも後に実行する方式を「High−kファースト−メタルゲートラスト方式」言う。   In the present application, the “gate first method” refers to a method in which the formation of the actual gate stack is performed before the activation heat treatment of the source / drain in the manufacturing method of the integrated circuit device in which the MISFETs are integrated. On the other hand, the “gate last method” refers to a method in which the main elements of the actual gate stack are formed after the activation heat treatment of the source and drain. In the gate-last method, the interface gate insulating film (interface actual gate insulating film) and the high-k gate insulating film (actual gate insulating film) are executed before the activation heat treatment of the source / drain, A method of forming the main elements of the gate stack after the activation heat treatment of the source / drain is referred to as a “High-k first-metal gate last method”.

なお、High−kファースト−メタルゲートラスト方式においては、界面ゲート絶縁膜(いわゆるIL)やHigh−kゲート絶縁膜は、ダミーゲートスタックを構成する要素であるが、実ゲートスタックを構成する要素でもあるので、プロセスの説明等に於いては、その時点の呼称を用いることがある。   In the high-k first-metal gate last method, the interface gate insulating film (so-called IL) and the high-k gate insulating film are elements constituting the dummy gate stack, but are also elements constituting the actual gate stack. Therefore, the name at that time may be used in the description of the process.

7.本願に於いて、「アクティブ領域」とは、半導体基板のデバイス面の素子分離領域に囲まれた領域であって、MISFET等のチャネル領域やソースドレイン領域となるべき部分を言う。   7). In the present application, an “active region” is a region surrounded by an element isolation region on the device surface of a semiconductor substrate, and refers to a portion to be a channel region or a source / drain region of a MISFET or the like.

更に、「Nチャネル閾値調整元素外方拡散防止領域」とは、High−kゲート絶縁膜を有するNチャネル型MISFETの閾値電圧を調整するためのLa、Y、Mg、Sc等のNチャネル閾値調整元素が、ゲート絶縁膜から素子分離領域(たとえばSTI領域)等へ外方拡散することを防止するための領域を言う。具体的には、Nチャネル閾値調整元素導入領域、窒素導入領域等である。   Further, the “N-channel threshold adjustment element outward diffusion prevention region” means N-channel threshold adjustment of La, Y, Mg, Sc, etc. for adjusting the threshold voltage of an N-channel MISFET having a High-k gate insulating film. This is a region for preventing elements from diffusing outwardly from the gate insulating film to the element isolation region (eg, STI region). Specifically, an N channel threshold adjustment element introduction region, a nitrogen introduction region, and the like.

〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。
[Details of the embodiment]
The embodiment will be further described in detail. In the drawings, the same or similar parts are denoted by the same or similar symbols or reference numerals, and description thereof will not be repeated in principle.

また、添付図面においては、却って、煩雑になる場合または空隙との区別が明確である場合には、断面であってもハッチング等を省略する場合がある。これに関連して、説明等から明らかである場合等には、平面的に閉じた孔であっても、背景の輪郭線を省略する場合がある。更に、断面でなくとも、空隙でないことを明示するために、ハッチングを付すことがある。   In the accompanying drawings, hatching or the like may be omitted even in a cross section when it becomes complicated or when the distinction from the gap is clear. In relation to this, when it is clear from the description etc., the contour line of the background may be omitted even if the hole is planarly closed. Furthermore, even if it is not a cross section, it may be hatched to clearly indicate that it is not a void.

1.本願の一実施の形態の半導体集積回路装置のデバイス構造(Nチャネル閾値調整元素ドープSTI構造)等の説明(主に図1から図3)
以下の例では、32nmテクノロジノードのCMIS半導体集積回路装置を例に取り具体的に説明するが、
これよりも微細な製品およびこれよりも寸法の大きな製品にも適用できることは言うまでもない。
1. Description of Device Structure (N-Channel Threshold Adjusting Element Doped STI Structure) of Semiconductor Integrated Circuit Device of One Embodiment of the Present Application (Mainly FIGS. 1 to 3)
In the following example, a CMIS semiconductor integrated circuit device having a 32 nm technology node will be specifically described as an example.
Needless to say, the present invention can be applied to products smaller than this and products having dimensions larger than this.

以下では、説明の便宜上、主にゲートファースト方式について説明するが、High−kファースト−メタルゲートラスト方式等の中間形態を含むゲートラスト方式にも適用できることはいうまでもない。   Hereinafter, for convenience of explanation, the gate first method will be mainly described. However, it is needless to say that the present invention can also be applied to a gate last method including an intermediate form such as a High-k first-metal gate last method.

図1は本願の一実施の形態の半導体集積回路装置のデバイス構造(Nチャネル閾値調整元素ドープSTI構造)等を説明するためのデバイス要部上面図である。図2は図1のX−X’断面に対応するデバイス断面図である。図3は図1のY−Y’断面に対応するデバイス断面図である。これらに基づいて、本願の一実施の形態の半導体集積回路装置のデバイス構造(Nチャネル閾値調整元素ドープSTI構造)等を説明する。   FIG. 1 is a top view of an essential part of a device for explaining a device structure (N-channel threshold adjustment element doped STI structure) and the like of a semiconductor integrated circuit device according to an embodiment of the present application. FIG. 2 is a device sectional view corresponding to the X-X ′ section of FIG. 1. FIG. 3 is a device sectional view corresponding to the Y-Y ′ section of FIG. 1. Based on these, the device structure (N-channel threshold adjustment element doped STI structure) of the semiconductor integrated circuit device according to the embodiment of the present application will be described.

図1に示すように、半導体チップ2のデバイス面1a上において、CMISを構成するNチャネル型MISFET(Qn)およびPチャネル型MISFET(Qp)のアクティブ領域、すなわち、Nチャネルアクティブ領域4nおよびPチャネルアクティブ領域4pは、それぞれSTI(Shallow Trench Isolation)領域3(素子分離領域)によって囲まれている。この素子分離領域3の表面領域は、Nチャネルアクティブ領域4nの周辺のNチャネル閾値調整元素導入領域3d(Nチャネル閾値調整元素外方拡散防止領域)とPチャネルアクティブ領域4pの周辺のNチャネル閾値調整元素等非導入領域3uに分かれている。Nチャネルアクティブ領域4nとPチャネルアクティブ領域4pの中央部をNチャネルゲートスタック5nおよびPチャネルゲートスタック5pがそれぞれ縦断又は横断している。   As shown in FIG. 1, on the device surface 1a of the semiconductor chip 2, the active regions of the N-channel MISFET (Qn) and the P-channel MISFET (Qp) constituting the CMIS, that is, the N-channel active region 4n and the P-channel Each of the active regions 4p is surrounded by an STI (Shallow Trench Isolation) region 3 (element isolation region). The surface region of the element isolation region 3 includes an N channel threshold adjustment element introduction region 3d (N channel threshold adjustment element outward diffusion prevention region) around the N channel active region 4n and an N channel threshold around the P channel active region 4p. It is divided into non-introducing regions 3u such as adjusting elements. An N-channel gate stack 5n and a P-channel gate stack 5p cross or cross each other at the center of the N-channel active region 4n and the P-channel active region 4p.

次に、図2に示すように、半導体チップ2は、基板部1s(P型単結晶シリコン基板部)と、その上のウエル部に分かれており、ウエル部はNチャネルデバイス領域Rnに対応するN型ウエル領域6nと、Pチャネルデバイス領域Rpに対応するP型ウエル領域6pに分かれている。Nチャネルゲートスタック5nおよびPチャネルゲートスタック5pの表面領域には、それぞれN型ソースドレイン領域20nおよびP型ソースドレイン領域20pが設けられている。Nチャネルゲートスタック5nは、下からIL(Interfacial Layer)すなわち、界面酸化シリコン系絶縁膜7、NチャネルHigh−kゲート絶縁膜8n(High−kゲート絶縁膜8)、メタルゲート電極膜9m、ポリシリコンゲート電極膜9s等から構成されている。一方、Pチャネルゲートスタック5pは、下からILすなわち、界面酸化シリコン系絶縁膜7、PチャネルHigh−kゲート絶縁膜8p(High−kゲート絶縁膜8)、メタルゲート電極膜9m、ポリシリコンゲート電極膜9s等から構成されている。   Next, as shown in FIG. 2, the semiconductor chip 2 is divided into a substrate portion 1s (P-type single crystal silicon substrate portion) and a well portion thereon, and the well portion corresponds to the N channel device region Rn. It is divided into an N-type well region 6n and a P-type well region 6p corresponding to the P-channel device region Rp. An N-type source / drain region 20n and a P-type source / drain region 20p are provided in the surface regions of the N-channel gate stack 5n and the P-channel gate stack 5p, respectively. The N-channel gate stack 5n includes, from below, an IL (Interfacial Layer), that is, an interfacial oxide insulating film 7, an N-channel High-k gate insulating film 8n (High-k gate insulating film 8), a metal gate electrode film 9m, a poly It is composed of a silicon gate electrode film 9s and the like. On the other hand, the P-channel gate stack 5p includes an IL, that is, an interfacial silicon oxide insulating film 7, a P-channel High-k gate insulating film 8p (High-k gate insulating film 8), a metal gate electrode film 9m, a polysilicon gate from the bottom. The electrode film is composed of 9s and the like.

次に、図3に示すように、Nチャネルゲートスタック5n下又はその周辺のSTI領域3(素子分離領域)の表面には、Nチャネル閾値調整元素導入領域3dが設けられている。一方、Pチャネルゲートスタック5p下又はその周辺のSTI領域3(素子分離領域)の表面には、Nチャネル閾値調整元素導入領域3dが設けられていない。これは、Pチャネル型MISFET(Qp)の閾値電圧制御に悪影響を与えるからである。   Next, as shown in FIG. 3, an N-channel threshold adjustment element introduction region 3d is provided on the surface of the STI region 3 (element isolation region) below or around the N-channel gate stack 5n. On the other hand, the N channel threshold adjustment element introduction region 3d is not provided on the surface of the STI region 3 (element isolation region) below or around the P channel gate stack 5p. This is because the threshold voltage control of the P channel MISFET (Qp) is adversely affected.

なお、図1に示すように、Nチャネル閾値調整元素導入領域3dは、通常、製造のしやすさから、Nチャネルデバイス領域Rnに対応するSTI領域3(素子分離領域)の表面領域のほぼ全体に形成するが、Nチャネルゲートスタック5n下又はその周辺のSTI領域3(素子分離領域)の表面領域のみに形成するようにしてもよい。   As shown in FIG. 1, the N-channel threshold adjustment element introduction region 3d is generally almost the entire surface region of the STI region 3 (element isolation region) corresponding to the N-channel device region Rn because of ease of manufacturing. However, it may be formed only on the surface region of the STI region 3 (element isolation region) below or around the N-channel gate stack 5n.

Nチャネル閾値調整元素導入領域3d(Nチャネル閾値調整元素外方拡散防止領域)には、基本的に、NチャネルHigh−kゲート絶縁膜8nにドープされたNチャネル閾値調整元素と同一のNチャネル閾値調整元素がドープするのが、プロセス的に元も好適である。なお、異なるNチャネル閾値調整元素をドープしても良い。Nチャネル閾値調整元素としては、たとえば、La、Y、Sc(以上、希土類元素)、Mg(周期表2族)等を好適なものとして例示することができる。   The N channel threshold adjustment element introduction region 3d (N channel threshold adjustment element outward diffusion prevention region) is basically the same N channel as the N channel threshold adjustment element doped in the N channel High-k gate insulating film 8n. It is preferable from the viewpoint of the process that the threshold adjusting element is doped. Note that different N-channel threshold adjustment elements may be doped. As an N channel threshold value adjusting element, for example, La, Y, Sc (hereinafter, a rare earth element), Mg (Group 2 of the periodic table) and the like can be exemplified as suitable ones.

2.本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスの説明(主に図4から図15)
このセクションでは、セクション1で説明したデバイス構造を実現するための要部プロセスを説明する。以下の説明では、主に、ゲートスタックのパターニングまでを説明する。これは、ゲートスタックのパターニング後のゲート側面構造体等を含むゲート構造体の形成およびソースドレイン領域の導入等は、ゲートファースト方式またはゲートラスト方式の選択も含めて、採用するプロセス方式によって、必要に応じて種々選択できるからである。
2. Description of principal processes in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method) (mainly FIGS. 4 to 15)
In this section, the main processes for realizing the device structure described in Section 1 will be described. In the following description, the process up to the patterning of the gate stack will be mainly described. This is because the formation of the gate structure including the gate side structure after patterning of the gate stack and the introduction of the source / drain region are necessary depending on the process method employed, including the selection of the gate first method or the gate last method. This is because various selections can be made according to the above.

図4は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(STI領域形成途中)である。図5は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素イオン注入工程)である。図6は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(STI形成用窒化シリコン膜等除去工程)である。図7は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(ウエル領域形成工程)である。図8は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネル閾値調整膜加工用ハードマスク用レジスト膜形成工程)である。図9は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネル閾値調整膜加工用ハードマスク膜加工工程)である。図10は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネル閾値調整膜加工工程)である。図11は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整膜成膜工程)である。図12は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整膜等除去工程)である。図13は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネル閾値調整膜加工用ハードマスク膜除去工程)である。図14は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(メタルゲート電極膜およびポリシリコンゲート電極膜成膜工程)である。図15は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(ゲートパターニング工程)である。これらに基づいて、本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明する。   4 is a device cross-section corresponding to the XX ′ cross-section of FIG. 1 for explaining the main process in the method for manufacturing a semiconductor integrated circuit device (N-channel threshold adjustment element ion implantation method) according to the embodiment of the present application. It is a figure (in the middle of STI region formation). FIG. 5 is a device cross-section corresponding to the XX ′ cross-section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). It is a figure (N channel threshold value adjustment element ion implantation process). 6 is a device cross-section corresponding to the XX ′ cross-section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). It is a figure (STI formation silicon nitride film etc. removal process). 7 is a device cross-section corresponding to the XX ′ cross-section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). It is a figure (well area | region formation process). FIG. 8 is a device cross-section corresponding to the XX ′ cross-section of FIG. 1 for explaining the main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). It is a figure (P channel threshold value adjustment film processing hard mask resist film forming step). FIG. 9 is a device cross section corresponding to the XX ′ cross section of FIG. 1 for explaining the main process in the method for manufacturing a semiconductor integrated circuit device (N channel threshold adjustment element ion implantation method) of the embodiment of the present application. It is a figure (P channel threshold value adjustment film processing hard mask film processing step). 10 is a device cross-section corresponding to the XX ′ cross-section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). It is a figure (P channel threshold value adjustment film processing process). FIG. 11 is a device cross section corresponding to the XX ′ cross section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N channel threshold adjustment element ion implantation method). It is a figure (N channel threshold value adjustment film | membrane film-forming process). FIG. 12 is a device cross section corresponding to the XX ′ cross section of FIG. 1 for describing the main process in the method for manufacturing a semiconductor integrated circuit device (N channel threshold adjustment element ion implantation method) of the embodiment of the present application. It is a figure (N channel threshold value adjustment film etc. removal process). FIG. 13 is a device cross section corresponding to the XX ′ cross section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N channel threshold adjustment element ion implantation method). It is a figure (P channel threshold value adjustment film processing hard mask film removal step). FIG. 14 is a device cross section corresponding to the XX ′ cross section of FIG. 1 for describing the main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N channel threshold adjustment element ion implantation method). It is a figure (a metal gate electrode film and a polysilicon gate electrode film film-forming process). 15 is a device cross-section corresponding to the XX ′ cross-section of FIG. 1 for explaining the main process in the method for manufacturing a semiconductor integrated circuit device (N-channel threshold adjustment element ion implantation method) according to the embodiment of the present application. It is a figure (gate patterning process). Based on these, the main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method) will be described.

先ず、図4を参照しながら、図4に至るSTIプロセスを簡単に説明する。ウエハ1(基板部1s)として、たとえばP型単結晶シリコンウエハ(たとえば比抵抗が1から10Ωcm程度)を準備し、そのデバイス面(第1の主面)1a側(裏面1bの反対側)のほぼ全面に、たとえば、熱酸化により、パッド酸化シリコン膜12(たとえば厚さ10nm程度)を形成する。続いて、パッド酸化シリコン膜12上のほぼ全面に、たとえばCVD(Chemical Vapor Deposition)により、STI形成用窒化シリコン膜11(たとえば厚さ20nm程度)を成膜する。次に、通常のリソグラフィ(たとえば、ArFリソグラフィ)により、STI形成用窒化シリコン膜11およびパッド酸化シリコン膜12をパターニングして、トレンチ形成用開口(たとえば、幅70nm程度)を形成する。次に、このパターニングされたSTI形成用窒化シリコン膜11等をマスクとして、たとえばハロゲン系エッチングガスを用いた異方性ドライエッチングにより、トレンチ(たとえば深さ300nm程度)を形成する。次に、例えば、熱酸化により、トレンチ内にライナ酸化シリコン膜(たとえば厚さ3nm程度)を成膜する。続いて、ウエハ1のデバイス面1a側のほぼ全面およびトレンチ内に、例えば、HDP(High Density Plasma)−CVDにより、埋め込み用酸化シリコン系膜を成膜する。次に、たとえばCMP(Chemical Mechanical Polishing)により、表面を平坦化して、STI形成用窒化シリコン膜11の上で止める。続いて、たとえば弗酸系エッチング液を用いて、トレンチ上の埋め込み用酸化シリコン系膜を除去すると、図4に示すように、トレンチ内にSTI領域3(素子分離領域)が残る。   First, the STI process leading to FIG. 4 will be briefly described with reference to FIG. As a wafer 1 (substrate portion 1s), for example, a P-type single crystal silicon wafer (for example, having a specific resistance of about 1 to 10 Ωcm) is prepared, and on the device surface (first main surface) 1a side (opposite the back surface 1b). A pad silicon oxide film 12 (for example, about 10 nm thick) is formed on almost the entire surface, for example, by thermal oxidation. Subsequently, an STI-forming silicon nitride film 11 (for example, about 20 nm thick) is formed on almost the entire surface of the pad silicon oxide film 12 by, eg, CVD (Chemical Vapor Deposition). Next, the silicon nitride film 11 for STI formation and the pad silicon oxide film 12 are patterned by normal lithography (for example, ArF lithography) to form a trench formation opening (for example, a width of about 70 nm). Next, a trench (for example, a depth of about 300 nm) is formed by anisotropic dry etching using a halogen-based etching gas, for example, using the patterned silicon nitride film 11 for forming STI as a mask. Next, a liner silicon oxide film (for example, about 3 nm thick) is formed in the trench by, for example, thermal oxidation. Subsequently, an embedded silicon oxide film is formed on almost the entire device surface 1a side of the wafer 1 and in the trench by, for example, HDP (High Density Plasma) -CVD. Next, the surface is planarized by, for example, CMP (Chemical Mechanical Polishing), and stopped on the STI forming silicon nitride film 11. Subsequently, when the buried silicon oxide film on the trench is removed using, for example, a hydrofluoric acid-based etching solution, the STI region 3 (element isolation region) remains in the trench as shown in FIG.

次に、図5に示すように、通常のリソグラフィ(たとえば、ArFリソグラフィ)により、Nチャネル閾値調整元素導入用レジスト膜14(例えば、厚さ300nm程度)をパターニングする。パターニングされたNチャネル閾値調整元素導入用レジスト膜14をイオン注入マスクとして、Nチャネルデバイス領域Rnに対応するSTI領域3の表面に、たとえば、ランタンをイオン注入することにより、Nチャネル閾値調整元素導入領域3d(Nチャネル閾値調整元素外方拡散防止領域)を形成する。ここで、イオン注入条件としては、たとえば、イオン種:ランタン、打ち込みエネルギー:150KeV程度、ドーズ量:5x1014/cm等(範囲としては、たとえば打ち込みエネルギー:50から300KeV程度、ドーズ量:5x1013/cmから5x1015/cm等)を好適なものとして例示することができる。このように、STI形成用窒化シリコン膜11およびパッド酸化シリコン膜12がある状態で、ランタン等のイオン注入を実行するので、Nチャネルアクティブ領域4nへの不所望なランタン等の導入を防止することができるメリットがある。Next, as shown in FIG. 5, the N-channel threshold adjustment element introduction resist film 14 (for example, about 300 nm thick) is patterned by normal lithography (for example, ArF lithography). For example, lanthanum is ion-implanted into the surface of the STI region 3 corresponding to the N-channel device region Rn by using the patterned resist film 14 for introducing the N-channel threshold adjustment element as an ion implantation mask. Region 3d (N-channel threshold adjustment element outward diffusion prevention region) is formed. Here, as ion implantation conditions, for example, ion species: lanthanum, implantation energy: about 150 KeV, dose amount: 5 × 10 14 / cm 2 or the like (for example, implantation energy: about 50 to 300 KeV, dose amount: 5 × 10 13 / Cm 2 to 5 × 10 15 / cm 2 etc.) can be exemplified as a suitable one. As described above, since ion implantation of lanthanum or the like is performed in the state where the silicon nitride film 11 for forming the STI and the pad silicon oxide film 12 are present, it is possible to prevent undesired introduction of lanthanum or the like into the N channel active region 4n. There is a merit that can be.

その後、不要になったNチャネル閾値調整元素導入用レジスト膜14をたとえばアッシング等により除去する。続いて、例えば、熱燐酸等により、STI形成用窒化シリコン膜11を除去し、たとえば、弗酸系エッチング液を用いて、ウエハ1のデバイス面1aをエッチバックすることにより、パッド酸化シリコン膜12等を除去すると、図6のようになる。   Thereafter, the resist film 14 for introducing the N-channel threshold adjustment element that has become unnecessary is removed by, for example, ashing. Subsequently, the silicon nitride film 11 for forming the STI is removed by, for example, hot phosphoric acid, and the device surface 1a of the wafer 1 is etched back by using, for example, a hydrofluoric acid-based etchant, whereby the pad silicon oxide film 12 is obtained. When these are removed, the result is as shown in FIG.

次に、図7に示すように、ウエハ1のデバイス面1a側からイオン注入することにより、半導体基板部1sのデバイス面1a側のNチャネルデバイス領域Rnに対応する部分およびPチャネルデバイス領域Rpに対応する部分に、それぞれN型ウエル領域6nおよびP型ウエル領域6pを形成する。   Next, as shown in FIG. 7, by ion implantation from the device surface 1a side of the wafer 1, a portion corresponding to the N channel device region Rn on the device surface 1a side of the semiconductor substrate portion 1s and the P channel device region Rp are formed. N-type well region 6n and P-type well region 6p are formed in the corresponding portions, respectively.

次に、図8に示すように、ウエハ1のデバイス面1aの半導体表面のほぼ全面に、たとえば、熱酸化により、IL(界面酸化シリコン系絶縁膜)として酸化シリコン系絶縁膜7(たとえば厚さ1nm程度の酸窒化シリコン膜)を成膜する。続いて、界面酸化シリコン系絶縁膜7上のほぼ全面に、たとえば、ALD(Atomic Layer deposition)により、ノンドープHigh−kゲート絶縁膜8(たとえば厚さ2nm程度の酸化ハフニウム系High−kゲート絶縁膜)を成膜する。続いて、ノンドープHigh−kゲート絶縁膜8上のほぼ全面に、たとえば、スパッタリング成膜により、Pチャネル閾値調整膜10p(たとえば厚さ1nm程度のアルミナ膜)を成膜する。次に、Pチャネル閾値調整膜10p上のほぼ全面に、たとえば、反応性スパッタリング成膜により、メタルキャップ膜またはPチャネル閾値調整膜加工用ハードマスク膜15(たとえば厚さ10nm程度のTiN膜)を成膜する。続いて、Pチャネル閾値調整膜加工用ハードマスク膜15に、Pチャネル閾値調整膜加工用ハードマスク用レジスト膜16(例えば、厚さ300nm程度)を形成し、それを通常のリソグラフィ(たとえば、ArFリソグラフィ)により、パターニングする。   Next, as shown in FIG. 8, a silicon oxide insulating film 7 (for example, a thickness) is formed on almost the entire semiconductor surface of the device surface 1a of the wafer 1 as an IL (interfacial oxide insulating film) by, for example, thermal oxidation. A silicon oxynitride film of about 1 nm is formed. Subsequently, a non-doped High-k gate insulating film 8 (for example, a hafnium oxide High-k gate insulating film having a thickness of about 2 nm) is formed on almost the entire surface of the interfacial silicon oxide insulating film 7 by, for example, ALD (Atomic Layer deposition). ). Subsequently, a P-channel threshold adjustment film 10p (for example, an alumina film having a thickness of about 1 nm) is formed on almost the entire surface of the non-doped High-k gate insulating film 8 by sputtering, for example. Next, a metal cap film or a hard mask film 15 for processing the P channel threshold adjustment film (for example, a TiN film having a thickness of about 10 nm) is formed on almost the entire surface of the P channel threshold adjustment film 10p by, for example, reactive sputtering. Form a film. Subsequently, a P-channel threshold adjustment film processing hard mask resist film 16 (for example, a thickness of about 300 nm) is formed on the P-channel threshold adjustment film processing hard mask film 15, and this is applied to normal lithography (for example, ArF Patterning is performed by lithography.

次に、図9に示すように、Pチャネル閾値調整膜加工用ハードマスク用レジスト膜16をマスクとして、たとえば、ウエットエッチング等により、Pチャネル閾値調整膜加工用ハードマスク膜15をパターニングする。その後、不要になったPチャネル閾値調整膜加工用ハードマスク用レジスト膜16を除去する。   Next, as shown in FIG. 9, the P channel threshold adjustment film processing hard mask film 15 is patterned by, for example, wet etching using the P channel threshold adjustment film processing hard mask resist film 16 as a mask. Thereafter, the P-channel threshold adjustment film processing hard mask resist film 16 that has become unnecessary is removed.

次に、図10に示すように、Pチャネル閾値調整膜加工用ハードマスク膜15をマスクとして、たとえば、ウエットエッチング等により、Nチャネルデバイス領域Rn側のPチャネル閾値調整膜10pを除去する。ここで、ウエットエッチング液としては、たとえば、SPM(Sulfuric Acid Hydrogen Peroxide Mixture)等を好適なものとして例示することができる。   Next, as shown in FIG. 10, the P-channel threshold adjustment film 10p on the N-channel device region Rn side is removed by, for example, wet etching using the hard mask film 15 for processing the P-channel threshold adjustment film as a mask. Here, as a wet etching solution, for example, SPM (Sulfur Acid Hydrogen Peroxide Mixture) or the like can be exemplified as a suitable one.

次に、図11に示すように、ウエハ1のデバイス面1a側のほぼ全面に、たとえば、スパッタリング成膜等により、Nチャネル閾値調整膜10n(たとえば厚さ1nm程度の酸化ランタン膜)を成膜する。その後、熱処理(たとえば、摂氏850度程度のRTA処理等)を施すことにより、図12に示すように、Nチャネルデバイス領域RnのノンドープHigh−kゲート絶縁膜8は、NチャネルHigh−kゲート絶縁膜8n(ランタン添加酸化ハフニウム系High−kゲート絶縁膜)となる。一方、Pチャネルデバイス領域RpのノンドープHigh−kゲート絶縁膜8は、PチャネルHigh−kゲート絶縁膜8p(アルミニウム添加酸化ハフニウム系High−kゲート絶縁膜)となる。次に、不要になったPチャネル閾値調整膜加工用ハードマスク膜15を、たとえば、ウエットエッチング等により、除去すると図13のようになる。ここで、ウエットエッチング液としては、たとえば、SPM等を好適なものとして例示することができる。   Next, as shown in FIG. 11, an N-channel threshold adjustment film 10n (for example, a lanthanum oxide film having a thickness of about 1 nm) is formed on almost the entire surface of the wafer 1 on the device surface 1a side by, for example, sputtering film formation. To do. Thereafter, by performing a heat treatment (for example, an RTA treatment of about 850 degrees Celsius), as shown in FIG. 12, the non-doped High-k gate insulating film 8 in the N-channel device region Rn becomes an N-channel High-k gate insulating. The film 8n (lanthanum-added hafnium oxide-based High-k gate insulating film) is formed. On the other hand, the non-doped High-k gate insulating film 8 in the P-channel device region Rp becomes a P-channel High-k gate insulating film 8p (aluminum-added hafnium oxide-based High-k gate insulating film). Next, when the P-channel threshold adjustment film processing hard mask film 15 that is no longer needed is removed by, for example, wet etching or the like, the result is as shown in FIG. Here, as a wet etching liquid, SPM etc. can be illustrated as a suitable thing, for example.

次に、図14に示すように、ウエハ1のデバイス面1a側のほぼ全面のNチャネルHigh−kゲート絶縁膜8nおよびPチャネルHigh−kゲート絶縁膜8p上に、たとえば、反応性スパッタリング成膜等により、メタルゲート電極膜9m(たとえば厚さ10nm程度のTiN膜)を成膜する。続いて、メタルゲート電極膜9m上のほぼ全面に、たとえば、CVDにより、ポリシリコンゲート電極膜9s(たとえば厚さ10nm程度のポリシリコン膜又はアモルファスシリコン膜)を成膜する。   Next, as shown in FIG. 14, for example, reactive sputtering film formation is performed on the N-channel High-k gate insulating film 8n and the P-channel High-k gate insulating film 8p on almost the entire surface of the wafer 1 on the device surface 1a side. Thus, a metal gate electrode film 9m (for example, a TiN film having a thickness of about 10 nm) is formed. Subsequently, a polysilicon gate electrode film 9s (for example, a polysilicon film or an amorphous silicon film having a thickness of about 10 nm) is formed on almost the entire surface of the metal gate electrode film 9m by, for example, CVD.

次に、図15に示すように、たとえば、通常のリソグラフィ(たとえば、ArFリソグラフィ)と異方性ドライエッチングの組み合わせにより、Nチャネルゲートスタック5nおよびPチャネルゲートスタック5pをパターニングする。ここで、ポリシリコンゲート電極膜9sのエッチングガスとしては、たとえばHBr等のハロゲン系エッチングガスを、メタルゲート電極膜9mのエッチングガスとしては、たとえばCl/HBr等のハロゲン系エッチングガスを、High−kゲート絶縁膜8(8n、8p)のエッチングガスとしては、たとえばBCl/Cl等のハロゲン系エッチングガスを好適なものとして例示することができる。Next, as shown in FIG. 15, the N-channel gate stack 5n and the P-channel gate stack 5p are patterned by a combination of normal lithography (for example, ArF lithography) and anisotropic dry etching, for example. Here, as the etching gas for the polysilicon gate electrode film 9s, for example, a halogen-based etching gas such as HBr is used, and as the etching gas for the metal gate electrode film 9m, for example, a halogen-based etching gas such as Cl 2 / HBr is used. As an etching gas for the −k gate insulating film 8 (8n, 8p), for example, a halogen-based etching gas such as BCl 3 / Cl 2 can be exemplified as a preferable one.

この後、ゲート側面構造体等を含むゲート構造体の形成およびソースドレイン領域の導入等を経て、ゲートファースト方式であれば、プリメタル(Pre−metal)絶縁膜の形成、コンタクトホール形成、タングステンプラグ等の埋め込みの後、たとえば、ダマシン方式等の銅系埋め込み配線工程等に移行する。   After that, through formation of a gate structure including a gate side structure and introduction of a source / drain region, etc., in the case of a gate first method, formation of a pre-metal insulating film, formation of a contact hole, tungsten plug, etc. After embedding, for example, the process shifts to a copper-based buried wiring process such as a damascene method.

3.本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例1(Nチャネル閾値調整元素含有膜成膜基本方式)の説明(主に図16から図19)
セクション2では、セクション1のデバイス構造を実現するデバイスの製法として、ランタン等のNチャネル閾値調整元素をイオン注入する方法を説明したが、このセクションでは、その変形例として、Nチャネル閾値調整元素含有膜からNチャネル閾値調整元素を導入する方法を説明する。いうまでもないことであるが、セクション2の方法を併用することを排除するものではない。
3. Description of Modified Example 1 (Basic Channel Formation Method of N-Channel Threshold Adjusting Element-Containing Film) of Manufacturing Method of Semiconductor Integrated Circuit Device According to One Embodiment of the Present Application (Mainly FIGS. 16 to 19)
In section 2, a method of ion-implanting an N-channel threshold adjusting element such as lanthanum has been described as a device manufacturing method for realizing the device structure of section 1, but in this section, an N-channel threshold adjusting element-containing method is included as a modification. A method of introducing an N channel threshold adjustment element from the film will be described. Needless to say, using the method of section 2 together is not excluded.

図16は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜成膜工程)である。図17は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜パターニング工程)である。図18は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜パターニング後熱処理工程)である。図19は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜除去工程)である。   FIG. 16 is a device cross section corresponding to the XX ′ cross section of FIG. 1 for explaining the main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N channel threshold adjustment element ion implantation method). It is a figure (N channel threshold value adjustment element containing film | membrane film-forming process). FIG. 17 is a device cross section corresponding to the XX ′ cross section of FIG. 1 for explaining the main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N channel threshold adjustment element ion implantation method). It is a figure (N channel threshold value adjustment element containing film | membrane patterning process). FIG. 18 is a device cross section corresponding to the XX ′ cross section of FIG. 1 for explaining the main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). It is a figure (N channel threshold value adjustment element containing film | membrane post-patterning heat processing process). 19 is a device cross-section corresponding to the XX ′ cross-section of FIG. 1 for explaining the main process in the method for manufacturing a semiconductor integrated circuit device (N-channel threshold adjustment element ion implantation method) according to the embodiment of the present application. It is a figure (N channel threshold value adjustment element containing film | membrane removal process).

図4の状態から、図16に示すように、ウエハ1のデバイス面1a側のほぼ全面に、たとえばスパッタリング成膜等により、Nチャネル閾値調整元素含有膜17(たとえば厚さ1nm程度の酸化ランタン膜)を成膜する。   From the state of FIG. 4, as shown in FIG. 16, an N channel threshold adjustment element-containing film 17 (for example, a lanthanum oxide film having a thickness of about 1 nm is formed on the entire surface of the wafer 1 on the device surface 1 a side by, for example, sputtering film formation. ).

次に、図17に示すように、たとえば、通常のリソグラフィ(たとえば、ArFリソグラフィ)により、Nチャネル閾値調整元素含有膜加工用レジスト膜17(例えば、厚さ300nm程度)をパターニングする。このパターニングされたNチャネル閾値調整元素含有膜加工用レジスト膜17をマスクとして、たとえば、ウエットエッチング等により、Pチャネルデバイス領域Rp上のNチャネル閾値調整元素含有膜17を除去する。ここで、ウエットエッチング液としては、たとえば、SPM等を好適なものとして例示することができる。その後、不要になったNチャネル閾値調整元素含有膜加工用レジスト膜17を、たとえばアッシング等により除去すると、図18のようになる。   Next, as shown in FIG. 17, the N-channel threshold adjustment element-containing film processing resist film 17 (for example, a thickness of about 300 nm) is patterned by, for example, normal lithography (for example, ArF lithography). Using this patterned N channel threshold adjustment element-containing film processing resist film 17 as a mask, the N channel threshold adjustment element containing film 17 on the P channel device region Rp is removed, for example, by wet etching or the like. Here, as a wet etching liquid, SPM etc. can be illustrated as a suitable thing, for example. Thereafter, the N-channel threshold adjustment element-containing film processing resist film 17 that is no longer needed is removed by, for example, ashing, as shown in FIG.

更に、この状態で熱処理(たとえば、摂氏850度程度のRTA処理等)を施すと、図19に示すように、STI領域3(素子分離領域)の表面領域にNチャネル閾値調整元素導入領域3d(Nチャネル閾値調整元素外方拡散防止領域)が形成され、素子分離領域3は、この領域と、もともとのNチャネル閾値調整元素等非導入領域3uに分かれる。この後、先と同様に、図6の工程に移行する。   Further, when heat treatment (for example, an RTA treatment of about 850 degrees Celsius) is performed in this state, as shown in FIG. 19, an N channel threshold adjustment element introduction region 3d (on the surface region of the STI region 3 (element isolation region)) N-channel threshold adjustment element outward diffusion prevention region) is formed, and the element isolation region 3 is divided into this region and the original N-channel threshold adjustment element non-introduction region 3u. Thereafter, the process proceeds to the step of FIG.

このようにNチャネル閾値調整元素含有膜からNチャネル閾値調整元素を導入する方法(Nチャネル閾値調整元素含有膜成膜基本方式)においては、イオン注入による方式(Nチャネル閾値調整元素イオン注入方式)と比較して、比較的容易に高濃度のNチャネル閾値調整元素含有領域3dを形成することができるメリットがある。   As described above, in the method of introducing the N channel threshold adjustment element from the N channel threshold adjustment element containing film (N channel threshold adjustment element containing film deposition basic method), a method by ion implantation (N channel threshold adjustment element ion implantation method). As compared with the above, there is an advantage that the high concentration N-channel threshold adjustment element-containing region 3d can be formed relatively easily.

4.本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例2(Nチャネル閾値調整元素含有膜成膜&サイドウォール方式)の説明(主に図20から図22)
このセクションに於いては、セクション3と同様に、Nチャネル閾値調整元素含有膜成膜方式ではあるが、Nチャネル閾値調整元素導入領域3dを、Nチャネルデバイス領域Rnに対応する素子分離領域3の表面の内、Nチャネルアクティブ領域4nに近接した領域のみに限定することができるNチャネル閾値調整元素含有膜成膜&サイドウォール方式を説明する。
4). Description of Modification 2 (N Channel Threshold Adjusting Element-Containing Film Containing & Sidewall Method) of Manufacturing Method of Semiconductor Integrated Circuit Device According to One Embodiment of the Present Application (Mainly FIGS. 20 to 22)
In this section, as in section 3, the N-channel threshold adjustment element-containing film formation method is used, but the N-channel threshold adjustment element introduction region 3d is used as the element isolation region 3 corresponding to the N-channel device region Rn. An N channel threshold adjustment element-containing film formation & sidewall method that can be limited to only the region close to the N channel active region 4n in the surface will be described.

図20は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜サイドウォール形成工程)である。図21は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜サイドウォール除去工程)である。図22は本願の前記一実施の形態の半導体集積回路装置の製造方法(Nチャネル閾値調整元素イオン注入方式)における要部プロセスを説明するための図1のX−X’断面に対応するデバイス断面図(STI形成用窒化シリコン膜等除去工程)である。これらに基づいて、本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例2(Nチャネル閾値調整元素含有膜成膜&サイドウォール方式)を説明する。   FIG. 20 is a device cross section corresponding to the XX ′ cross section of FIG. 1 for explaining the main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N channel threshold adjustment element ion implantation method). It is a figure (N channel threshold value adjustment element containing film | membrane sidewall formation process). FIG. 21 is a device cross section corresponding to the XX ′ cross section of FIG. 1 for describing the main process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N channel threshold adjusting element ion implantation method). It is a figure (N channel threshold value adjustment element containing film | membrane sidewall removal process). 22 is a device cross-section corresponding to the XX ′ cross-section of FIG. 1 for explaining the main process in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element ion implantation method). It is a figure (STI formation silicon nitride film etc. removal process). Based on these, a second modification of the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element-containing film formation & sidewall method) will be described.

図18の状態から、図20に示すように、Nチャネル閾値調整元素含有膜17(酸化ランタン膜)に対して、異方性ドライエッチングを施すことにより、Nチャネル閾値調整元素含有膜サイドウォール17sを形成する。   From the state of FIG. 18, by performing anisotropic dry etching on the N-channel threshold adjustment element-containing film 17 (lanthanum oxide film) as shown in FIG. 20, the N-channel threshold adjustment element-containing film sidewall 17s. Form.

次に、図21に示すように、熱処理(たとえば、摂氏850度程度のRTA処理等)を施すことにより、STI領域3(素子分離領域)の表面領域にNチャネル閾値調整元素導入領域3d(Nチャネル閾値調整元素外方拡散防止領域)が形成され、素子分離領域3は、先と同様に、この領域と、もともとのNチャネル閾値調整元素等非導入領域3uに分かれる。   Next, as shown in FIG. 21, by performing a heat treatment (for example, an RTA process of about 850 degrees Celsius), an N channel threshold adjustment element introduction region 3d (N A channel threshold adjustment element outward diffusion prevention region) is formed, and the element isolation region 3 is divided into this region and the original N-channel threshold adjustment element non-introduction region 3u as before.

次に、図22に示すように、例えば、熱燐酸等により、STI形成用窒化シリコン膜11を除去し、たとえば、弗酸系エッチング液を用いて、ウエハ1のデバイス面1aをエッチバックすることにより、パッド酸化シリコン膜12等を除去する。   Next, as shown in FIG. 22, the silicon nitride film 11 for forming the STI is removed by, for example, hot phosphoric acid, and the device surface 1a of the wafer 1 is etched back using, for example, a hydrofluoric acid etching solution. Thus, the pad silicon oxide film 12 and the like are removed.

このような構造とすることによって、Nチャネル閾値調整元素導入領域3dを、Nチャネルデバイス領域Rnに対応する素子分離領域3の表面の内、Nチャネルアクティブ領域4nに近接した領域のみに限定することができるので、Nチャネル閾値調整元素のPチャネルデバイス領域Rpへの悪影響を低減することができる。   By adopting such a structure, the N-channel threshold adjustment element introduction region 3d is limited to only the region close to the N-channel active region 4n in the surface of the element isolation region 3 corresponding to the N-channel device region Rn. Therefore, the adverse effect of the N channel threshold adjustment element on the P channel device region Rp can be reduced.

5.本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例3(Nチャネル閾値調整元素含有膜成膜&ハードマスク方式)の説明(主に図23から図26)
このセクションで説明する例は、セクション3で説明した製法の変形例で、ランタン等の選択的導入のために、ハードマスクを利用するところが特徴となっている。このは、たとえば、SRAM(Static Random Access Memory)等のように段差の激しい製品の加工に有利である。
5. Description of Modification 3 (N Channel Threshold Adjusting Element Containing Film Containing & Hard Mask Method) of Manufacturing Method of Semiconductor Integrated Circuit Device According to One Embodiment of the Present Application (Mainly FIGS. 23 to 26)
The example described in this section is a modification of the manufacturing method described in Section 3, and is characterized in that a hard mask is used for selective introduction of lanthanum and the like. This is advantageous, for example, for processing a product having a large step, such as SRAM (Static Random Access Memory).

図23は本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例3(Nチャネル閾値調整元素含有膜成膜&ハードマスク方式)を説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネルデバイス領域被覆用ハードマスク膜成膜工程)である。図24は本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例3(Nチャネル閾値調整元素含有膜成膜&ハードマスク方式)を説明するための図1のX−X’断面に対応するデバイス断面図(Pチャネルデバイス領域被覆用ハードマスク膜パターニング工程)である。図25は本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例3(Nチャネル閾値調整元素含有膜成膜&ハードマスク方式)を説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜成膜工程)である。図26は本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例3(Nチャネル閾値調整元素含有膜成膜&ハードマスク方式)を説明するための図1のX−X’断面に対応するデバイス断面図(Nチャネル閾値調整元素含有膜成膜後熱処理工程)である。これらに基づいて、本願の前記一実施の形態の半導体集積回路装置の製造方法の変形例3(Nチャネル閾値調整元素含有膜成膜&ハードマスク方式)を説明する。   FIG. 23 is a cross-sectional view taken along the line XX ′ of FIG. 1 for explaining a third modification of the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N channel threshold adjustment element-containing film formation & hard mask method). FIG. 6 is a device cross-sectional view corresponding to (P channel device region covering hard mask film forming step). 24 is a cross-sectional view taken along the line XX ′ of FIG. 1 for explaining a third modification of the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (film formation with N-channel threshold value adjusting element-containing film and hard mask method). FIG. 4 is a device cross-sectional view corresponding to (P channel device region covering hard mask film patterning step). FIG. 25 is a cross-sectional view taken along the line XX ′ of FIG. 1 for explaining a third modification of the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (deposition of an N-channel threshold adjustment element-containing film and hard mask method). FIG. 6 is a device cross-sectional view corresponding to (N channel threshold value adjusting element-containing film forming step). FIG. 26 is a cross-sectional view taken along the line XX ′ of FIG. 1 for explaining a third modification of the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N channel threshold adjustment element-containing film formation & hard mask method). FIG. 6 is a device cross-sectional view corresponding to (N channel threshold value adjusting element-containing film post-heat treatment step). Based on these, a third modification of the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (N-channel threshold adjustment element-containing film formation & hard mask method) will be described.

図4の状態から、図23に示すように、ウエハ1のデバイス面1a側のほぼ全面に、たとえば、反応性スパッタリング成膜により、キャップ膜又はPチャネルデバイス領域被覆用ハードマスク膜25(例えば、厚さ10nm程度のTiN膜)を成膜する。なお、Pチャネルデバイス領域被覆用ハードマスク膜25としては、TiN膜のほか、TaNを好適なものとして例示することができる。   From the state of FIG. 4, as shown in FIG. 23, the cap film or the hard mask film 25 for covering the P channel device region (for example, by reactive sputtering film formation, for example, over almost the entire surface on the device surface 1 a side of the wafer 1. A TiN film having a thickness of about 10 nm is formed. As the P channel device region covering hard mask film 25, TaN can be exemplified as a suitable material in addition to the TiN film.

次に、図24に示すように、たとえば、通常のリソグラフィ(たとえば、ArFリソグラフィ)により、Pチャネルデバイス領域被覆ハードマスク加工用レジスト膜26(例えば、厚さ300nm程度)をパターニングする。続いて、このパターニングされたPチャネルデバイス領域被覆ハードマスク加工用レジスト膜26をマスクとして、たとえば、ウエットエッチング等により、Nチャネルデバイス領域RnのPチャネルデバイス領域被覆用ハードマスク膜25を除去する。ここで、ウエットエッチング液としては、たとえば、SPM等を好適なものとして例示することができる。その後、不要になったPチャネルデバイス領域被覆ハードマスク加工用レジスト膜26を、たとえばアッシング等により除去する。   Next, as shown in FIG. 24, the P channel device region covering hard mask processing resist film 26 (for example, about 300 nm thick) is patterned by, for example, normal lithography (for example, ArF lithography). Subsequently, by using the patterned P channel device region covering hard mask processing resist film 26 as a mask, the P channel device region covering hard mask film 25 in the N channel device region Rn is removed by, for example, wet etching or the like. Here, as a wet etching liquid, SPM etc. can be illustrated as a suitable thing, for example. Thereafter, the P-channel device region-covering hard mask processing resist film 26 that has become unnecessary is removed by, for example, ashing.

次に、図25に示すように、ウエハ1のデバイス面1a側のほぼ全面に、たとえば、スパッタリング成膜により、Nチャネル閾値調整元素含有膜17(たとえば厚さ1nm程度の酸化ランタン膜)を成膜する。   Next, as shown in FIG. 25, an N-channel threshold adjustment element-containing film 17 (for example, a lanthanum oxide film having a thickness of about 1 nm) is formed on almost the entire surface of the wafer 1 on the device surface 1a side by, for example, sputtering film formation. Film.

次に、図26に示すように、熱処理(たとえば、摂氏850度程度のRTA処理等)を施すことにより、STI領域3(素子分離領域)の表面領域にNチャネル閾値調整元素導入領域3d(Nチャネル閾値調整元素外方拡散防止領域)が形成され、素子分離領域3は、先と同様に、この領域と、もともとのNチャネル閾値調整元素等非導入領域3uに分かれる。   Next, as shown in FIG. 26, by performing a heat treatment (for example, an RTA treatment of about 850 degrees Celsius), an N channel threshold value adjusting element introduction region 3d (N A channel threshold adjustment element outward diffusion prevention region) is formed, and the element isolation region 3 is divided into this region and the original N-channel threshold adjustment element non-introduction region 3u as before.

続いて、先と同様に、たとえばSPMによるウエットエッチング等により、Nチャネル閾値調整元素含有膜17およびPチャネルデバイス領域被覆用ハードマスク膜25を除去する。その後、図6のプロセスに移行する。   Subsequently, in the same manner as described above, the N-channel threshold adjustment element-containing film 17 and the P-channel device region covering hard mask film 25 are removed by wet etching using SPM, for example. Thereafter, the process proceeds to the process of FIG.

このようなプロセスによれば、レジスト膜で直接、Nチャネル閾値調整元素含有膜17をパターニングするのと比較して、パターン精度を改善することができる。   According to such a process, the pattern accuracy can be improved as compared with patterning the N-channel threshold adjustment element-containing film 17 directly with a resist film.

6.本願の前記一実施の形態の半導体集積回路装置のデバイス構造の変形例(窒素ドープSTI構造)の説明(主に図27から図29)
このセクションでは、セクション1で説明したデバイス構造の変形例を説明する。セクション1の例では、Nチャネル閾値調整元素外方拡散防止領域として、Nチャネル閾値調整元素導入領域3d(図1から図3)を使用しているが、このセクションの例では、その代わりに、窒素導入領域3n(図27から図29)を使用している。
6). Description of Modification of Device Structure (Nitrogen-Doped STI Structure) of Semiconductor Integrated Circuit Device of One Embodiment of the Present Application (Mainly FIGS. 27 to 29)
In this section, a variation of the device structure described in Section 1 will be described. In the example of section 1, the N channel threshold adjustment element introduction region 3d (FIGS. 1 to 3) is used as the N channel threshold adjustment element outward diffusion prevention region, but in this section example, instead, The nitrogen introduction region 3n (FIGS. 27 to 29) is used.

図27は本願の前記一実施の形態の半導体集積回路装置のデバイス構造の変形例(窒素ドープSTI構造)等を説明するためのデバイス要部上面図(図1に対応)である。図28は図27のX−X’断面に対応するデバイス断面図である。図29は図27のY−Y’断面に対応するデバイス断面図である。これらに基づいて、本願の前記一実施の形態の半導体集積回路装置のデバイス構造の変形例(窒素ドープSTI構造)を説明する。   FIG. 27 is a top view of a device main part (corresponding to FIG. 1) for explaining a modified example (nitrogen-doped STI structure) of the device structure of the semiconductor integrated circuit device according to the embodiment of the present application. FIG. 28 is a device cross-sectional view corresponding to the X-X ′ cross section of FIG. 27. 29 is a device cross-sectional view corresponding to the Y-Y ′ cross section of FIG. 27. Based on these, a modified example (nitrogen-doped STI structure) of the device structure of the semiconductor integrated circuit device according to the embodiment of the present application will be described.

図27に示すように、半導体チップ2のデバイス面1a上において、CMISを構成するNチャネル型MISFET(Qn)およびPチャネル型MISFET(Qp)のアクティブ領域、すなわち、Nチャネルアクティブ領域4nおよびPチャネルアクティブ領域4pは、それぞれSTI(Shallow Trench Isolation)領域3(素子分離領域)によって囲まれている。この素子分離領域3の表面領域は、Nチャネルアクティブ領域4nの周辺の窒素導入領域3n(Nチャネル閾値調整元素外方拡散防止領域)とPチャネルアクティブ領域4pの周辺のNチャネル閾値調整元素等非導入領域3uに分かれている。Nチャネルアクティブ領域4nとPチャネルアクティブ領域4pの中央部をNチャネルゲートスタック5nおよびPチャネルゲートスタック5pがそれぞれ縦断又は横断している。   As shown in FIG. 27, on the device surface 1a of the semiconductor chip 2, the active regions of the N-channel type MISFET (Qn) and the P-channel type MISFET (Qp) constituting the CMIS, that is, the N-channel active region 4n and the P-channel Each of the active regions 4p is surrounded by an STI (Shallow Trench Isolation) region 3 (element isolation region). The surface region of the element isolation region 3 includes a nitrogen introduction region 3n (N channel threshold adjustment element outward diffusion prevention region) around the N channel active region 4n and an N channel threshold adjustment element around the P channel active region 4p. It is divided into the introduction area 3u. An N-channel gate stack 5n and a P-channel gate stack 5p cross or cross each other at the center of the N-channel active region 4n and the P-channel active region 4p.

次に、図28に示すように、半導体チップ2は、基板部1s(P型単結晶シリコン基板部)と、その上のウエル部に分かれており、ウエル部はNチャネルデバイス領域Rnに対応するN型ウエル領域6nと、Pチャネルデバイス領域Rpに対応するP型ウエル領域6pに分かれている。Nチャネルゲートスタック5nおよびPチャネルゲートスタック5pの表面領域には、それぞれN型ソースドレイン領域20nおよびP型ソースドレイン領域20pが設けられている。Nチャネルゲートスタック5nは、下からIL(Interfacial Layer)すなわち、界面酸化シリコン系絶縁膜7、NチャネルHigh−kゲート絶縁膜8n(High−kゲート絶縁膜8)、メタルゲート電極膜9m、ポリシリコンゲート電極膜9s等から構成されている。一方、Pチャネルゲートスタック5pは、下からILすなわち、界面酸化シリコン系絶縁膜7、PチャネルHigh−kゲート絶縁膜8p(High−kゲート絶縁膜8)、メタルゲート電極膜9m、ポリシリコンゲート電極膜9s等から構成されている。   Next, as shown in FIG. 28, the semiconductor chip 2 is divided into a substrate portion 1s (P-type single crystal silicon substrate portion) and a well portion thereon, and the well portion corresponds to the N channel device region Rn. It is divided into an N-type well region 6n and a P-type well region 6p corresponding to the P-channel device region Rp. An N-type source / drain region 20n and a P-type source / drain region 20p are provided in the surface regions of the N-channel gate stack 5n and the P-channel gate stack 5p, respectively. The N-channel gate stack 5n includes, from below, an IL (Interfacial Layer), that is, an interfacial oxide insulating film 7, an N-channel High-k gate insulating film 8n (High-k gate insulating film 8), a metal gate electrode film 9m, a poly It is composed of a silicon gate electrode film 9s and the like. On the other hand, the P-channel gate stack 5p includes an IL, that is, an interfacial silicon oxide insulating film 7, a P-channel High-k gate insulating film 8p (High-k gate insulating film 8), a metal gate electrode film 9m, a polysilicon gate from the bottom. The electrode film is composed of 9s and the like.

次に、図29に示すように、Nチャネルゲートスタック5n下又はその周辺のSTI領域3(素子分離領域)の表面には、窒素導入領域3nが設けられている。一方、Pチャネルゲートスタック5p下又はその周辺のSTI領域3(素子分離領域)の表面には、窒素導入領域3nが設けられていない。これは、Pチャネル型MISFET(Qp)の閾値電圧制御に悪影響を与えるおそれがあるからである。   Next, as shown in FIG. 29, a nitrogen introduction region 3n is provided on the surface of the STI region 3 (element isolation region) below or around the N-channel gate stack 5n. On the other hand, the nitrogen introduction region 3n is not provided on the surface of the STI region 3 (element isolation region) below or around the P channel gate stack 5p. This is because the threshold voltage control of the P-channel type MISFET (Qp) may be adversely affected.

なお、図27に示すように、窒素導入領域3nは、通常、製造のしやすさから、Nチャネルデバイス領域Rnに対応するSTI領域3(素子分離領域)の表面領域のほぼ全体に形成するが、Nチャネルゲートスタック5n下又はその周辺のSTI領域3(素子分離領域)の表面領域のみに形成するようにしてもよい。   As shown in FIG. 27, the nitrogen-introduced region 3n is usually formed over almost the entire surface region of the STI region 3 (element isolation region) corresponding to the N-channel device region Rn for ease of manufacturing. Alternatively, it may be formed only on the surface region of the STI region 3 (element isolation region) below or around the N-channel gate stack 5n.

このように、Nチャネル閾値調整元素外方拡散防止領域として、窒素導入領域3nを用いるメリットは、Nチャネル閾値調整元素導入領域3dと比較して、STIのエッチング特性に対する影響が小さい外、Pチャネルデバイス領域Rpへの影響が小さい等がある。一方、熱処理等で抜けやすいので、Nチャネル閾値調整元素導入領域3dの方が効果が大きい。   As described above, the merit of using the nitrogen introduction region 3n as the N channel threshold adjustment element outward diffusion prevention region is less affected by the etching characteristics of the STI than the N channel threshold adjustment element introduction region 3d. The influence on the device region Rp is small. On the other hand, the N-channel threshold adjustment element introduction region 3d is more effective because it can be easily removed by heat treatment or the like.

7.本願の前記一実施の形態の半導体集積回路装置のデバイス構造の変形例に対応する半導体集積回路装置の製造方法における要部プロセスの説明(主に図30および図31)
このセクションでは、セクション6で説明したデバイス構造を実現するための製造方法の一例を説明する。
7). Description of principal processes in a method of manufacturing a semiconductor integrated circuit device corresponding to a modification of the device structure of the semiconductor integrated circuit device of the one embodiment of the present application (mainly FIGS. 30 and 31)
In this section, an example of a manufacturing method for realizing the device structure described in Section 6 will be described.

図30は本願の前記一実施の形態の半導体集積回路装置のデバイス構造の変形例(窒素ドープSTI構造)に対応する製造方法(窒素イオン注入方式)における要部プロセスを説明するための図27のX−X’断面に対応するデバイス断面図(窒素イオン注入工程)である。図31は本願の前記一実施の形態の半導体集積回路装置のデバイス構造の変形例(窒素ドープSTI構造)に対応する製造方法(窒素イオン注入方式)における要部プロセスを説明するための図27のX−X’断面に対応するデバイス断面図(STI形成用窒化シリコン膜等除去工程)である。これらに基づいて、本願の前記一実施の形態の半導体集積回路装置のデバイス構造の変形例に対応する半導体集積回路装置の製造方法における要部プロセスを説明する。   FIG. 30 is a diagram illustrating a main process in a manufacturing method (nitrogen ion implantation method) corresponding to a modified example (nitrogen-doped STI structure) of the device structure of the semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing (nitrogen ion implantation process) corresponding to a XX 'section. FIG. 31 is a diagram illustrating a main process in a manufacturing method (nitrogen ion implantation method) corresponding to a modification (nitrogen-doped STI structure) of the device structure of the semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing (STI formation silicon nitride film etc. removal process) corresponding to a XX 'section. Based on these, the main process in the manufacturing method of the semiconductor integrated circuit device corresponding to the modification of the device structure of the semiconductor integrated circuit device of the one embodiment of the present application will be described.

図4の状態から、図30に示すように、通常のリソグラフィ(たとえば、ArFリソグラフィ)により、窒素導入用レジスト膜19(例えば、厚さ300nm程度)をパターニングする。パターニングされた窒素導入用レジスト膜19をイオン注入マスクとして、Nチャネルデバイス領域Rnに対応するSTI領域3の表面に、窒素をイオン注入することにより、窒素導入領域3n(Nチャネル閾値調整元素外方拡散防止領域)を形成する。ここで、イオン注入条件としては、たとえば、イオン種:窒素、打ち込みエネルギー:15KeV程度、ドーズ量:5x1014/cm等(範囲としては、たとえば打ち込みエネルギー:5から30KeV程度、ドーズ量:5x1013/cmから5x1015/cm等)を好適なものとして例示することができる。このように、STI形成用窒化シリコン膜11およびパッド酸化シリコン膜12がある状態で、窒素等のイオン注入を実行するので、Nチャネルアクティブ領域4nへの不所望な窒素等の導入を防止することができるメリットがある。From the state of FIG. 4, as shown in FIG. 30, the nitrogen-introducing resist film 19 (for example, about 300 nm thick) is patterned by normal lithography (for example, ArF lithography). Using the patterned nitrogen-introducing resist film 19 as an ion implantation mask, nitrogen is ion-implanted into the surface of the STI region 3 corresponding to the N-channel device region Rn, so that the nitrogen-introducing region 3n (N channel threshold adjusting element outward) A diffusion prevention region) is formed. Here, as ion implantation conditions, for example, ion species: nitrogen, implantation energy: about 15 KeV, dose amount: 5 × 10 14 / cm 2 or the like (for example, implantation energy: about 5 to 30 KeV, dose amount: 5 × 10 13 / Cm 2 to 5 × 10 15 / cm 2 etc.) can be exemplified as a suitable one. As described above, since ion implantation of nitrogen or the like is performed in the state where the silicon nitride film 11 for forming the STI and the pad silicon oxide film 12 are present, it is possible to prevent undesired introduction of nitrogen or the like into the N channel active region 4n. There is a merit that can be.

その後、不要になった窒素導入用レジスト膜19をたとえばアッシング等により除去する。続いて、例えば、熱燐酸等により、STI形成用窒化シリコン膜11を除去し、たとえば、弗酸系エッチング液を用いて、ウエハ1のデバイス面1aをエッチバックすることにより、パッド酸化シリコン膜12等を除去すると、図31のようになる。   Thereafter, the unnecessary nitrogen-introducing resist film 19 is removed by, for example, ashing. Subsequently, the silicon nitride film 11 for forming the STI is removed by, for example, hot phosphoric acid, and the device surface 1a of the wafer 1 is etched back by using, for example, a hydrofluoric acid-based etchant, whereby the pad silicon oxide film 12 is obtained. When these are removed, the result is as shown in FIG.

8.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
8). Summary The invention made by the present inventor has been specifically described based on the embodiments. However, the present invention is not limited thereto, and it goes without saying that various changes can be made without departing from the scope of the invention.

例えば、前記実施の形態では、前記実施の形態では、主にゲートファースト(Gate First)方式を例に取り具体的に説明したが、本発明はそれに限定されるものではなく、ゲートラスト(Gate Last)方式にも適用できることは言うまでもない。   For example, in the above-described embodiment, the gate-first (Gate First) method has been mainly described as an example in the above-described embodiment, but the present invention is not limited thereto, and the gate-last (Gate Last) is described. It goes without saying that it can also be applied to the method.

本発明は、半導体集積回路装置および半導体集積回路装置(または半導体装置)の製造方法における閾値電圧制御技術に広く適用することができる。   The present invention can be widely applied to a threshold voltage control technique in a semiconductor integrated circuit device and a method for manufacturing a semiconductor integrated circuit device (or a semiconductor device).

1 半導体ウエハ
1a (ウエハ又はチップの)表側主面(デバイス面)
1b (ウエハ又はチップの)裏側主面
1s (ウエハ又はチップの)基板部(P型単結晶シリコン基板部)
2 半導体チップ
3 STI領域(素子分離領域)
3d Nチャネル閾値調整元素導入領域(Nチャネル閾値調整元素外方拡散防止領域)
3n 窒素導入領域(Nチャネル閾値調整元素外方拡散防止領域)
3u Nチャネル閾値調整元素等非導入領域
4n Nチャネルアクティブ領域
4p Pチャネルアクティブ領域
5n Nチャネルゲートスタック
5p Pチャネルゲートスタック
6n N型ウエル領域
6p P型ウエル領域
7 IL(界面酸化シリコン系絶縁膜)
8 High−kゲート絶縁膜(酸化ハフニウム系High−kゲート絶縁膜)
8n NチャネルHigh−kゲート絶縁膜(ランタン添加酸化ハフニウム系High−kゲート絶縁膜)
8p PチャネルHigh−kゲート絶縁膜(アルミニウム添加酸化ハフニウム系High−kゲート絶縁膜)
9m メタルゲート電極膜(TiN膜)
9s ポリシリコンゲート電極膜
10n Nチャネル閾値調整膜(酸化ランタン膜)
10p Pチャネル閾値調整膜(アルミナ膜)
11 STI形成用窒化シリコン膜
12 パッド酸化シリコン膜
14 Nチャネル閾値調整元素導入用レジスト膜
15 Pチャネル閾値調整膜加工用ハードマスク膜(TiN膜)
16 Pチャネル閾値調整膜加工用ハードマスク用レジスト膜
17 Nチャネル閾値調整元素含有膜(酸化ランタン膜)
17s Nチャネル閾値調整元素含有膜サイドウォール
18 Nチャネル閾値調整元素含有膜加工用レジスト膜
19 窒素導入用レジスト膜
20n N型ソースドレイン領域
20p P型ソースドレイン領域
25 Pチャネルデバイス領域被覆用ハードマスク膜(TiN膜)
26 Pチャネルデバイス領域被覆ハードマスク加工用レジスト膜
Qn Nチャネル型MISFET
Qp Pチャネル型MISFET
Rn Nチャネルデバイス領域
Rp Pチャネルデバイス領域
1 Semiconductor Wafer 1a (Wafer or Chip) Front Side Main Surface (Device Surface)
1b Back side main surface (of wafer or chip) 1s Substrate part (of wafer or chip) (P-type single crystal silicon substrate part)
2 Semiconductor chip 3 STI region (element isolation region)
3d N-channel threshold adjustment element introduction region (N-channel threshold adjustment element outward diffusion prevention region)
3n Nitrogen introduction region (N channel threshold adjustment element outward diffusion prevention region)
3u N-channel threshold adjustment element non-introduced region 4n N-channel active region 4p P-channel active region 5n N-channel gate stack 5p P-channel gate stack 6n N-type well region 6p P-type well region 7 IL (interfacial silicon oxide insulating film)
8 High-k gate insulating film (hafnium oxide High-k gate insulating film)
8n N-channel high-k gate insulating film (lanthanum-doped hafnium oxide-based high-k gate insulating film)
8p P-channel high-k gate insulating film (aluminum-added hafnium oxide-based high-k gate insulating film)
9m Metal gate electrode film (TiN film)
9s Polysilicon gate electrode film 10n N channel threshold adjustment film (lanthanum oxide film)
10p P channel threshold adjustment film (alumina film)
DESCRIPTION OF SYMBOLS 11 Silicon nitride film for STI formation 12 Pad silicon oxide film 14 Resist film for introducing N channel threshold adjustment element 15 Hard mask film (TiN film) for processing P channel threshold adjustment film
16 Hard channel resist film for processing P channel threshold adjustment film 17 N channel threshold adjustment element containing film (lanthanum oxide film)
17s N-channel threshold adjustment element-containing film side wall 18 N-channel threshold adjustment element-containing film processing resist film 19 Nitrogen introduction resist film 20n N-type source / drain region 20p P-type source / drain region 25 P-channel device region covering hard mask film (TiN film)
26 P channel device region covering hard mask resist film Qn N channel MISFET
Qp P channel type MISFET
Rn N channel device region Rp P channel device region

Claims (4)

以下の工程を含む半導体集積回路装置の製造方法:
(a)半導体ウエハの第1の主面の表面に素子分離領域を形成することにより、前記半導体基板の前記第1の主面の前記表面に、Nチャネルアクティブ領域およびPチャネルアクティブ領域を区画する工程;
(b)前記半導体基板の前記第1の主面上に、前記Nチャネルアクティブ領域を横断し、Nチャネル型MISFETを構成するNチャネルゲートスタックを形成する工程;
(c)前記半導体基板の前記第1の主面上に、前記Pチャネルアクティブ領域を横断し、Pチャネル型MISFETを構成するPチャネルゲートスタックを形成する工程;
(d)前記工程(b)および(c)の前であって、前記工程(a)の後に、前記Nチャネルゲートスタック下およびその周辺の前記素子分離領域の表面領域に、Nチャネル閾値調整元素外方拡散防止領域を形成する工程、
ここで、前記Nチャネル閾値調整元素外方拡散防止領域の形成は、Nチャネル閾値調整元素をイオン注入することによって行われる
A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) By forming an element isolation region on the surface of the first main surface of the semiconductor wafer, an N channel active region and a P channel active region are partitioned on the surface of the first main surface of the semiconductor substrate. Process;
(B) forming an N-channel gate stack that forms an N-channel MISFET across the N-channel active region on the first main surface of the semiconductor substrate;
(C) forming a P-channel gate stack that forms a P-channel MISFET across the P-channel active region on the first main surface of the semiconductor substrate;
(D) Before the steps (b) and (c) and after the step (a), an N channel threshold adjustment element is formed in the surface region of the element isolation region below and around the N channel gate stack. Forming an outward diffusion prevention region,
Here, the formation of the N channel threshold adjustment element outward diffusion prevention region is performed by ion implantation of the N channel threshold adjustment element .
請求項1に記載の半導体集積回路装置の製造方法において、前記Nチャネル閾値調整元素外方拡散防止領域の形成は、前記Pチャネルゲートスタック下およびその周辺の前記素子分離領域の表面領域には、前記Nチャネル閾値調整元素外方拡散防止領域が形成されないように行われる 2. The method of manufacturing a semiconductor integrated circuit device according to claim 1, wherein the N channel threshold adjustment element outward diffusion prevention region is formed under a surface region of the element isolation region under and around the P channel gate stack. The N channel threshold value adjusting element outward diffusion preventing region is not formed . 請求項2に記載の半導体集積回路装置の製造方法において、前記Nチャネル閾値調整元素は、La,Y,MgまたはScである 3. The method of manufacturing a semiconductor integrated circuit device according to claim 2, wherein the N channel threshold value adjusting element is La, Y, Mg, or Sc . 以下の工程を含む半導体集積回路装置の製造方法:
(a)半導体ウエハの第1の主面の表面に素子分離領域を形成することにより、前記半導体基板の前記第1の主面の前記表面に、Nチャネルアクティブ領域およびPチャネルアクティブ領域を区画する工程;
(b)前記半導体基板の前記第1の主面上に、前記Nチャネルアクティブ領域を横断し、Nチャネル型MISFETを構成するNチャネルゲートスタックを形成する工程;
(c)前記半導体基板の前記第1の主面上に、前記Pチャネルアクティブ領域を横断し、Pチャネル型MISFETを構成するPチャネルゲートスタックを形成する工程;
(d)前記工程(b)および(c)の前であって、前記工程(a)の後に、前記Nチャネルゲートスタック下およびその周辺の前記素子分離領域の表面領域に、Nチャネル閾値調整元素外方拡散防止領域を形成する工程、
ここで、前記Nチャネル閾値調整元素外方拡散防止領域の形成は、前記Nチャネル閾値調整元素外方拡散防止領域となるべき部分の前記素子分離領域の表面に、Nチャネル閾値調整元素または、その酸化物を成膜し、熱処理することによって行われる
A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) By forming an element isolation region on the surface of the first main surface of the semiconductor wafer, an N channel active region and a P channel active region are partitioned on the surface of the first main surface of the semiconductor substrate. Process;
(B) forming an N-channel gate stack that forms an N-channel MISFET across the N-channel active region on the first main surface of the semiconductor substrate;
(C) forming a P-channel gate stack that forms a P-channel MISFET across the P-channel active region on the first main surface of the semiconductor substrate;
(D) Before the steps (b) and (c) and after the step (a), an N channel threshold adjustment element is formed in the surface region of the element isolation region below and around the N channel gate stack. Forming an outward diffusion prevention region,
Here, the N channel threshold adjustment element outward diffusion prevention region is formed on the surface of the element isolation region of the portion to be the N channel threshold adjustment element outward diffusion prevention region, An oxide film is formed and heat-treated .
JP2013509894A 2011-04-14 2012-04-09 Manufacturing method of semiconductor integrated circuit device Expired - Fee Related JP5684371B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2013509894A JP5684371B2 (en) 2011-04-14 2012-04-09 Manufacturing method of semiconductor integrated circuit device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2011089693 2011-04-14
JP2011089693 2011-04-14
PCT/JP2012/059642 WO2012141122A1 (en) 2011-04-14 2012-04-09 Semiconductor integrated circuit device and method for manufacturing semiconductor integrated circuit device
JP2013509894A JP5684371B2 (en) 2011-04-14 2012-04-09 Manufacturing method of semiconductor integrated circuit device

Publications (2)

Publication Number Publication Date
JPWO2012141122A1 JPWO2012141122A1 (en) 2014-07-28
JP5684371B2 true JP5684371B2 (en) 2015-03-11

Family

ID=47009295

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013509894A Expired - Fee Related JP5684371B2 (en) 2011-04-14 2012-04-09 Manufacturing method of semiconductor integrated circuit device

Country Status (3)

Country Link
US (1) US9287259B2 (en)
JP (1) JP5684371B2 (en)
WO (1) WO2012141122A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140103452A1 (en) * 2012-10-15 2014-04-17 Marvell World Trade Ltd. Isolation components for transistors formed on fin features of semiconductor substrates
KR102532497B1 (en) 2016-09-19 2023-05-17 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10340343B2 (en) 2017-10-31 2019-07-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US11222782B2 (en) 2020-01-17 2022-01-11 Microchip Technology Inc. Self-aligned implants for silicon carbide (SiC) technologies and fabrication method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005333060A (en) * 2004-05-21 2005-12-02 Seiko Epson Corp Semiconductor device and method for manufacturing the same
JP2008235351A (en) * 2007-03-16 2008-10-02 Seiko Epson Corp Semiconductor device and manufacturing process of the same
JP2009194352A (en) * 2008-01-17 2009-08-27 Toshiba Corp Semiconductor device fabrication method
JP2010205917A (en) * 2009-03-03 2010-09-16 Panasonic Corp Semiconductor device
JP2011009373A (en) * 2009-06-24 2011-01-13 Renesas Electronics Corp Method of manufacturing semiconductor device, and semiconductor device
JP2012038800A (en) * 2010-08-04 2012-02-23 Panasonic Corp Semiconductor device and manufacturing method thereof

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000082808A (en) * 1998-09-04 2000-03-21 Toshiba Corp Semiconductor device and manufacture thereof
JP2003017555A (en) * 2001-06-29 2003-01-17 Hitachi Ltd Semiconductor integrated circuit device and method of manufacturing same
JP2003179157A (en) * 2001-12-10 2003-06-27 Nec Corp Mos semiconductor device
US6734082B2 (en) * 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US20040164373A1 (en) * 2003-02-25 2004-08-26 Koester Steven John Shallow trench isolation structure for strained Si on SiGe
US7514328B2 (en) * 2003-06-26 2009-04-07 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (STI) regions with a superlattice therebetween
US20060267130A1 (en) * 2003-06-26 2006-11-30 Rj Mears, Llc Semiconductor Device Including Shallow Trench Isolation (STI) Regions with a Superlattice Therebetween
US7812339B2 (en) * 2007-04-23 2010-10-12 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (STI) regions with maskless superlattice deposition following STI formation and related structures
US7615840B2 (en) * 2007-06-21 2009-11-10 Infineon Technologies Ag Device performance improvement using flowfill as material for isolation structures
US8216913B2 (en) * 2007-12-24 2012-07-10 Texas Instruments Incorporated Strain modulation in active areas by controlled incorporation of nitrogen at si-SiO2 interface
US8034678B2 (en) * 2008-01-17 2011-10-11 Kabushiki Kaisha Toshiba Complementary metal oxide semiconductor device fabrication method
US8222093B2 (en) * 2010-02-17 2012-07-17 GlobalFoundries, Inc. Methods for forming barrier regions within regions of insulating material resulting in outgassing paths from the insulating material and related devices
US9543188B2 (en) * 2010-11-09 2017-01-10 Institute Of Microelectonics, Chinese Academy Of Sciences Isolation structure, method for manufacturing the same, and semiconductor device having the structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005333060A (en) * 2004-05-21 2005-12-02 Seiko Epson Corp Semiconductor device and method for manufacturing the same
JP2008235351A (en) * 2007-03-16 2008-10-02 Seiko Epson Corp Semiconductor device and manufacturing process of the same
JP2009194352A (en) * 2008-01-17 2009-08-27 Toshiba Corp Semiconductor device fabrication method
JP2010205917A (en) * 2009-03-03 2010-09-16 Panasonic Corp Semiconductor device
JP2011009373A (en) * 2009-06-24 2011-01-13 Renesas Electronics Corp Method of manufacturing semiconductor device, and semiconductor device
JP2012038800A (en) * 2010-08-04 2012-02-23 Panasonic Corp Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
JPWO2012141122A1 (en) 2014-07-28
WO2012141122A1 (en) 2012-10-18
US20140035055A1 (en) 2014-02-06
US9287259B2 (en) 2016-03-15

Similar Documents

Publication Publication Date Title
JP5661445B2 (en) Semiconductor integrated circuit device and manufacturing method thereof
US9111906B2 (en) Method for fabricating semiconductor device having spacer elements
US10134731B2 (en) Dielectric liner added after contact etch before silicide formation
US8652955B2 (en) Manufacturing method of semiconductor integrated circuit device
US8372706B2 (en) Semiconductor device fabrication method including hard mask and sacrificial spacer elements
KR20180062450A (en) Semiconductor structure and manufacturing method thereof
US8536005B2 (en) Semiconductor integrated circuit device and manufacturing method thereof
US20120252180A1 (en) Manufacturing method of semiconductor integrated circuit device
US9496367B2 (en) Mechanism for forming metal gate structure
JP5684371B2 (en) Manufacturing method of semiconductor integrated circuit device
US8513742B2 (en) Method for manufacturing contact and semiconductor device having said contact
TW202211373A (en) Semiconductor structures and methods for forming the same
JP2012199360A (en) Semiconductor integrated circuit device manufacturing method
JP2012129291A (en) Semiconductor integrated circuit device
JP2010272598A (en) Semiconductor device, and method for manufacturing the same
JP2012209332A (en) Method of manufacturing semiconductor integrated circuit device
US20120184082A1 (en) Manufacturing method of semiconductor device
TW202326935A (en) Method of manufacturing semiconductor devices and semiconductor devices
JP2010272597A (en) Semiconductor device, and method for manufacturing the same
JP2012199361A (en) Semiconductor integrated circuit device manufacturing method
JP2012256659A (en) Method of manufacturing semiconductor integrated circuit device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140909

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150114

R150 Certificate of patent or registration of utility model

Ref document number: 5684371

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees