JP2009194352A - Semiconductor device fabrication method - Google Patents

Semiconductor device fabrication method Download PDF

Info

Publication number
JP2009194352A
JP2009194352A JP2008114176A JP2008114176A JP2009194352A JP 2009194352 A JP2009194352 A JP 2009194352A JP 2008114176 A JP2008114176 A JP 2008114176A JP 2008114176 A JP2008114176 A JP 2008114176A JP 2009194352 A JP2009194352 A JP 2009194352A
Authority
JP
Japan
Prior art keywords
film
gate insulating
type semiconductor
semiconductor region
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008114176A
Other languages
Japanese (ja)
Inventor
Takuya Kobayashi
琢也 小林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2008114176A priority Critical patent/JP2009194352A/en
Priority to US12/355,318 priority patent/US8034678B2/en
Publication of JP2009194352A publication Critical patent/JP2009194352A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To simplify a fabrication method of a metal gate CMOS using a high-dielectric gate insulating film and a metal gate electrode. <P>SOLUTION: A silicon film 7 is formed on a high-dielectric gate insulating film 6, and only a silicon film 7 of PMOS region is nitrided to substitute an SiN film 9. After La(O) film 11 as a cap film and a W film 12 of a metal electrode are formed on a silicon film 7 on an NMOS region and on a SiN film 9 on a PMOS region; and then heat treated to diffuse La elements of the La(O) film 11 into high-dielectric gate insulating film in NMOS region. Here, in the PMOS region, diffusion of the La elemensts is blocked by the SiN film 9. As a result, the NMOSFET and the PMOSFET are formed to be readily separated. Furthermore, if it the high-dielectric gate insulating film 6 tends to be nitrided, the silicon film 7 is discarded, and only the high-dielectric gate insulating film 6 in the PMOS region may be selectively nitrided by nitriding treatment. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、半導体装置、特にCMOS(Complementary Metal Oxide Semiconductor)トランジスタとその製造方法に関する。   The present invention relates to a semiconductor device, in particular, a CMOS (Complementary Metal Oxide Semiconductor) transistor and a manufacturing method thereof.

近年の大規模集積回路の微細化に伴ってCMOSトランジスタにおいてもゲート絶縁膜の薄膜化が要求されている。しかし、ゲート絶縁膜の薄膜化が進むとゲート電極にポリシリコン膜を使用した場合には、ゲート電極の空乏化が無視出来なくなる。そのため、近年、ゲート電極にメタル電極を用いることが検討されており、NMOSトランジスタとPMOSトランジスタの両方において、低いしきい値電圧を実現するために、互いに異なる仕事関数を有する材料を使用してゲート電極を形成する、いわゆるデュアルゲート化が検討されている。   With the recent miniaturization of large-scale integrated circuits, thinning of the gate insulating film is also required for CMOS transistors. However, when the gate insulating film is made thinner, depletion of the gate electrode cannot be ignored when a polysilicon film is used for the gate electrode. Therefore, in recent years, it has been studied to use a metal electrode as the gate electrode. In order to realize a low threshold voltage in both the NMOS transistor and the PMOS transistor, gates using materials having different work functions are used. So-called dual gate formation for forming electrodes has been studied.

また、ゲート絶縁膜として、Hf酸化物等の高誘電率絶縁膜を使用することによって物理的な膜厚を厚くしてリーク電流を抑制しつつ、電気的薄膜化を進めていくという提案もなされている。しかし、ゲート絶縁膜に高誘電率絶縁膜を用いても、ゲート電極にポリシリコンを用いた場合、ポリシリコンゲート電極が空乏化してしまい、電気的膜厚が上昇するという問題がある。そこで、高誘電率ゲート絶縁膜とメタルゲートの組み合わせて用いることが試みられている。   In addition, a proposal has been made to promote electrical thinning while using a high dielectric constant insulating film such as Hf oxide as a gate insulating film to increase the physical film thickness and suppress leakage current. ing. However, even when a high dielectric constant insulating film is used for the gate insulating film, when polysilicon is used for the gate electrode, there is a problem that the polysilicon gate electrode is depleted and the electrical film thickness increases. Therefore, attempts have been made to use a combination of a high dielectric constant gate insulating film and a metal gate.

次世代MOSFETの高誘電率ゲート絶縁膜として、ハフニウム(Hf)又はジルコニウム(Zr)を含む絶縁膜が有望視されているが、例えば、高誘電率ゲート絶縁膜としてハフニウム珪酸窒化膜(HfSiON)膜を用いたCMOSトランジスタにおいては、ピニングと呼ばれる現象のため、仕事関数の異なる材料を使用しても、フラットバンド電圧(Vfb)をバンドエッジに調整できずミッドギャップ近傍になってしまい、低いしきい値電圧を実現できないという問題がある。そこで、NMOSFETのVfbをバンドエッジに持っていくために、ランタノイドをはじめとするキャップ膜を使うことが有効とされている(例えば、特許文献1参照)。しかし、NMOS領域とPMOS領域でキャップ膜を作り分けるには、成膜とパターニング、エッチング等の工程を複数回繰り返す等、複雑かつ多数の工程が要求されてしまうという問題があった。
特開2002−270821
An insulating film containing hafnium (Hf) or zirconium (Zr) is promising as a high dielectric constant gate insulating film of a next-generation MOSFET. For example, a hafnium silicate nitride film (HfSiON) film is used as a high dielectric constant gate insulating film. In a CMOS transistor using a TFT, because of a phenomenon called pinning, even if materials having different work functions are used, the flat band voltage (Vfb) cannot be adjusted to the band edge and becomes near the mid gap, resulting in a low threshold. There is a problem that the value voltage cannot be realized. Therefore, in order to bring Vfb of the NMOSFET to the band edge, it is effective to use a cap film such as a lanthanoid (see, for example, Patent Document 1). However, in order to create a cap film separately in the NMOS region and the PMOS region, there has been a problem that complicated and many steps are required, such as repeating steps such as film formation, patterning, and etching a plurality of times.
JP 2002-270821

本発明は、上記の問題を鑑みなされたもので製造工程の簡略化が可能な高誘電率ゲート絶縁膜とメタルゲート電極を用いたメタルゲートCMOSの製造方法を提供することを目的とする。 The present invention has been made in view of the above problems, and an object of the present invention is to provide a method for manufacturing a metal gate CMOS using a high dielectric constant gate insulating film and a metal gate electrode that can simplify the manufacturing process.

上記目的を達成するために、本発明の一態様による半導体装置の製造方法は、基板の主面にN型半導体領域及びP型半導体領域を形成する工程と、前記N型半導体領域及びP型半導体領域上に高誘電率ゲート絶縁膜を形成する工程と、前記高誘電率ゲート絶縁膜上にシリコン膜を形成する工程と、前記N型半導体領域上の前記シリコン膜を窒化して窒化シリコン膜に置換する工程と、前記P型半導体領域の前記シリコン膜上及び前記N型半導体領域の前記窒化シリコン膜上にキャップ膜を介してメタルゲート電極を形成する工程と、前記キャップ膜の構成元素を前記N型半導体領域の前記高誘電率ゲート絶縁膜中へ導入する加熱工程とを備えることを特徴とする。   In order to achieve the above object, a method for manufacturing a semiconductor device according to an aspect of the present invention includes a step of forming an N-type semiconductor region and a P-type semiconductor region on a main surface of a substrate, and the N-type semiconductor region and the P-type semiconductor. Forming a high dielectric constant gate insulating film on the region; forming a silicon film on the high dielectric constant gate insulating film; and nitriding the silicon film on the N-type semiconductor region to form a silicon nitride film A step of replacing, a step of forming a metal gate electrode on the silicon film of the P-type semiconductor region and the silicon nitride film of the N-type semiconductor region via a cap film, and the constituent elements of the cap film And a heating step of introducing into the high dielectric constant gate insulating film in the N-type semiconductor region.

また、本発明の別態様による半導体装置の製造方法は、基板の主面にN型半導体領域及びP型半導体領域を形成する工程と、前記N型半導体領域及びP型半導体領域上に高誘電率ゲート絶縁膜を形成する工程と、前記N型半導体領域上の前記高誘電率ゲート絶縁膜を窒化して窒化高誘電率ゲート絶縁膜に置換する工程と、前記P型半導体領域の前記高誘電率ゲート絶縁膜上及び前記N型半導体領域の前記窒化高誘電率ゲート絶縁膜上にキャップ膜を介してメタルゲート電極を形成する工程と、前記キャップ膜の構成元素を前記P型半導体領域の前記高誘電率ゲート絶縁膜中へ導入する加熱工程とを備えることを特徴とする。   According to another aspect of the present invention, there is provided a method of manufacturing a semiconductor device comprising: forming an N-type semiconductor region and a P-type semiconductor region on a main surface of a substrate; and a high dielectric constant on the N-type semiconductor region and the P-type semiconductor region. Forming a gate insulating film; nitriding the high dielectric constant gate insulating film on the N-type semiconductor region and replacing it with a nitrided high dielectric constant gate insulating film; and the high dielectric constant of the P-type semiconductor region Forming a metal gate electrode on the gate insulating film and on the nitrided high dielectric constant gate insulating film of the N-type semiconductor region through a cap film; and forming a constituent element of the cap film in the high-level of the P-type semiconductor region And a heating step for introducing the dielectric constant into the gate insulating film.

さらに、本発明の別態様による半導体装置の製造方法は、基板の主面にN型半導体領域及びP型半導体領域を形成する工程と、前記N型半導体領域及びP型半導体領域上に高誘電率ゲート絶縁膜を形成する工程と、前記N型半導体領域上の前記高誘電率ゲート絶縁膜上にシリコン窒化膜を形成する工程と、前記P型半導体領域の前記高誘電率ゲート絶縁膜上及び前記N型半導体領域の前記シリコン窒化膜上にキャップ膜を介してメタルゲート電極を形成する工程と、前記キャップ膜の構成元素を前記P型半導体領域の前記高誘電率ゲート絶縁膜中へ導入する加熱工程と、を備えることを特徴とする   Furthermore, a method for manufacturing a semiconductor device according to another aspect of the present invention includes a step of forming an N-type semiconductor region and a P-type semiconductor region on a main surface of a substrate, and a high dielectric constant on the N-type semiconductor region and the P-type semiconductor region. Forming a gate insulating film; forming a silicon nitride film on the high dielectric constant gate insulating film on the N-type semiconductor region; on the high dielectric constant gate insulating film in the P-type semiconductor region; and Forming a metal gate electrode on the silicon nitride film in the N-type semiconductor region via a cap film, and heating for introducing the constituent elements of the cap film into the high-dielectric-constant gate insulating film in the P-type semiconductor region And a process.

本発明によれば、高誘電率ゲート絶縁膜とメタルゲート電極を用いたメタルゲートCMOSの製造方法を簡略化することができる。   According to the present invention, a metal gate CMOS manufacturing method using a high dielectric constant gate insulating film and a metal gate electrode can be simplified.

以下、本発明の実施形態について図面を参照しながら説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

(第1の実施形態)
図1及び図2は本発明の第1の実施形態に係るCMOSFETの製造工程を示す断面図である。
(First embodiment)
1 and 2 are cross-sectional views showing a manufacturing process of the CMOSFET according to the first embodiment of the present invention.

はじめに、図1(a)に示すように、シリコン(Si)基板1の主面上に既知の方法でPMOSFETの形成領域(以下、単にPMOS領域と称す)及びNMOSFETの形成領域(以下、単にNMOS領域と称す)を区画するためのSTI(Shallow Trench Isolation)構造の素子分離領域2を形成する。この素子分離領域2は、例えば、以下の方法を用いて形成される。まず、シリコン基板1の主面上にバッファ膜を介してマスクとなるシリコン窒化膜を堆積させる。次に、レジストによるパターン転写法を用いてシリコン窒化膜、及びバッファ膜をパターニングしてマスクを形成し、このマスクを用いてシリコン基板1を所定の深さまでエッチングしてトレンチを形成する。次に、レジストを除去した後、シリコン基板1の主面全面にシリコン酸化膜を堆積した後、CMP(Chemical Mechanical Polishing)等で平坦化するとともにトレンチ内にシリコン酸化膜を埋め込んだ後、マスクとして用いたシリコン窒化膜及びバッファ膜を除去することにより素子分離領域2を形成する。   First, as shown in FIG. 1A, a PMOSFET formation region (hereinafter simply referred to as a PMOS region) and an NMOSFET formation region (hereinafter simply referred to as an NMOS) are formed on a main surface of a silicon (Si) substrate 1 by a known method. An element isolation region 2 having an STI (Shallow Trench Isolation) structure for defining a region is formed. The element isolation region 2 is formed using, for example, the following method. First, a silicon nitride film serving as a mask is deposited on the main surface of the silicon substrate 1 via a buffer film. Next, the silicon nitride film and the buffer film are patterned using a resist pattern transfer method to form a mask, and the silicon substrate 1 is etched to a predetermined depth using this mask to form a trench. Next, after removing the resist, a silicon oxide film is deposited on the entire main surface of the silicon substrate 1, and then planarized by CMP (Chemical Mechanical Polishing) or the like, and the silicon oxide film is buried in the trench, and then used as a mask. The element isolation region 2 is formed by removing the used silicon nitride film and buffer film.

その後、既知の方法によってNMOS領域であるPウェル拡散層3及びPMOS領域であるNウェル拡散層4を形成する。次に、図1(b)に示すように、酸素雰囲気中における加熱処理等によりシリコン基板1の主面を酸化させ、PMOS領域及びNMOS領域にシリコン酸化(SiO)膜5を形成する。 Thereafter, a P well diffusion layer 3 as an NMOS region and an N well diffusion layer 4 as a PMOS region are formed by a known method. Next, as shown in FIG. 1B, the main surface of the silicon substrate 1 is oxidized by a heat treatment or the like in an oxygen atmosphere to form a silicon oxide (SiO 2 ) film 5 in the PMOS region and the NMOS region.

図1(c)に示すように、SiO膜5の形成に続いて、SiO膜5上に高誘電率ゲート絶縁膜6としてHfSiO膜をテトラジメチルアミノシリコンとテトラジエチルアミノハフニウムを用いたMOCVD法により堆積し、さらにこのHfSiO膜6の上に、例えば、ALD法やスパッタリング法を用いて非常に薄いシリコン膜7を形成する。 As shown in FIG. 1 (c), following the formation of the SiO 2 film 5, MOCVD method using tetradimethylamino silicon and tetra diethylamino hafnium HfSiO film on the SiO 2 film 5 as the high dielectric constant gate insulating film 6 Further, a very thin silicon film 7 is formed on the HfSiO film 6 by using, for example, an ALD method or a sputtering method.

このシリコン膜7の厚さは、窒化した時に、後述するランタン元素の拡散を防止できる厚さ、具体的には0.5nm〜1.0nm程度あればよい。また、デバイスの特性に影響を与えない程度の厚さであれば、それよりも厚く形成しても構わない。なお、スパッタリング法で成膜を行う場合は、十分な条件出しを行った上で成膜を行なうことが望ましい。   The thickness of the silicon film 7 may be a thickness that can prevent diffusion of a lanthanum element, which will be described later, when it is nitrided, specifically about 0.5 nm to 1.0 nm. Further, if the thickness does not affect the characteristics of the device, it may be formed thicker than that. Note that in the case where film formation is performed by a sputtering method, it is desirable to perform film formation after sufficient conditions are set.

その後、図1(d)に示すように、フォトリソグラフィー法等を用いてNMOS領域をレジスト8でマスクし、図1(e)に示すように、例えば、プラズマ窒化法によって、PMOS領域のHfSiO膜6及びシリコン膜7を窒化してシリコン窒化(SiN)膜に置換する。本実施形態ではNMOS領域のシリコン膜7をレジスト8でマスクしているため、PMOS領域のHfSiO膜6及びシリコン膜7をレジストが劣化しない低温でプラズマ窒化することが可能である。これにより、PMOS領域にはSiN膜9及びHfSiON膜10が形成され、NMOS領域はレジスト8で覆われているため、シリコン膜7がそのまま残った状態となる。なお、レジスト8は有機物であり、プラズマ窒化を行うことにより特性があまり変化しないと考えられるので、レジスト8の除去に悪影響を及ぼすことは少ない。   Thereafter, as shown in FIG. 1D, the NMOS region is masked with a resist 8 using a photolithography method or the like, and as shown in FIG. 1E, the HfSiO film in the PMOS region is formed by, for example, plasma nitriding. 6 and the silicon film 7 are nitrided and replaced with a silicon nitride (SiN) film. In this embodiment, since the silicon film 7 in the NMOS region is masked by the resist 8, the HfSiO film 6 and the silicon film 7 in the PMOS region can be plasma-nitrided at a low temperature at which the resist does not deteriorate. As a result, the SiN film 9 and the HfSiON film 10 are formed in the PMOS region, and the NMOS region is covered with the resist 8, so that the silicon film 7 remains as it is. Note that the resist 8 is an organic substance, and it is considered that the characteristics do not change so much by performing plasma nitriding, so that the resist 8 is hardly adversely affected.

次に、図2(a)に示すように、有機溶剤等でレジスト8を除去し、NMOS領域のシリコン膜7及びPMOS領域のSiN膜9上にキャップ膜としてのランタン(La)膜を形成する。このLa膜はNMOSFETのしきい値Vthを低くするために形成される。キャップ膜として、ランタンの代わりに、イットリウム、テルビウム、エルビウム、イッテルビウム、マグネシウム、イットリウム、スカンジウム、その他ランタノイド、アクチノイド、アルカリ土類金属、希土類金属のいずれかを用いてもよい。通常、La膜は工程中で少し酸化され、少量の酸素を含むLa(O)膜11となった状態で存在する。また、ランタンの代わりにマグネシウムを用いた場合も同様に少し酸化された状態で存在すると考えられる。   Next, as shown in FIG. 2A, the resist 8 is removed with an organic solvent or the like, and a lanthanum (La) film as a cap film is formed on the silicon film 7 in the NMOS region and the SiN film 9 in the PMOS region. . This La film is formed to lower the threshold Vth of the NMOSFET. As the cap film, any one of yttrium, terbium, erbium, ytterbium, magnesium, yttrium, scandium, other lanthanoids, actinoids, alkaline earth metals, and rare earth metals may be used instead of lanthanum. Usually, the La film is slightly oxidized during the process, and exists in a state of becoming a La (O) film 11 containing a small amount of oxygen. Similarly, when magnesium is used instead of lanthanum, it is considered that it is present in a slightly oxidized state.

続いて、図2(b)に示すように、La(O)膜11の上にメタル電極としてW膜12、さらにそのW膜12上にバリア膜としてのTiN膜13を形成する。TiN膜13の形成後、加熱工程を行うことによってNMOS領域においては、La(O)膜11に含まれるLa元素はシリコン基板1とSiO膜5との界面近くまで拡散するが、PMOS領域においては、SiN膜9がLa元素の拡散をブロックするため、シリコン基板1とSiO膜5との界面方向にはLa元素は拡散しない。そして、この加熱工程において、図2(c)に示すように、NMOS領域では、W膜12とシリコン膜7が反応し、W膜12とシリコン膜7とがWSi膜14に置換されるが、PMOS領域では、W膜12、La(O)膜11、SiN膜9及びHfSiON膜10はそのまま存在する。 Subsequently, as shown in FIG. 2B, a W film 12 as a metal electrode is formed on the La (O) film 11, and a TiN film 13 as a barrier film is formed on the W film 12. In the NMOS region, the La element contained in the La (O) film 11 diffuses to the vicinity of the interface between the silicon substrate 1 and the SiO 2 film 5 by performing a heating process after the TiN film 13 is formed. Since the SiN film 9 blocks the diffusion of the La element, the La element does not diffuse in the interface direction between the silicon substrate 1 and the SiO 2 film 5. In this heating step, as shown in FIG. 2C, in the NMOS region, the W film 12 and the silicon film 7 react and the W film 12 and the silicon film 7 are replaced with the WSi film 14. In the PMOS region, the W film 12, the La (O) film 11, the SiN film 9, and the HfSiON film 10 exist as they are.

ここで、SiN膜9がLa元素の拡散をブロックする理由を以下に述べる。例えば、SiN膜9に対してLa(O)膜11を接触させた場合、熱力学的に説明すると後述の式(1)に示すような反応が起こる。この反応がどちらに進むかは、ギブスの自由エネルギーの差分(ΔG)が負となるかどうかで決まる。   Here, the reason why the SiN film 9 blocks the diffusion of the La element will be described below. For example, when the La (O) film 11 is brought into contact with the SiN film 9, a reaction as shown in the following formula (1) occurs in terms of thermodynamics. Whether this reaction proceeds depends on whether the Gibbs free energy difference (ΔG) is negative.

SiN+La(O)=La(O)N+Si+ΔG ・・・・・式(1)
図3に示すように、式(1)の反応におけるΔGは通常の半導体装置の製造で行われる加熱工程の温度では正の値となるため、式(1)の反応は右辺には進まない。従って、La(O)膜11のLa元素はSiN膜9を通り抜けることができないため、PMOS領域のシリコン基板1界面方向へのLa元素の拡散が抑制される。
SiN + La (O) = La (O) N + Si + ΔG Equation (1)
As shown in FIG. 3, ΔG in the reaction of the formula (1) becomes a positive value at the temperature of the heating process performed in normal semiconductor device manufacturing, and therefore the reaction of the formula (1) does not proceed to the right side. Accordingly, since the La element of the La (O) film 11 cannot pass through the SiN film 9, the diffusion of the La element toward the interface of the silicon substrate 1 in the PMOS region is suppressed.

その後、図2(d)に示すように、ゲート材料として多結晶シリコン膜15をバリアメタル13であるTiN膜上に堆積し、多結晶シリコン膜15にイオン注入を行った後、フォトリソグラフィー法により多結晶シリコン膜15、TiN膜13、WSi膜14、またはW膜12を順にエッチングしてゲート電極16を形成する。さらに、このゲート電極16をマスクにして、La(O)膜11、HfSiON膜10、SiN膜9、HfSiO膜6、SiO2膜5を順にエッチングしてゲート絶縁膜17を形成する。この時、必要に応じてエクステンションイオン注入と結晶回復のアニールを行ってもよい。   Thereafter, as shown in FIG. 2 (d), a polycrystalline silicon film 15 is deposited as a gate material on the TiN film as the barrier metal 13, and after ion implantation is performed on the polycrystalline silicon film 15, photolithography is performed. The polycrystalline silicon film 15, the TiN film 13, the WSi film 14, or the W film 12 are etched in order to form the gate electrode 16. Further, using the gate electrode 16 as a mask, the La (O) film 11, the HfSiON film 10, the SiN film 9, the HfSiO film 6, and the SiO2 film 5 are sequentially etched to form the gate insulating film 17. At this time, extension ion implantation and crystal recovery annealing may be performed as necessary.

ここで、PMOS領域のLa(O)膜11は、ゲート構造の一部としてそのまま存在しているが、デバイス特性に悪影響を及ぼすようなことはないため、存在していても構わない。   Here, the La (O) film 11 in the PMOS region is present as it is as a part of the gate structure, but may be present because it does not adversely affect the device characteristics.

次に、図2(e)に示すように、SiNからなるライナー膜をシリコン基板1の上面全面に堆積した後、そのSiN膜上に、TEOS(Tetraethoxysilane)を堆積し、異方性エッチングにより、TEOS及びSiN膜をエッチングしてゲート側壁となるSiN膜18及びTEOS膜19を形成する。続いてゲート電極16をマスクにしてシリコン基板1に導電型不純物のイオン注入と活性化アニールを行い、ソース・ドレイン拡散層20を形成する。   Next, as shown in FIG. 2E, after a liner film made of SiN is deposited on the entire upper surface of the silicon substrate 1, TEOS (Tetraethoxysilane) is deposited on the SiN film, and anisotropic etching is performed. The TEOS and SiN films are etched to form a SiN film 18 and a TEOS film 19 that become gate sidewalls. Subsequently, ion implantation of conductive impurities and activation annealing are performed on the silicon substrate 1 using the gate electrode 16 as a mask to form a source / drain diffusion layer 20.

上記した本実施形態によれば、次のような効果が得られる。すなわち、高誘電率ゲート絶縁膜6上にシリコン膜7を形成した後、窒化処理してNMOS領域上のシリコン膜7はそのままにして、PMOS領域上のシリコン膜をSiN膜9に置換する。これにより、NMOS領域においては、La(O)膜11に含まれるLa元素はシリコン基板1とSiO膜5との界面近くまで拡散するが、PMOS領域においては、SiN膜9がLa元素の拡散をブロックするため、シリコン基板1とSiO膜5との界面まではLa元素は拡散しない。そのため、製造工程数の増加を抑え、NMOSFET、PMOSFETそれぞれに適したフラットバンド電圧に調節することができる。 According to the above-described embodiment, the following effects can be obtained. That is, after the silicon film 7 is formed on the high dielectric constant gate insulating film 6, nitriding is performed to replace the silicon film on the PMOS region with the SiN film 9 while leaving the silicon film 7 on the NMOS region as it is. Thereby, in the NMOS region, the La element contained in the La (O) film 11 diffuses to near the interface between the silicon substrate 1 and the SiO 2 film 5, but in the PMOS region, the SiN film 9 diffuses the La element. Therefore, the La element does not diffuse up to the interface between the silicon substrate 1 and the SiO 2 film 5. Therefore, an increase in the number of manufacturing steps can be suppressed and the flat band voltage suitable for each of the NMOSFET and the PMOSFET can be adjusted.

本実施形態では、メタル電極にW膜12を用い、メタル電極の上に形成される多結晶シリコン膜15とW膜12の反応を防止するためにバリアメタル13としてTiN膜を形成した。メタル電極はTa等の遷移金属類、それらのシリサイド、窒化物、炭化物等を用いてもよく、多結晶シリコン膜15と反応しない組み合わせであれば、バリアメタル13を形成しなくても構わない。また、バリアメタル13はTiNに限らず、TaCやRu等のメタル電極と多結晶シリコンの反応を防止できるものであれば他のものを用いても構わない。   In the present embodiment, the W film 12 is used as the metal electrode, and a TiN film is formed as the barrier metal 13 in order to prevent the reaction between the polycrystalline silicon film 15 formed on the metal electrode and the W film 12. The metal electrode may use transition metals such as Ta, their silicides, nitrides, carbides, etc., and the barrier metal 13 need not be formed as long as it does not react with the polycrystalline silicon film 15. The barrier metal 13 is not limited to TiN, and any other metal may be used as long as it can prevent a reaction between a metal electrode such as TaC or Ru and polycrystalline silicon.

なお、本実施形態では、La元素をシリコン基板とゲート絶縁膜との界面付近まで拡散させるため、図2(b)に示す工程において加熱処理を行ったが、ソース及びドレイン拡散層形成時の加熱処理工程でも十分に相分離及び拡散が起こるため、省略しても構わない。   In this embodiment, in order to diffuse the La element to the vicinity of the interface between the silicon substrate and the gate insulating film, the heat treatment is performed in the process shown in FIG. 2B. However, the heating during the formation of the source and drain diffusion layers is performed. Since the phase separation and diffusion occur sufficiently even in the processing step, they may be omitted.

加えて、本実施形態において、高誘電率ゲート絶縁膜としてシリコン酸化膜やシリコン酸窒化膜よりも誘電率の高い高誘電率絶縁膜を用い、金属元素(例えばHf等)を含有するハフニウム珪酸化膜を用いたが、ハフニウム珪酸窒化膜、ジルコニウム酸化膜、ハフニウム酸化膜、ハフニウムジルコニウム酸化膜、ハフニウムジルコニウム酸化膜等の窒化されにくい、又は窒化されても窒素濃度が低い他のものを用いてもよい。   In addition, in this embodiment, a high dielectric constant insulating film having a dielectric constant higher than that of a silicon oxide film or a silicon oxynitride film is used as the high dielectric constant gate insulating film, and hafnium silicidation containing a metal element (for example, Hf) is used. Although a film is used, a hafnium silicate nitride film, a zirconium oxide film, a hafnium oxide film, a hafnium zirconium oxide film, a hafnium zirconium oxide film, or the like that is difficult to be nitrided or other nitrided or low nitrogen concentration may be used. Good.

また、本実施形態で用いる基板は、通常のシリコン基板の他にSOI(Silicon On Insulator)基板を用いてもよい。   The substrate used in this embodiment may be an SOI (Silicon On Insulator) substrate in addition to a normal silicon substrate.

(第2の実施形態)
第2の実施形態は、第1の実施形態において、SiO膜5を形成するのに先駆けて、PMOS領域にエピタキシャル成長等によりシリコンゲルマニウム(SiGe)層を形成したものである。なお、本実施形態は、前記第1の実施形態とSiGe層を形成する点以外は同じであるので第1の実施形態における構成と同様の部分には同じ符号を付してその説明は省略する。
(Second Embodiment)
In the second embodiment, prior to forming the SiO 2 film 5 in the first embodiment, a silicon germanium (SiGe) layer is formed in the PMOS region by epitaxial growth or the like. Since the present embodiment is the same as the first embodiment except that a SiGe layer is formed, the same reference numerals are given to the same parts as those in the first embodiment, and the description thereof is omitted. .

図4(a)に示すように、SiO膜5を形成する前にSiGe層21を形成する。この後の工程においては第1の実施形態と同様であり、図4(b)に示すように、ゲート電極16を形成した後、ゲート電極16をマスクにしてシリコン基板1に導電型不純物のイオン注入と活性化アニールを行い、ソース・ドレイン拡散層20を形成する。 As shown in FIG. 4A, the SiGe layer 21 is formed before the SiO 2 film 5 is formed. The subsequent steps are the same as those in the first embodiment. As shown in FIG. 4B, after forming the gate electrode 16, ions of conductive impurities are formed on the silicon substrate 1 using the gate electrode 16 as a mask. Implantation and activation annealing are performed to form the source / drain diffusion layer 20.

SiGe層21を形成することによって通常のシリコンチャネルのトランジスタよりも低しきい値電圧(Vth)を実現することができる。SiGe層21の代わりに、MOCVD(Metal Organic Chemical Vapor Deposition)法やALD(Atomic Layer Deposition)法を用いてAl層やAlN層を形成しても構わない。また、SiGe層を形成してからAl層やAlN層を形成しても良い。 By forming the SiGe layer 21, a lower threshold voltage (Vth) than that of a normal silicon channel transistor can be realized. Instead of the SiGe layer 21, an Al 2 O 3 layer or an AlN layer may be formed by using MOCVD (Metal Organic Chemical Vapor Deposition) method or ALD (Atomic Layer Deposition) method. Alternatively, an Al 2 O 3 layer or an AlN layer may be formed after the SiGe layer is formed.

上記した本実施形態によれば、前記した第1の実施形態の効果に加え、次のような効果が得られる。すなわち、PMOS領域にSiGe層を形成することによって第1の実施形態と比較して低しきい値を有するCMOSFETを実現することが可能となる。   According to the present embodiment described above, the following effects are obtained in addition to the effects of the first embodiment described above. That is, by forming the SiGe layer in the PMOS region, it becomes possible to realize a CMOSFET having a lower threshold value as compared with the first embodiment.

(第3の実施形態)
図5及び図6は本発明の第3の実施形態に係るCMOSFETを有する半導体装置の製造工程を示す断面図である。
(Third embodiment)
FIG. 5 and FIG. 6 are cross-sectional views showing a manufacturing process of a semiconductor device having a CMOSFET according to the third embodiment of the present invention.

はじめに、図5(a)に示すように、シリコン基板22の主面上に既知の方法でPMOS領域及びNMOS領域を区画するためのSTI構造の素子分離領域23を形成する。その後、既知の方法によってNMOS領域であるPウェル拡散層24及びPMOS領域であるNウェル拡散層25を形成する。   First, as shown in FIG. 5A, an element isolation region 23 having an STI structure for partitioning a PMOS region and an NMOS region is formed on the main surface of the silicon substrate 22 by a known method. Thereafter, a P well diffusion layer 24 which is an NMOS region and an N well diffusion layer 25 which is a PMOS region are formed by a known method.

次に、図5(b)に示すように、酸素雰囲気中における加熱処理等によりシリコン基板22の主面を酸化させ、PMOS領域及びNMOS領域にシリコン酸化(SiO)膜26を形成する。 Next, as shown in FIG. 5B, the main surface of the silicon substrate 22 is oxidized by a heat treatment or the like in an oxygen atmosphere to form a silicon oxide (SiO 2 ) film 26 in the PMOS region and the NMOS region.

図5(c)に示すように、SiO膜26の形成に続いて、SiO膜26上に高誘電率ゲート絶縁膜27としてHfSiON膜をMOCVD法等により堆積する。HfSiON膜27の窒素濃度は後述するLa元素の拡散を完全にブロックしない範囲で任意に変化させて実施することができる。 As shown in FIG. 5 (c), following the formation of the SiO 2 film 26, a HfSiON film is deposited by MOCVD or the like as the high dielectric constant gate insulating film 27 on the SiO 2 film 26. The nitrogen concentration of the HfSiON film 27 can be changed as desired within a range that does not completely block La element diffusion described later.

その後、図5(d)に示すように、フォトリソグラフィー法等を用いてNMOS領域をレジスト28でマスクし、図5(e)に示すように、例えば、プラズマ窒化法によって、PMOS領域のHfSiON膜27を窒化する。これにより、PMOS領域のHfSiON膜27は窒化HfSiON膜29に置換され、NMOS領域はレジスト28で覆われているためHfSiON膜27がそのまま残った状態となる。窒化HfSiON膜29はHfSiON膜27よりも高い窒素濃度を有する。   Thereafter, as shown in FIG. 5D, the NMOS region is masked with a resist 28 using a photolithography method or the like, and as shown in FIG. 5E, the HfSiON film in the PMOS region is formed by plasma nitridation, for example. 27 is nitrided. As a result, the HfSiON film 27 in the PMOS region is replaced with the nitrided HfSiON film 29, and the NMOS region is covered with the resist 28, so that the HfSiON film 27 remains as it is. The nitrided HfSiON film 29 has a higher nitrogen concentration than the HfSiON film 27.

なお、レジスト28は有機物であり、プラズマ窒化を行うことにより特性があまり変化しないと考えられるので、レジスト28の除去に悪影響を及ぼすことは少ない。   Note that the resist 28 is an organic substance, and it is considered that the characteristics do not change so much by performing plasma nitriding, and therefore, the resist 28 is hardly adversely affected.

次に、図6(a)に示すように、有機溶剤等でレジスト28を除去し、NMOS領域のHfSiON膜27及びPMOS領域の窒化HfSiON膜29上にキャップ膜としてのLa膜を形成する。このLa膜はNMOSFETのしきい値Vthを低くするために形成される。キャップ膜として、ランタンの代わりに、イットリウム、テルビウム、エルビウム、イッテルビウム、マグネシウム、イットリウム、スカンジウム、その他ランタノイド、アクチノイド、アルカリ土類金属、希土類金属のいずれかを用いてもよい。通常、La膜は工程中で少し酸化され、少量の酸素を含むLa(O)膜30となった状態で存在する。また、ランタンの代わりにマグネシウムを用いた場合も同様に少し酸化された状態で存在すると考えられる。   Next, as shown in FIG. 6A, the resist 28 is removed with an organic solvent or the like, and a La film as a cap film is formed on the HfSiON film 27 in the NMOS region and the nitrided HfSiON film 29 in the PMOS region. This La film is formed to lower the threshold Vth of the NMOSFET. As the cap film, any one of yttrium, terbium, erbium, ytterbium, magnesium, yttrium, scandium, other lanthanoids, actinoids, alkaline earth metals, and rare earth metals may be used instead of lanthanum. Usually, the La film is slightly oxidized during the process, and exists in a state of becoming a La (O) film 30 containing a small amount of oxygen. Similarly, when magnesium is used instead of lanthanum, it is considered that it is present in a slightly oxidized state.

続いて、図6(b)に示すように、La(O)膜30の上にメタル電極としてW膜31、さらにそのW膜31上にバリアメタル32としてのTiN膜を形成する。TiN膜32形成後、加熱工程を行うことによってNMOS領域においては、La(O)膜30に含まれるLa元素はシリコン基板22とSiO膜26の界面近くまで拡散するが、PMOS領域においては、窒化されたHfSiON膜29がLa元素の拡散をブロックするため、シリコン基板22の界面方向にはLa元素は拡散しない。 Subsequently, as shown in FIG. 6B, a W film 31 as a metal electrode is formed on the La (O) film 30, and a TiN film as a barrier metal 32 is formed on the W film 31. In the NMOS region, the La element contained in the La (O) film 30 diffuses to the vicinity of the interface between the silicon substrate 22 and the SiO 2 film 26 by performing a heating process after the TiN film 32 is formed. Since the nitrided HfSiON film 29 blocks the diffusion of the La element, the La element does not diffuse in the interface direction of the silicon substrate 22.

その後、図6(c)に示すように、ゲート材料として多結晶シリコン膜33をTiN膜32上に堆積し、多結晶シリコン膜33にイオン注入を行った後、フォトリソグラフィー法により、多結晶シリコン膜33、TiN膜32、W膜31を順にエッチングしてゲート電極34を形成する。さらに、このゲート電極34をマスクにして、La(O)膜30、窒化HfSiON膜29又はHfSiON膜27、SiO膜26を順にエッチングしてゲート絶縁膜35を形成する。この時、必要に応じてエクステンションイオン注入と結晶回復のアニールを行ってもよい。 Thereafter, as shown in FIG. 6C, a polycrystalline silicon film 33 is deposited on the TiN film 32 as a gate material, and after ion implantation is performed on the polycrystalline silicon film 33, the polycrystalline silicon film is formed by photolithography. The gate electrode 34 is formed by etching the film 33, the TiN film 32, and the W film 31 in order. Further, using the gate electrode 34 as a mask, the La (O) film 30, the nitrided HfSiON film 29 or the HfSiON film 27, and the SiO 2 film 26 are sequentially etched to form a gate insulating film 35. At this time, extension ion implantation and crystal recovery annealing may be performed as necessary.

ここで、PMOS領域のLa(O)膜30は、ゲート構造の一部としてそのまま存在しているが、デバイス特性に悪影響を及ぼすようなことはないため、存在していても構わない。   Here, the La (O) film 30 in the PMOS region exists as it is as a part of the gate structure, but may not exist because it does not adversely affect the device characteristics.

次に、図6(d)に示すように、SiNからなるライナー膜をシリコン基板22の上面全面に堆積した後、そのSiN膜上に、TEOS(Tetraethoxysilane)を堆積し、異方性エッチングにより、TEOS及びSiN膜をエッチングしてゲート側壁となるSiN膜36及びTEOS膜37を形成する。続いてゲート電極34をマスクにしてイオン注入と活性化アニールを行い、ソース・ドレイン拡散層38を形成する。   Next, as shown in FIG. 6D, after a liner film made of SiN is deposited on the entire upper surface of the silicon substrate 22, TEOS (Tetraethoxysilane) is deposited on the SiN film, and anisotropic etching is performed. The TEOS and SiN films are etched to form a SiN film 36 and a TEOS film 37 serving as gate sidewalls. Subsequently, ion implantation and activation annealing are performed using the gate electrode 34 as a mask to form a source / drain diffusion layer 38.

上記した本実施形態によれば、次のような効果が得られる。すなわち、PMOS領域及びNMOS領域上にHfSiON膜27を形成した後、窒化処理してNMOS領域上のHfSiON27はそのままにして、PMOS領域上のHfSiON膜を高窒素濃度の窒化HfSiON膜29に置換する。これにより、NMOS領域においては、La(O)膜30に含まれるLa元素はシリコン基板22とSiO膜26との界面近くまで拡散するが、PMOS領域においては、窒化HfSiON膜29がLa元素の拡散をブロックするため、シリコン基板22とSiO膜26との界面方向にはLa元素は拡散しない。そのため、製造工程数の増加を抑え、NMOSFET、PMOSFETそれぞれに適したメタル電極を形成することができる。 According to the above-described embodiment, the following effects can be obtained. That is, after the HfSiON film 27 is formed on the PMOS region and the NMOS region, nitriding is performed to replace the HfSiON film on the PMOS region with the nitrided HfSiON film 29 having a high nitrogen concentration while leaving the HfSiON 27 on the NMOS region as it is. Thereby, in the NMOS region, the La element contained in the La (O) film 30 diffuses to the vicinity of the interface between the silicon substrate 22 and the SiO 2 film 26. However, in the PMOS region, the nitrided HfSiON film 29 is composed of the La element. In order to block the diffusion, La element does not diffuse in the interface direction between the silicon substrate 22 and the SiO 2 film 26. Therefore, an increase in the number of manufacturing steps can be suppressed, and metal electrodes suitable for the NMOSFET and the PMOSFET can be formed.

また、本実施形態では、PMOS領域上の高誘電率ゲート絶縁膜であるHfSiO膜を直接、選択的に窒化することにより、PMOS領域においては、La元素の拡散をブロックしているため、第1の実施形態と比べてさらに製造工程数を低減することができる。   In the present embodiment, since the HfSiO film, which is a high dielectric constant gate insulating film on the PMOS region, is directly and selectively nitrided, the diffusion of La element is blocked in the PMOS region. Compared to the embodiment, the number of manufacturing steps can be further reduced.

本実施形態では、メタル電極にW膜31を用い、メタル電極の上に形成される多結晶シリコン膜33とW膜31の反応を防止するためにバリアメタル32としてTiN膜を形成した。メタル電極はTa等の遷移金属類、それらのシリサイド、窒化物、炭化物等を用いてもよく、多結晶シリコン膜33と反応しない組み合わせであれば、バリアメタル32を形成しなくても構わない。また、バリアメタル32はTiNに限らず、TaCやRu等のメタル電極と多結晶シリコンの反応を防止できるものであれば他のものを用いても構わない。   In this embodiment, the W film 31 is used as a metal electrode, and a TiN film is formed as the barrier metal 32 in order to prevent the reaction between the polycrystalline silicon film 33 formed on the metal electrode and the W film 31. The metal electrode may use transition metals such as Ta, their silicides, nitrides, carbides, etc., and the barrier metal 32 may not be formed as long as it does not react with the polycrystalline silicon film 33. Further, the barrier metal 32 is not limited to TiN, and any other metal may be used as long as it can prevent a reaction between a metal electrode such as TaC or Ru and polycrystalline silicon.

なお、本実施形態では、La元素をシリコン基板とゲート絶縁膜との界面付近まで拡散させるため、図6(b)に示す工程において加熱処理を行ったが、ソース及びドレイン拡散層形成時の加熱処理工程でも十分に相分離及び拡散が起こるため、省略しても構わない。   In this embodiment, in order to diffuse the La element to the vicinity of the interface between the silicon substrate and the gate insulating film, the heat treatment is performed in the process shown in FIG. 6B. However, the heating at the time of forming the source and drain diffusion layers is performed. Since the phase separation and diffusion occur sufficiently even in the processing step, they may be omitted.

加えて、本実施形態において、高誘電率ゲート絶縁膜27にハフニウム珪酸窒化膜を用いたが、ハフニウム珪酸化膜、ジルコニウム珪酸化膜、ジルコニウム珪酸窒化膜、ハフニウムジルコニウム珪酸化膜、ハフニウムジルコニウム珪酸窒化膜等の窒化を行うことによりキャップ膜の拡散を防止可能な窒素濃度を実現可能なものであれば、他のものを用いてもよい。   In addition, in the present embodiment, a hafnium silicate nitride film is used for the high dielectric constant gate insulating film 27. However, a hafnium silicate film, a zirconium silicate film, a zirconium silicate nitride film, a hafnium zirconium silicate film, and a hafnium zirconium silicate nitride are used. Other materials may be used as long as the nitrogen concentration capable of preventing the diffusion of the cap film can be realized by nitriding the film or the like.

また、本実施形態で用いる基板は、通常のシリコン基板の他にSOI(Silicon On Insulator)基板を用いてもよい。   The substrate used in this embodiment may be an SOI (Silicon On Insulator) substrate in addition to a normal silicon substrate.

(第4の実施形態)
第4の実施形態は、第3の実施形態において、SiO膜26を形成するのに先駆けて、PMOS領域にエピタキシャル成長等によりSiGe層を形成したものである。なお、本実施形態は、前記第3の実施形態とSiGe層を形成する点以外は同じであるので第3の実施形態における構成と同様の部分には同じ符号を付してその説明は省略する。
(Fourth embodiment)
In the fourth embodiment, prior to the formation of the SiO 2 film 26 in the third embodiment, a SiGe layer is formed in the PMOS region by epitaxial growth or the like. Since this embodiment is the same as the third embodiment except that a SiGe layer is formed, the same parts as those in the third embodiment are denoted by the same reference numerals, and the description thereof is omitted. .

図7(a)に示すように、SiO膜26を形成する前にSiGe層39を形成する。この後の工程においては第3の実施形態と同様であり、図7(b)に示すように、ゲート電極34を形成した後、ゲート電極34をマスクにしてシリコン基板22に導電型不純物のイオン注入と活性化アニールを行い、ソース・ドレイン拡散層38を形成する。 As shown in FIG. 7A, the SiGe layer 39 is formed before the SiO 2 film 26 is formed. The subsequent steps are the same as in the third embodiment. As shown in FIG. 7B, after forming the gate electrode 34, ions of conductive impurities are formed on the silicon substrate 22 using the gate electrode 34 as a mask. Implantation and activation annealing are performed to form a source / drain diffusion layer 38.

SiGe層39を形成することによって通常のシリコンチャネルのトランジスタよりも低しきい値電圧(Vth)を実現することができる。SiGe層の代わりに、MOCVD(Metal Organic Chemical Vapor Deposition)法やALD(Atomic Layer Deposition)法を用いてAl層やAlN層を形成しても構わない。また、SiGe層を形成してからAl層やAlN層を形成しても良い。 By forming the SiGe layer 39, a threshold voltage (Vth) lower than that of a normal silicon channel transistor can be realized. Instead of the SiGe layer, an Al 2 O 3 layer or an AlN layer may be formed by using MOCVD (Metal Organic Chemical Vapor Deposition) method or ALD (Atomic Layer Deposition) method. Alternatively, an Al 2 O 3 layer or an AlN layer may be formed after the SiGe layer is formed.

上記した本実施形態によれば、前記した第3の実施形態の効果に加え、次のような効果が得られる。すなわち、PMOS領域にSiGe層を形成することによって第3の実施形態と比較して低しきい値を有するCMOSFETを実現することが可能となる。   According to the present embodiment described above, the following effects can be obtained in addition to the effects of the third embodiment described above. That is, by forming the SiGe layer in the PMOS region, it becomes possible to realize a CMOSFET having a lower threshold value as compared with the third embodiment.

(第5の実施形態)
続いて、本発明の第5の実施形態に係る半導体装置の製造方法の説明を行う。図8及び図9は本発明の第5の実施形態に係る半導体装置の製造方法を示す断面図である。図8及び図9を用いて製造工程について以下に説明する。
(Fifth embodiment)
Then, the manufacturing method of the semiconductor device concerning the 5th Embodiment of this invention is demonstrated. 8 and 9 are sectional views showing a method for manufacturing a semiconductor device according to the fifth embodiment of the present invention. The manufacturing process will be described below with reference to FIGS.

はじめに、図8(a)に示すように、シリコン基板40の主面上に既知の方法でPMOS領域及びNMOS領域を区画するためのSTI構造の素子分離領域41を形成する。その後、既知の方法によってNMOS領域であるPウェル拡散層42及びPMOS領域であるNウェル拡散層43を形成する。   First, as shown in FIG. 8A, an element isolation region 41 having an STI structure for partitioning a PMOS region and an NMOS region is formed on the main surface of the silicon substrate 40 by a known method. Thereafter, a P well diffusion layer 42 as an NMOS region and an N well diffusion layer 43 as a PMOS region are formed by a known method.

次に、図8(b)に示すように、酸素雰囲気中における加熱処理等によりシリコン基板40の主面を酸化させ、PMOS領域及びNMOS領域にシリコン酸化(SiO)膜44を形成する。 Next, as shown in FIG. 8B, the main surface of the silicon substrate 40 is oxidized by heat treatment or the like in an oxygen atmosphere to form a silicon oxide (SiO 2 ) film 44 in the PMOS region and the NMOS region.

SiO膜44の形成に続いて、図8(c)に示すように、SiO膜44上に高誘電率ゲート絶縁膜としてハフニウム珪酸化膜(HfSiO)膜をMOCVD法等により堆積する。SiO膜44上に堆積したHfSiO膜に対して窒化、アニールの工程を経て、ハフニウム珪酸窒化(HfSiON)膜45を形成する。HfSiON膜45の窒素濃度は後述するLa元素の拡散を完全にブロックしない範囲で任意に変化させて実施することができる。また、HfSiO膜の窒化ではなく、MOCVD法等によりSiO膜44上に直接HfSiON膜を堆積しても構わない。 Following the formation of the SiO 2 film 44, as shown in FIG. 8C, a hafnium silicate film (HfSiO) film is deposited on the SiO 2 film 44 as a high dielectric constant gate insulating film by MOCVD or the like. A hafnium silicate nitriding (HfSiON) film 45 is formed through nitriding and annealing processes on the HfSiO film deposited on the SiO 2 film 44. The nitrogen concentration of the HfSiON film 45 can be arbitrarily changed within a range that does not completely block the diffusion of La element described later. Further, instead of nitriding the HfSiO film, an HfSiON film may be deposited directly on the SiO 2 film 44 by MOCVD or the like.

続いてHfSiON膜45の上にALD法やCVD法等を用いて非常に薄いシリコン窒化(SiN)膜46を形成する。このSiN膜46の厚さは、後述するランタン元素の拡散を防止できる厚さ、具体的には0.5nm〜1.0nm程度あればよい。また、デバイスの特性に影響を与えない程度の厚さであれば、それよりも厚く形成しても構わない。   Subsequently, a very thin silicon nitride (SiN) film 46 is formed on the HfSiON film 45 by using an ALD method, a CVD method or the like. The thickness of the SiN film 46 may be a thickness that can prevent the diffusion of a lanthanum element to be described later, specifically about 0.5 nm to 1.0 nm. Further, if the thickness does not affect the characteristics of the device, it may be formed thicker than that.

その後、図8(d)に示すように、フォトリソグラフィー法等を用いてPMOS領域をレジスト47でマスクし、図8(e)に示すように、例えば、リン酸を用いた選択エッチング等によりNMOS領域のSiN膜46を除去する。   Thereafter, as shown in FIG. 8D, the PMOS region is masked with a resist 47 by using a photolithography method or the like, and as shown in FIG. 8E, for example, the NMOS is formed by selective etching using phosphoric acid. The SiN film 46 in the region is removed.

次に、図9(a)に示すように、有機溶剤等でレジスト47を除去し、NMOS領域のHfSiON膜45及びPMOS領域のSiN膜46上にキャップ膜としてのランタン酸化(La)膜48を形成する。このLa膜はNMOSFETのしきい値Vthを低くするために形成される。La膜の代わりにランタン膜を形成しても構わない。また、ランタン膜の代わりに、イットリウム、テルビウム、エルビウム、イッテルビウム、マグネシウム、イットリウム、スカンジウム、その他ランタノイド、アクチノイド、アルカリ土類金属、希土類金属のいずれかを用いてもよい。その場合には通常、La膜は工程中で少し酸化され、少量の酸素を含むLa(O)膜となった状態で存在する。また、ランタンの代わりにマグネシウムを用いた場合も同様に少し酸化された状態で存在すると考えられる。 Next, as shown in FIG. 9A, the resist 47 is removed with an organic solvent or the like, and lanthanum oxidation (La 2 O 3 ) as a cap film is formed on the HfSiON film 45 in the NMOS region and the SiN film 46 in the PMOS region. A film 48 is formed. This La 2 O 3 film is formed to lower the threshold Vth of the NMOSFET. A lanthanum film may be formed instead of the La 2 O 3 film. Instead of the lanthanum film, any one of yttrium, terbium, erbium, ytterbium, magnesium, yttrium, scandium, other lanthanoids, actinoids, alkaline earth metals, and rare earth metals may be used. In that case, usually, the La film is slightly oxidized during the process, and exists in a state of becoming a La (O) film containing a small amount of oxygen. Similarly, when magnesium is used instead of lanthanum, it is considered that it is present in a slightly oxidized state.

続いて、図9(b)に示すように、La膜48の上にメタル電極としてW膜49、さらにそのW膜49上にバリアメタル50としてのTiN膜を形成する。TiN膜50形成後、加熱工程を行うことによってNMOS領域においては、La膜48に含まれるLa元素はシリコン基板40とSiO膜44の界面近くまで拡散するが、PMOS領域においては、SiN膜46がLa元素の拡散をブロックするため、シリコン基板40の界面方向にはLa元素は拡散しない。 Subsequently, as shown in FIG. 9B, a W film 49 as a metal electrode is formed on the La 2 O 3 film 48, and a TiN film as a barrier metal 50 is formed on the W film 49. In the NMOS region, the La element contained in the La 2 O 3 film 48 diffuses to the vicinity of the interface between the silicon substrate 40 and the SiO 2 film 44 by performing a heating process after the TiN film 50 is formed. Since the SiN film 46 blocks the diffusion of the La element, the La element does not diffuse in the interface direction of the silicon substrate 40.

その後、図9(c)に示すように、ゲート材料として多結晶シリコン膜51をTiN膜50上に堆積し、多結晶シリコン膜51にイオン注入を行った後、フォトリソグラフィー法により、多結晶シリコン膜51、TiN膜50、W膜49を順にエッチングしてゲート電極52を形成する。さらに、このゲート電極52をマスクにして、La膜48、SiN膜46、HfSiON膜45、SiO膜44を順にエッチングしてゲート絶縁膜53を形成する。この時、必要に応じてエクステンションイオン注入と結晶回復のアニールを行ってもよい。 Thereafter, as shown in FIG. 9C, a polycrystalline silicon film 51 is deposited on the TiN film 50 as a gate material, and after ion implantation is performed on the polycrystalline silicon film 51, the polycrystalline silicon film is formed by photolithography. The gate electrode 52 is formed by sequentially etching the film 51, the TiN film 50, and the W film 49. Further, using the gate electrode 52 as a mask, the La 2 O 3 film 48, the SiN film 46, the HfSiON film 45, and the SiO 2 film 44 are sequentially etched to form a gate insulating film 53. At this time, extension ion implantation and crystal recovery annealing may be performed as necessary.

次に、図9(d)に示すように、SiNからなるライナー膜をシリコン基板40の上面全面に堆積した後、そのSiN膜上に、TEOS(Tetraethoxysilane)を堆積し、異方性エッチングにより、TEOS及びSiN膜をエッチングしてゲート側壁となるSiN膜54及びTEOS膜55を形成する。続いてゲート電極52をマスクにしてイオン注入と活性化アニールを行い、ソース・ドレイン拡散層56を形成する。   Next, as shown in FIG. 9D, after a liner film made of SiN is deposited on the entire upper surface of the silicon substrate 40, TEOS (Tetraethoxysilane) is deposited on the SiN film, and anisotropic etching is performed. The TEOS and SiN films are etched to form a SiN film 54 and a TEOS film 55 that become gate sidewalls. Subsequently, ion implantation and activation annealing are performed using the gate electrode 52 as a mask to form a source / drain diffusion layer 56.

上記した本実施形態によれば、次のような効果が得られる。すなわち、PMOS領域上にSiN膜46を形成する。これにより、NMOS領域においては、La膜48に含まれるLa元素はシリコン基板40とSiO膜44との界面近くまで拡散するが、PMOS領域においては、SiN膜46がLa元素の拡散をブロックするため、シリコン基板40とSiO膜44との界面方向にはLa元素は拡散しない。そのため、製造工程数の増加を抑え、NMOSFET、PMOSFETそれぞれに適したメタル電極を形成することができる。 According to the above-described embodiment, the following effects can be obtained. That is, the SiN film 46 is formed on the PMOS region. Thereby, in the NMOS region, the La element contained in the La 2 O 3 film 48 diffuses to the vicinity of the interface between the silicon substrate 40 and the SiO 2 film 44, but in the PMOS region, the SiN film 46 diffuses the La element. Therefore, the La element does not diffuse in the interface direction between the silicon substrate 40 and the SiO 2 film 44. Therefore, an increase in the number of manufacturing steps can be suppressed, and metal electrodes suitable for the NMOSFET and the PMOSFET can be formed.

また、上記した第1の実施形態では、シリコン膜7がNMOS領域上に残存するため、W膜12と反応してシリサイド電極14を形成するが、本実施形態ではW膜をシリサイド化させずに電極として用いることができるため、より高速な動作を実現することができる。加えて、第1乃至第4の実施形態と比較して、レジスト膜を窒化する工程がないため、窒化したレジスト膜と比較して、容易にレジスト膜を剥離することができると考えられる。   In the first embodiment described above, since the silicon film 7 remains on the NMOS region, the silicide electrode 14 is formed by reacting with the W film 12, but in this embodiment, the W film is not silicided. Since it can be used as an electrode, a higher speed operation can be realized. In addition, since there is no step of nitriding the resist film as compared with the first to fourth embodiments, it is considered that the resist film can be easily peeled off as compared with the nitrided resist film.

本実施形態では、メタル電極にW膜49を用い、メタル電極の上に形成される多結晶シリコン膜51とW膜49の反応を防止するためにバリアメタル50としてTiN膜を形成した。メタル電極はTa等の遷移金属類、それらのシリサイド、窒化物、炭化物等を用いてもよく、多結晶シリコン膜51と反応しない組み合わせであれば、バリアメタル50を形成しなくても構わない。また、バリアメタル50はTiNに限らず、TaCやRu等のメタル電極と多結晶シリコンの反応を防止できるものであれば他のものを用いても構わない。   In this embodiment, the W film 49 is used as a metal electrode, and a TiN film is formed as the barrier metal 50 in order to prevent the reaction between the polycrystalline silicon film 51 formed on the metal electrode and the W film 49. The metal electrode may use transition metals such as Ta, their silicides, nitrides, carbides, etc., and the barrier metal 50 may not be formed as long as it does not react with the polycrystalline silicon film 51. The barrier metal 50 is not limited to TiN, and any other metal may be used as long as it can prevent the reaction between a metal electrode such as TaC or Ru and polycrystalline silicon.

なお、本実施形態では、La元素をシリコン基板とゲート絶縁膜との界面付近まで拡散させるため、図9(b)に示す工程において加熱処理を行ったが、ソース及びドレイン拡散層形成時の加熱処理工程でも十分に相分離及び拡散が起こるため、省略しても構わない。   In this embodiment, in order to diffuse the La element to the vicinity of the interface between the silicon substrate and the gate insulating film, the heat treatment is performed in the process shown in FIG. 9B. However, the heating at the time of forming the source and drain diffusion layers is performed. Since the phase separation and diffusion occur sufficiently even in the processing step, they may be omitted.

加えて、本実施形態において、高誘電率ゲート絶縁膜45にハフニウム珪酸窒化膜を用いたが、ハフニウム珪酸化膜、ジルコニウム珪酸化膜、ジルコニウム珪酸窒化膜、ハフニウムジルコニウム珪酸化膜、ハフニウムジルコニウム珪酸窒化膜等の窒化を行うことによりキャップ膜の拡散を防止可能な窒素濃度を実現可能なものであれば、他のものを用いてもよい。   In addition, in the present embodiment, a hafnium silicate nitride film is used for the high dielectric constant gate insulating film 45. However, a hafnium silicate film, a zirconium silicate film, a zirconium silicate nitride film, a hafnium zirconium silicate film, and a hafnium zirconium silicate nitride are used. Other materials may be used as long as the nitrogen concentration capable of preventing the diffusion of the cap film can be realized by nitriding the film or the like.

また、本実施形態で用いる基板は、通常のシリコン基板の他にSOI(Silicon On Insulator)基板を用いてもよい。   The substrate used in this embodiment may be an SOI (Silicon On Insulator) substrate in addition to a normal silicon substrate.

(第6の実施形態)
第6の実施形態は、第5の実施形態において、SiO膜44を形成するのに先駆けて、PMOS領域にエピタキシャル成長等によりSiGe層を形成したものである。なお、本実施形態は、前記第5の実施形態とSiGe層を形成する点以外は同じであるので第5の実施形態における構成と同様の部分には同じ符号を付してその説明は省略する。
(Sixth embodiment)
In the sixth embodiment, prior to forming the SiO 2 film 44 in the fifth embodiment, a SiGe layer is formed in the PMOS region by epitaxial growth or the like. Since this embodiment is the same as the fifth embodiment except that a SiGe layer is formed, the same parts as those in the fifth embodiment are denoted by the same reference numerals, and description thereof is omitted. .

図10(a)に示すように、SiO膜44を形成する前にSiGe層57を形成する。この後の工程においては第5の実施形態と同様であり、図10(b)に示すように、ゲート電極52を形成した後、ゲート電極52をマスクにしてシリコン基板40に導電型不純物のイオン注入と活性化アニールを行い、ソース・ドレイン拡散層56を形成する。 As shown in FIG. 10A, the SiGe layer 57 is formed before the SiO 2 film 44 is formed. The subsequent steps are the same as in the fifth embodiment. As shown in FIG. 10B, after forming the gate electrode 52, ions of conductive impurities are formed on the silicon substrate 40 using the gate electrode 52 as a mask. Implantation and activation annealing are performed to form the source / drain diffusion layer 56.

SiGe層57を形成することによって通常のシリコンチャネルのトランジスタよりも低しきい値電圧(Vth)を実現することができる。SiGe層の代わりに、MOCVD法やALD法を用いてAl層やAlN層を形成しても構わない。また、SiGe層を形成してからAl層やAlN層を形成しても良い。 By forming the SiGe layer 57, a lower threshold voltage (Vth) than that of a normal silicon channel transistor can be realized. Instead of the SiGe layer, an Al 2 O 3 layer or an AlN layer may be formed using MOCVD or ALD. Alternatively, an Al 2 O 3 layer or an AlN layer may be formed after the SiGe layer is formed.

上記した本実施形態によれば、前記した第5の実施形態の効果に加え、次のような効果が得られる。すなわち、PMOS領域にSiGe層を形成することによって第5の実施形態と比較して低しきい値を有するCMOSFETを実現することが可能となる。   According to the present embodiment described above, the following effects can be obtained in addition to the effects of the fifth embodiment described above. That is, by forming the SiGe layer in the PMOS region, it becomes possible to realize a CMOSFET having a lower threshold value as compared with the fifth embodiment.

本発明は上記実施形態に限定されるものではなく、本発明の趣旨を逸脱しない範囲で種々に変形して実施することができる。   The present invention is not limited to the above-described embodiment, and various modifications can be made without departing from the spirit of the present invention.

本発明の第1の実施形態に係る半導体装置の製造方法の一部を模式的に示した断面図。Sectional drawing which showed typically a part of manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態に係る半導体装置の製造方法の一部を模式的に示した断面図。Sectional drawing which showed typically a part of manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態に係る反応におけるギブスの自由エネルギーの差分特性を示した断面図。Sectional drawing which showed the difference characteristic of the Gibbs free energy in reaction which concerns on the 1st Embodiment of this invention. 本発明の第2の実施形態に係る半導体装置の製造方法の一部を模式的に示した断面図。Sectional drawing which showed typically a part of manufacturing method of the semiconductor device which concerns on the 2nd Embodiment of this invention. 本発明の第3の実施形態に係る半導体装置の製造方法の一部を模式的に示した断面図。Sectional drawing which showed typically a part of manufacturing method of the semiconductor device which concerns on the 3rd Embodiment of this invention. 本発明の第3の実施形態に係る半導体装置の製造方法の一部を模式的に示した断面図。Sectional drawing which showed typically a part of manufacturing method of the semiconductor device which concerns on the 3rd Embodiment of this invention. 本発明の第4の実施形態に係る半導体装置の製造方法の一部を模式的に示した断面図。Sectional drawing which showed typically a part of manufacturing method of the semiconductor device which concerns on the 4th Embodiment of this invention. 本発明の第5の実施形態に係る半導体装置の製造方法の一部を模式的に示した断面図。Sectional drawing which showed typically a part of manufacturing method of the semiconductor device which concerns on the 5th Embodiment of this invention. 本発明の第5の実施形態に係る半導体装置の製造方法の一部を模式的に示した断面図。Sectional drawing which showed typically a part of manufacturing method of the semiconductor device which concerns on the 5th Embodiment of this invention. 本発明の第6の実施形態に係る半導体装置の製造方法の一部を模式的に示した断面図。Sectional drawing which showed typically a part of manufacturing method of the semiconductor device which concerns on the 6th Embodiment of this invention.

符号の説明Explanation of symbols

1、22、40 シリコン基板
2、23、41 素子分離領域(STI)
3、24、42 Pウェル拡散層
4、25、43 Nウェル拡散層
5、26、44 シリコン酸化膜
6、27、45 高誘電率ゲート絶縁膜
7 シリコン膜
8、28、47 レジスト
9、18、36、46、54 SiN膜
10、23 HfSiON膜
11、30 La(O)膜
12、31、49 W膜
13、32、50 バリアメタル
14 WSi膜
15、33、51 ドープト多結晶シリコン膜
16、34、52 ゲート電極
17、35、53 ゲート絶縁膜
19、37、55 TEOS膜
20、38、56 ソース・ドレイン拡散層
21、39、57 SiGe層
29 窒化HfSiON膜
48 La
1, 22, 40 Silicon substrate 2, 23, 41 Element isolation region (STI)
3, 24, 42 P well diffusion layer 4, 25, 43 N well diffusion layer 5, 26, 44 Silicon oxide film 6, 27, 45 High dielectric constant gate insulating film 7 Silicon film 8, 28, 47 Resist 9, 18, 36, 46, 54 SiN film 10, 23 HfSiON film 11, 30 La (O) film 12, 31, 49 W film 13, 32, 50 Barrier metal 14 WSi film 15, 33, 51 Doped polycrystalline silicon film 16, 34 , 52 Gate electrodes 17, 35, 53 Gate insulating films 19, 37, 55 TEOS films 20, 38, 56 Source / drain diffusion layers 21, 39, 57 SiGe layer 29 Nitride HfSiON film 48 La 2 O 3 film

Claims (6)

基板の主面にN型半導体領域及びP型半導体領域を形成する工程と、
前記N型半導体領域及びP型半導体領域上に高誘電率ゲート絶縁膜を形成する工程と、
前記高誘電率ゲート絶縁膜上にシリコン膜を形成する工程と、
前記N型半導体領域上の前記シリコン膜を窒化して窒化シリコン膜に置換する工程と、
前記P型半導体領域の前記シリコン膜上及び前記N型半導体領域の前記窒化シリコン膜上にキャップ膜を介してメタルゲート電極を形成する工程と、
前記キャップ膜の構成元素を前記N型半導体領域の前記高誘電率ゲート絶縁膜中へ導入する加熱工程と、
を備えることを特徴とする半導体装置の製造方法。
Forming an N-type semiconductor region and a P-type semiconductor region on the main surface of the substrate;
Forming a high dielectric constant gate insulating film on the N-type semiconductor region and the P-type semiconductor region;
Forming a silicon film on the high dielectric constant gate insulating film;
Nitriding the silicon film on the N-type semiconductor region and replacing it with a silicon nitride film;
Forming a metal gate electrode on the silicon film in the P-type semiconductor region and on the silicon nitride film in the N-type semiconductor region via a cap film;
Introducing a constituent element of the cap film into the high dielectric constant gate insulating film of the N-type semiconductor region;
A method for manufacturing a semiconductor device, comprising:
前記高誘電率ゲート絶縁膜が、ハフニウム又はジルコニウムの少なくともどちらか一方を含有することを特徴とする請求項1記載の半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the high dielectric constant gate insulating film contains at least one of hafnium and zirconium. 前記N型半導体領域上の前記高誘電率ゲート絶縁膜の下部に、SiGe、Al、またはAlNのいずれかの単層、或いはそれらの積層を形成する工程をさらに備えることを特徴とする請求項2記載の半導体装置の製造方法。 The method further includes the step of forming a single layer of SiGe, Al 2 O 3 , or AlN, or a stacked layer thereof under the high dielectric constant gate insulating film on the N-type semiconductor region. A method for manufacturing a semiconductor device according to claim 2. 基板の主面にN型半導体領域及びP型半導体領域を形成する工程と、
前記N型半導体領域及びP型半導体領域上に高誘電率ゲート絶縁膜を形成する工程と、
前記N型半導体領域上の前記高誘電率ゲート絶縁膜を窒化して窒化高誘電率ゲート絶縁膜に置換する工程と、
前記P型半導体領域の前記高誘電率ゲート絶縁膜上及び前記N型半導体領域の前記窒化高誘電率ゲート絶縁膜上にキャップ膜を介してメタルゲート電極を形成する工程と、
前記キャップ膜の構成元素を前記P型半導体領域の前記高誘電率ゲート絶縁膜中へ導入する加熱工程と、
を備えることを特徴とする半導体装置の製造方法。
Forming an N-type semiconductor region and a P-type semiconductor region on the main surface of the substrate;
Forming a high dielectric constant gate insulating film on the N-type semiconductor region and the P-type semiconductor region;
Nitriding the high dielectric constant gate insulating film on the N-type semiconductor region and replacing it with a nitrided high dielectric constant gate insulating film;
Forming a metal gate electrode on the high dielectric constant gate insulating film in the P-type semiconductor region and on the nitrided high dielectric constant gate insulating film in the N-type semiconductor region via a cap film;
Introducing a constituent element of the cap film into the high dielectric constant gate insulating film of the P-type semiconductor region;
A method for manufacturing a semiconductor device, comprising:
前記高誘電率ゲート絶縁膜が、ハフニウム又はジルコニウムの少なくともどちらか一方を含有することを特徴とする請求項4記載の半導体装置の製造方法。   5. The method of manufacturing a semiconductor device according to claim 4, wherein the high dielectric constant gate insulating film contains at least one of hafnium and zirconium. 基板の主面にN型半導体領域及びP型半導体領域を形成する工程と、
前記N型半導体領域及びP型半導体領域上に高誘電率ゲート絶縁膜を形成する工程と、
前記N型半導体領域上の前記高誘電率ゲート絶縁膜上にシリコン窒化膜を形成する工程と、
前記P型半導体領域の前記高誘電率ゲート絶縁膜上及び前記N型半導体領域の前記シリコン窒化膜上にキャップ膜を介してメタルゲート電極を形成する工程と、
前記キャップ膜の構成元素を前記P型半導体領域の前記高誘電率ゲート絶縁膜中へ導入する加熱工程と、
を備えることを特徴とする半導体装置の製造方法。
Forming an N-type semiconductor region and a P-type semiconductor region on the main surface of the substrate;
Forming a high dielectric constant gate insulating film on the N-type semiconductor region and the P-type semiconductor region;
Forming a silicon nitride film on the high dielectric constant gate insulating film on the N-type semiconductor region;
Forming a metal gate electrode on the high dielectric constant gate insulating film in the P-type semiconductor region and on the silicon nitride film in the N-type semiconductor region via a cap film;
Introducing a constituent element of the cap film into the high dielectric constant gate insulating film of the P-type semiconductor region;
A method for manufacturing a semiconductor device, comprising:
JP2008114176A 2008-01-17 2008-04-24 Semiconductor device fabrication method Pending JP2009194352A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008114176A JP2009194352A (en) 2008-01-17 2008-04-24 Semiconductor device fabrication method
US12/355,318 US8034678B2 (en) 2008-01-17 2009-01-16 Complementary metal oxide semiconductor device fabrication method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008008508 2008-01-17
JP2008114176A JP2009194352A (en) 2008-01-17 2008-04-24 Semiconductor device fabrication method

Publications (1)

Publication Number Publication Date
JP2009194352A true JP2009194352A (en) 2009-08-27

Family

ID=41076061

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008114176A Pending JP2009194352A (en) 2008-01-17 2008-04-24 Semiconductor device fabrication method

Country Status (1)

Country Link
JP (1) JP2009194352A (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009302260A (en) * 2008-06-12 2009-12-24 Panasonic Corp Semiconductor device and method for manufacturing the same
WO2010047013A1 (en) * 2008-10-21 2010-04-29 パナソニック株式会社 Semiconductor device and method for manufacturing same
JP2010103386A (en) * 2008-10-27 2010-05-06 Renesas Technology Corp Semiconductor device and method of manufacturing the same
JP2010262977A (en) * 2009-04-30 2010-11-18 Renesas Electronics Corp Method of manufacturing semiconductor device
JP2011009373A (en) * 2009-06-24 2011-01-13 Renesas Electronics Corp Method of manufacturing semiconductor device, and semiconductor device
JP2011023738A (en) * 2010-09-27 2011-02-03 Panasonic Corp Semiconductor device
WO2011025804A1 (en) * 2009-08-31 2011-03-03 Globalfoundries Inc. Work function adjustment in high-k gates stacks including gate dielectrics of different thickness
JP2011077421A (en) * 2009-10-01 2011-04-14 Renesas Electronics Corp Method of manufacturing semiconductor device
JP2011146700A (en) * 2010-01-18 2011-07-28 Samsung Electronics Co Ltd Semiconductor device including mos transistor having optimized channel region
WO2011104788A1 (en) * 2010-02-23 2011-09-01 パナソニック株式会社 Method for manufacturing a semiconductor device
WO2011148435A1 (en) * 2010-05-24 2011-12-01 パナソニック株式会社 Semiconductor device and process for production thereof
WO2012070163A1 (en) * 2010-11-22 2012-05-31 パナソニック株式会社 Semiconductor device and process for production thereof
KR20120066599A (en) * 2010-12-14 2012-06-22 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor integrated circuit device
WO2012141122A1 (en) * 2011-04-14 2012-10-18 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit device and method for manufacturing semiconductor integrated circuit device
US8563411B2 (en) 2010-08-03 2013-10-22 Samsung Electronics Co., Ltd. Semiconductor devices having a diffusion barrier layer and methods of manufacturing the same
JP2014078708A (en) * 2012-10-08 2014-05-01 Imec Method for manufacturing dual work function semiconductor device
US8779524B2 (en) 2010-02-09 2014-07-15 Panasonic Corporation Semiconductor device
US8786022B2 (en) 2010-04-16 2014-07-22 Fujitsu Semiconductor Limited Semiconductor device and semiconductor device manufacturing method

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4602440B2 (en) * 2008-06-12 2010-12-22 パナソニック株式会社 Semiconductor device and manufacturing method thereof
US8258582B2 (en) 2008-06-12 2012-09-04 Panasonic Corporation Semiconductor device and method for manufacturing the same
JP2009302260A (en) * 2008-06-12 2009-12-24 Panasonic Corp Semiconductor device and method for manufacturing the same
US8004044B2 (en) 2008-06-12 2011-08-23 Panasonic Corporation Semiconductor device and method for manufacturing the same
WO2010047013A1 (en) * 2008-10-21 2010-04-29 パナソニック株式会社 Semiconductor device and method for manufacturing same
US8796780B2 (en) 2008-10-27 2014-08-05 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2010103386A (en) * 2008-10-27 2010-05-06 Renesas Technology Corp Semiconductor device and method of manufacturing the same
JP2010262977A (en) * 2009-04-30 2010-11-18 Renesas Electronics Corp Method of manufacturing semiconductor device
JP2011009373A (en) * 2009-06-24 2011-01-13 Renesas Electronics Corp Method of manufacturing semiconductor device, and semiconductor device
WO2011025804A1 (en) * 2009-08-31 2011-03-03 Globalfoundries Inc. Work function adjustment in high-k gates stacks including gate dielectrics of different thickness
US8349695B2 (en) 2009-08-31 2013-01-08 GlobalFoundries, Inc. Work function adjustment in high-k gate stacks including gate dielectrics of different thickness
JP2011077421A (en) * 2009-10-01 2011-04-14 Renesas Electronics Corp Method of manufacturing semiconductor device
JP2011146700A (en) * 2010-01-18 2011-07-28 Samsung Electronics Co Ltd Semiconductor device including mos transistor having optimized channel region
US8779524B2 (en) 2010-02-09 2014-07-15 Panasonic Corporation Semiconductor device
WO2011104788A1 (en) * 2010-02-23 2011-09-01 パナソニック株式会社 Method for manufacturing a semiconductor device
US8786022B2 (en) 2010-04-16 2014-07-22 Fujitsu Semiconductor Limited Semiconductor device and semiconductor device manufacturing method
WO2011148435A1 (en) * 2010-05-24 2011-12-01 パナソニック株式会社 Semiconductor device and process for production thereof
JP2011249381A (en) * 2010-05-24 2011-12-08 Panasonic Corp Semiconductor device and manufacturing method of the same
US9887138B2 (en) 2010-05-24 2018-02-06 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device
US8563411B2 (en) 2010-08-03 2013-10-22 Samsung Electronics Co., Ltd. Semiconductor devices having a diffusion barrier layer and methods of manufacturing the same
US8963227B2 (en) 2010-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor devices having a diffusion barrier layer and methods of manufacturing the same
JP2011023738A (en) * 2010-09-27 2011-02-03 Panasonic Corp Semiconductor device
JP2012114139A (en) * 2010-11-22 2012-06-14 Panasonic Corp Semiconductor apparatus and manufacturing method thereof
WO2012070163A1 (en) * 2010-11-22 2012-05-31 パナソニック株式会社 Semiconductor device and process for production thereof
JP2012129292A (en) * 2010-12-14 2012-07-05 Renesas Electronics Corp Semiconductor integrated circuit device
KR20120066599A (en) * 2010-12-14 2012-06-22 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor integrated circuit device
KR101888687B1 (en) 2010-12-14 2018-08-14 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor integrated circuit device
WO2012141122A1 (en) * 2011-04-14 2012-10-18 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit device and method for manufacturing semiconductor integrated circuit device
JP5684371B2 (en) * 2011-04-14 2015-03-11 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
US9287259B2 (en) 2011-04-14 2016-03-15 Renesas Electronics Corporation Semiconductor integrated circuit device and method for manufacturing semiconductor integrated circuit device
JP2014078708A (en) * 2012-10-08 2014-05-01 Imec Method for manufacturing dual work function semiconductor device

Similar Documents

Publication Publication Date Title
US8034678B2 (en) Complementary metal oxide semiconductor device fabrication method
JP2009194352A (en) Semiconductor device fabrication method
US9105692B2 (en) Method of fabricating an interconnection structure in a CMOS comprising a step of forming a dummy electrode
KR100757026B1 (en) Method for fabricating semiconductor device
US8097500B2 (en) Method and apparatus for fabricating a high-performance band-edge complementary metal-oxide-semiconductor device
JP5569173B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2008060538A (en) Semiconductor device and method of manufacturing same
JP2009141168A (en) Semiconductor device and method of manufacturing the same
JP2009152342A (en) Method of manufacturing semiconductor device
JP2006245167A (en) Semiconductor device and manufacturing method thereof
JP2010262977A (en) Method of manufacturing semiconductor device
JP2010177240A (en) Semiconductor device and method of manufacturing the same
JP5203905B2 (en) Semiconductor device and manufacturing method thereof
US9142414B2 (en) CMOS devices with metal gates and methods for forming the same
JP2010177265A (en) Manufacturing method for semiconductor device
JP2010272596A (en) Method of manufacturing semiconductor device
JP2009004444A (en) Semiconductor device and manufacturing method thereof
US7696585B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP2008103613A (en) Semiconductor device and manufacturing method thereof
JP2008016522A (en) Semiconductor device and method of manufacturing same
JP2012054531A (en) Semiconductor device and manufacturing method of the same
JP2006013270A (en) Semiconductor device and its manufacturing method
JP2006108439A (en) Semiconductor device
JP4589219B2 (en) Manufacturing method of semiconductor device
JP2011166114A (en) Semiconductor device and method of manufacturing the same