JP2012199361A - Semiconductor integrated circuit device manufacturing method - Google Patents

Semiconductor integrated circuit device manufacturing method Download PDF

Info

Publication number
JP2012199361A
JP2012199361A JP2011062138A JP2011062138A JP2012199361A JP 2012199361 A JP2012199361 A JP 2012199361A JP 2011062138 A JP2011062138 A JP 2011062138A JP 2011062138 A JP2011062138 A JP 2011062138A JP 2012199361 A JP2012199361 A JP 2012199361A
Authority
JP
Japan
Prior art keywords
film
resist film
gate
integrated circuit
semiconductor integrated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011062138A
Other languages
Japanese (ja)
Inventor
Masaaki Shinohara
正昭 篠原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2011062138A priority Critical patent/JP2012199361A/en
Publication of JP2012199361A publication Critical patent/JP2012199361A/en
Withdrawn legal-status Critical Current

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

PROBLEM TO BE SOLVED: To solve problems of low oxidation resistance and low wet etching resistance caused by use of a High-k insulation film and a metal electrode component as a gate stack material; the problems being caused because though it is important to reduce a distance between end parts of adjacent gates for microfabrication of a pattern, particularly in order to reduce a cell area of SRAM, it is hard in general to transfer a pattern in a single exposure by ArF in a 28 nm technology node, and accordingly, a microfabricated pattern is formed by repetition of exposure, etching, and the like a plurality of times.SOLUTION: According to the present invention, in patterning of a multilayer gate film having a high-k gate insulation film and a metal electrode film in a memory region, first, etching of a cutting region between adjacent gate electrodes is performed by using a first resist film, and after the unnecessary first resist film is removed, etching of a line-and-space pattern by using a second resist film is performed.

Description

本発明は、半導体集積回路装置(または半導体装置)の製造方法におけるゲート電極等の加工技術に適用して有効な技術に関する。   The present invention relates to a technique that is effective when applied to a processing technique for a gate electrode or the like in a method for manufacturing a semiconductor integrated circuit device (or a semiconductor device).

日本特開2002−175981号公報(特許文献1)または、これに対応する米国特許公開2002−59557号公報(特許文献2)には、SRAM(Static Random Access Memory)等のゲート電極等のパターニングにおいて、パターンコーナ部のラウンディング(Rounding)を回避するために、ハードマスク膜に対して、レジスト膜のパターニング、そのレジスト膜によるハードマスク膜パターニング、レジスト膜の除去の工程を2度繰り返して、コーナ部がラウンド(Round)しないハードマスク膜パターンを得る技術が開示されている。   In Japanese Patent Laid-Open No. 2002-175981 (Patent Document 1) or US Patent Publication No. 2002-59557 (Patent Document 2) corresponding thereto, patterning of a gate electrode such as an SRAM (Static Random Access Memory) is described. In order to avoid the rounding of the pattern corner portion, the resist mask patterning, the hard mask film patterning using the resist film, and the resist film removal process are repeated twice on the hard mask film to obtain a corner. A technique for obtaining a hard mask film pattern in which a portion does not round is disclosed.

日本特開2008−91824号公報(特許文献3)または、これに対応する米国特許第7462566号公報(特許文献4)には、ゲート電極等のパターニングにおいて、最初にハードマスクをライン&スペースパターンを有する第1のレジスト膜でパターニングし、そのレジスト膜を除去した後、第2のレジスト膜に微細パターンを焼き付けて、この新たなレジスト膜を用いて、ハードマスクを加工する技術が開示されている。   In Japanese Unexamined Patent Publication No. 2008-91824 (Patent Document 3) or US Pat. No. 7,462,566 (Patent Document 4) corresponding thereto, a line & space pattern is first used as a hard mask in patterning a gate electrode or the like. A technique is disclosed in which a first resist film is patterned, the resist film is removed, a fine pattern is baked on the second resist film, and a hard mask is processed using the new resist film. .

日本特開2010−118599号公報(特許文献5)には、ゲート電極等のパターニングにおいて、最初に第1のレジスト膜を用いて、阻止分離領域上にあるゲート突き当て部分の分離のための対象膜のエッチングを実行し、レジスト除去後、ライン&スペースパターンを有する第2のレジスト膜を用いて、対象膜のエッチングを行う技術が開示されている。   Japanese Patent Application Laid-Open No. 2010-118599 (Patent Document 5) discloses an object for separating a gate abutting portion on a blocking separation region by first using a first resist film in patterning of a gate electrode or the like. A technique is disclosed in which etching of a target film is performed using a second resist film having a line and space pattern after etching the film and removing the resist.

特開2002−175981号公報JP 2002-175981 A 米国特許公開2002−59557号公報US Patent Publication No. 2002-59557 特開2008−91824号公報JP 2008-91824 A 米国特許第7462566号公報US Pat. No. 7,462,566 特開2010−118599号公報JP 2010-118599 A

パターンの微細化、特に、SRAMのセル面積を縮小するためには、隣接ゲートの端部間距離を縮小することが重要となる。しかし、28nmテクノロジノードにおいては、ArF(波長193nm)による単一回露光でパターンを転写することは、一般に困難である。従って、通常、複数回の露光、エッチング等を繰り返すことによって、微細パターンを形成しているが、ライン&スペースパターンのエッチング後の新たなレジスト膜が平坦にならない等の問題や、ゲートスタック材にHigh−k絶縁膜やメタル電極部材が使用されているため、酸化耐性やウエットエッチ耐性が低い等の問題がある。   In order to miniaturize the pattern, particularly to reduce the SRAM cell area, it is important to reduce the distance between the end portions of adjacent gates. However, in a 28 nm technology node, it is generally difficult to transfer a pattern by single exposure with ArF (wavelength 193 nm). Therefore, a fine pattern is usually formed by repeating multiple times of exposure, etching, etc., but the problem that the new resist film after etching of the line & space pattern does not become flat or the gate stack material Since a high-k insulating film and a metal electrode member are used, there are problems such as low oxidation resistance and low wet etch resistance.

本願発明は、これらの課題を解決するためになされたものである。   The present invention has been made to solve these problems.

本発明の目的は、信頼性の高い半導体集積回路装置の製造プロセスを提供することにある。   An object of the present invention is to provide a manufacturing process of a highly reliable semiconductor integrated circuit device.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。   The following is a brief description of an outline of typical inventions disclosed in the present application.

すなわち、本願の一つの発明は、メモリ領域におけるhigh−kゲート絶縁膜およびメタル電極膜を有するゲート積層膜(ダミーゲート積層膜を含む)のパターニングにおいて、最初に、第1のレジスト膜を用いて、隣接ゲート電極間切断領域のエッチングを実行し不要になった第1のレジスト膜を除去した後、第2のレジスト膜を用いて、ライン&スペースパターンのエッチングを実行するものである。   That is, according to one aspect of the present invention, in patterning a gate laminated film (including a dummy gate laminated film) having a high-k gate insulating film and a metal electrode film in the memory region, first, the first resist film is used. Then, after the first resist film that has become unnecessary is removed by performing etching of the region between the adjacent gate electrodes, the line and space pattern is etched using the second resist film.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、メモリ領域におけるhigh−kゲート絶縁膜およびメタル電極膜を有するゲート積層膜(ダミーゲート積層膜を含む)のパターニングにおいて、最初に、第1のレジスト膜を用いて、隣接ゲート電極間切断領域のエッチングを実行し不要になった第1のレジスト膜を除去した後、第2のレジスト膜を用いて、ライン&スペースパターンのエッチングを実行するので、前記第2のレジスト膜を形成したときのレジスト上面の平坦性を向上させることができる。   That is, in patterning a gate stacked film (including a dummy gate stacked film) having a high-k gate insulating film and a metal electrode film in the memory region, first, a first resist film is used to cut a region between adjacent gate electrodes. After the first resist film that is no longer needed is removed by performing this etching, line & space pattern etching is performed using the second resist film, so that when the second resist film is formed The flatness of the resist upper surface can be improved.

本願の一実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例であるSOCチップの上面レイアウト等を説明するためのウエハ及びチップ上面図である。1 is a wafer and chip top view for explaining an upper surface layout and the like of an SOC chip which is an example of a target device in a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present application; 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの概要を説明するための図1のメモリ領域切り出し部R1および非メモリ領域切り出し部R2の上面図(隣接ゲート電極間切断領域パターニング用レジスト膜パターニング完了時)である。1 is a top view of the memory region cutout portion R1 and the non-memory region cutout portion R2 in FIG. 1 for explaining an outline of a gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (cutting between adjacent gate electrodes). Region patterning resist film patterning completion). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの概要を説明するための図1のメモリ領域切り出し部R1および非メモリ領域切り出し部R2の上面図(隣接ゲート電極間切断領域エッチング完了時)である。1 is a top view of the memory region cutout portion R1 and the non-memory region cutout portion R2 in FIG. 1 for explaining an outline of a gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (cutting between adjacent gate electrodes). (When region etching is completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの概要を説明するための図1のメモリ領域切り出し部R1および非メモリ領域切り出し部R2の上面図(ゲート電極パターニング用レジスト膜パターニング完了時)である。FIG. 1 is a top view of a memory region cutout portion R1 and a non-memory region cutout portion R2 in FIG. 1 for explaining an outline of a gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (resist for gate electrode patterning). (When film patterning is completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの概要を説明するための図1のメモリ領域切り出し部R1および非メモリ領域切り出し部R2の上面図(ゲート電極膜エッチング完了時)である。FIG. 1 is a top view of the memory region cutout portion R1 and the non-memory region cutout portion R2 in FIG. 1 for explaining the outline of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (the gate electrode film etching completion). Time). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細を説明するための図2のA−B−C−D−E断面に対応するデバイス断面図(隣接ゲート電極間切断領域パターニング用レジスト膜パターニング完了時)である。FIG. 2 is a device cross-sectional view (between adjacent gate electrodes) corresponding to the cross section A-B-C-D-E in FIG. 2 for explaining details of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application; When the resist film patterning for patterning the cutting region is completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細を説明するための図3のA−B−C−D−E断面に対応するデバイス断面図(隣接ゲート電極間切断領域エッチング完了時)である。FIG. 3 is a device cross-sectional view (between adjacent gate electrodes) corresponding to the cross section A-B-C-D-E in FIG. 3 for explaining details of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application; (When the cut region etching is completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細を説明するための図4のA−B−C−D−E断面に対応するデバイス断面図(ゲート電極パターニング用レジスト膜パターニング完了時)である。4 is a device cross-sectional view (for gate electrode patterning) corresponding to the cross section A-B-C-D-E in FIG. 4 for explaining details of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. At the completion of resist film patterning). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細を説明するための図5のA−B−C−D−E断面に対応するデバイス断面図(ゲート電極膜エッチング完了時)である。Device sectional view corresponding to the A-B-C-D-E section of FIG. 5 for explaining details of the gate patterning process in the manufacturing method of the semiconductor integrated circuit device of the one embodiment of the present application (gate electrode film etching) When completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(隣接ゲート電極間切断領域パターニング用レジスト膜形成完了時)である。The device of the YY 'cross section corresponding to the process flow of FIGS. 2-5 for demonstrating the detailed step of the gate patterning process in the manufacturing method of the semiconductor integrated circuit device of the said one Embodiment of this application of FIG. It is sectional drawing (at the time of completion of the resist film formation for cutting area patterning between adjacent gate electrodes). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(隣接ゲート電極間切断領域パターニング用多層レジスト膜の上層膜パターニング完了時)である。The device of the YY 'cross section corresponding to the process flow of FIGS. 2-5 for demonstrating the detailed step of the gate patterning process in the manufacturing method of the semiconductor integrated circuit device of the said one Embodiment of this application of FIG. It is sectional drawing (at the time of completion of upper layer film patterning of the multilayer resist film for patterning the cutting region between adjacent gate electrodes). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(隣接ゲート電極間切断領域パターニング用多層レジスト膜の中間層膜エッチング完了時)である。The device of the YY 'cross section corresponding to the process flow of FIGS. 2-5 for demonstrating the detailed step of the gate patterning process in the manufacturing method of the semiconductor integrated circuit device of the said one Embodiment of this application of FIG. It is sectional drawing (at the time of completion of intermediate layer film etching of the multilayer resist film for patterning the cutting region between adjacent gate electrodes). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(隣接ゲート電極間切断領域パターニング用多層レジスト膜の下層膜エッチング完了時)である。The device of the YY 'cross section corresponding to the process flow of FIGS. 2-5 for demonstrating the detailed step of the gate patterning process in the manufacturing method of the semiconductor integrated circuit device of the said one Embodiment of this application of FIG. It is sectional drawing (at the time of completion of the lower layer film etching of the multilayer resist film for patterning the cutting area pattern between adjacent gate electrodes). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(ゲート電極膜等加工のためのシュリンク工程完了時)である。The device of the YY 'cross section corresponding to the process flow of FIGS. 2-5 for demonstrating the detailed step of the gate patterning process in the manufacturing method of the semiconductor integrated circuit device of the said one Embodiment of this application of FIG. It is sectional drawing (at the time of completion of the shrink process for processing a gate electrode film etc.). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(ゲート電極膜等のエッチング工程完了時)である。The device of the YY 'cross section corresponding to the process flow of FIGS. 2-5 for demonstrating the detailed step of the gate patterning process in the manufacturing method of the semiconductor integrated circuit device of the said one Embodiment of this application of FIG. It is sectional drawing (at the time of completion of etching processes, such as a gate electrode film). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(隣接ゲート電極間切断領域パターニング用多層レジスト膜の下層膜等の除去完了時)である。The device of the YY 'cross section corresponding to the process flow of FIGS. 2-5 for demonstrating the detailed step of the gate patterning process in the manufacturing method of the semiconductor integrated circuit device of the said one Embodiment of this application of FIG. It is sectional drawing (at the time of completion of removal of the lower layer film etc. of the multilayer resist film for patterning the cutting area pattern between adjacent gate electrodes). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(ゲート電極パターニング用レジスト膜塗布完了時)である。The device of the YY 'cross section corresponding to the process flow of FIGS. 2-5 for demonstrating the detailed step of the gate patterning process in the manufacturing method of the semiconductor integrated circuit device of the said one Embodiment of this application of FIG. It is sectional drawing (at the time of completion of the resist film application | coating for gate electrode patterning). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するX−X’断面およびD−E断面のデバイス断面図(図17と同じ、すなわち、ゲート電極パターニング用レジスト膜塗布完了時)である。XX ′ cross-section and DE cross-section devices corresponding to the process flow of FIGS. 2 to 5 for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. FIG. 18 is a cross-sectional view (same as FIG. 17, that is, when the gate electrode patterning resist film application is completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するX−X’断面およびD−E断面のデバイス断面図(ゲート電極パターニング用多層レジスト膜の上層膜の現像完了時)である。XX ′ cross-section and DE cross-section devices corresponding to the process flow of FIGS. 2 to 5 for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is sectional drawing (at the time of completion of development of the upper layer film of the multilayer resist film for gate electrode patterning). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するX−X’断面およびD−E断面のデバイス断面図(ゲート電極パターニング用多層レジスト膜の上層膜のトリム完了時)である。XX ′ cross-section and DE cross-section devices corresponding to the process flow of FIGS. 2 to 5 for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is sectional drawing (at the time of completion of trimming of the upper layer film of the multilayer resist film for gate electrode patterning). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するX−X’断面およびD−E断面のデバイス断面図(ゲート電極膜等のエッチング完了時)である。XX ′ cross-section and DE cross-section devices corresponding to the process flow of FIGS. 2 to 5 for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is sectional drawing (at the time of completion of etching of a gate electrode film etc.). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するX−X’断面およびD−E断面のデバイス断面図(ゲート電極パターニング用多層レジスト膜の下層膜の除去完了時)である。XX ′ cross-section and DE cross-section devices corresponding to the process flow of FIGS. 2 to 5 for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is sectional drawing (at the time of completion of removal of the lower layer film of the multilayer resist film for gate electrode patterning). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(図22と同じ、すなわち、ゲート電極パターニング用多層レジスト膜の下層膜の除去完了時)である。FIG. 22 is a schematic device cross-sectional view of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining the outline of the main process after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (FIG. 22). The same, that is, when the removal of the lower layer film of the multilayer resist film for gate electrode patterning is completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(オフセットスペーサおよびエクステンション領域導入完了時)である。FIG. 1 is a schematic device cross-sectional view of the non-memory region CMISFET pair cutout portion R3 in FIG. 1 for explaining an outline of a main process after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (offset spacer and When the extension area has been introduced). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(サイドウォール形成用絶縁膜成膜完了時)である。1 is a schematic device cross-sectional view (side wall formation) of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining an outline of main processes after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. (When the insulating film formation is completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(サイドウォール形成完了時)である。1 is a schematic device cross-sectional view (side wall formation) of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining an outline of main processes after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. When completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(シリサイド層形成完了時)である。FIG. 1 is a schematic device cross-sectional view (silicide layer formation) of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining an outline of main processes after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. When completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(プリメタル絶縁膜成膜完了時)である。1 is a schematic device cross-sectional view of a non-memory region CMISFET pair cutout portion R3 in FIG. 1 for explaining an outline of main processes after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (premetal insulating film) (When film formation is completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(コンタクトホール形成完了時)である。Schematic device sectional view of non-memory region CMISFET pair cutout portion R3 in FIG. 1 for explaining an outline of main processes after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (contact hole formation) When completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(タングステンプラグ埋め込み完了時)である。FIG. 1 is a schematic device cross-sectional view of a non-memory region CMISFET pair cutout portion R3 in FIG. 1 for explaining an outline of a main process after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (embedding a tungsten plug). When completed). 本願の前記一実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例であるSOCチップのSRAMメモリセルの回路図である。2 is a circuit diagram of an SRAM memory cell of an SOC chip, which is an example of a target device in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. FIG. 本願の前記一実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例であるSOCチップのSRAMメモリセルの実際の平面レイアウトの一例を示すメモリセル平面レイアウト図である。FIG. 3 is a memory cell plane layout diagram showing an example of an actual plane layout of an SRAM memory cell of an SOC chip that is an example of a target device in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application.

〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
[Outline of Embodiment]
First, an outline of a typical embodiment of the invention disclosed in the present application will be described.

1.以下の工程を含む半導体集積回路装置の製造方法:
(a)第1及び第2の主面を有する半導体ウエハであって、その第1の主面上に、メモリ領域および非メモリ領域を含む複数のチップ領域を有する前記半導体ウエハを準備する工程;
(b)前記半導体ウエハの前記第1の主面上に、下層のhigh−kゲート絶縁膜および上層のゲートメタル電極膜を有するゲート積層膜を形成する工程;
(c)前記ゲート積層膜上に、前記メモリ領域におけるゲート電極の延在方向において、隣接ゲート電極間切断領域を規定するための第1のレジスト膜を形成する工程;
(d)前記第1のレジスト膜に対して、パターニングを実行することにより、前記隣接ゲート電極間切断領域に対応するレジスト膜開口を形成する工程;
(e)パターニングされた前記第1のレジスト膜がある状態で、前記ゲート積層膜に対するエッチングを実行する工程;
(f)前記工程(e)の後、前記第1のレジスト膜を除去する工程;
(g)前記工程(f)の後、前記半導体ウエハの前記第1の主面上に、前記メモリ領域における前記ゲート電極に対応するライン&スペースパターンを規定するための第2のレジスト膜を形成する工程;
(h)前記第2のレジスト膜に対して、パターニングを実行する工程;
(i)パターニングされた前記第2のレジスト膜がある状態で、前記ゲート積層膜に対するエッチングを実行する工程;
(j)前記工程(i)の後、前記第2のレジスト膜を除去する工程。
1. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) a step of preparing a semiconductor wafer having first and second main surfaces, the semiconductor wafer having a plurality of chip regions including a memory region and a non-memory region on the first main surface;
(B) forming a gate laminated film having a lower high-k gate insulating film and an upper gate metal electrode film on the first main surface of the semiconductor wafer;
(C) forming a first resist film for defining a cutting region between adjacent gate electrodes on the gate laminated film in the extending direction of the gate electrode in the memory region;
(D) forming a resist film opening corresponding to the cut region between the adjacent gate electrodes by performing patterning on the first resist film;
(E) performing the etching on the gate laminated film in a state where the patterned first resist film is present;
(F) a step of removing the first resist film after the step (e);
(G) After the step (f), a second resist film for defining a line and space pattern corresponding to the gate electrode in the memory region is formed on the first main surface of the semiconductor wafer. The step of:
(H) A step of patterning the second resist film;
(I) a step of performing etching on the gate laminated film in a state where the patterned second resist film is present;
(J) A step of removing the second resist film after the step (i).

2.前記1項の半導体集積回路装置の製造方法において、前記第1のレジスト膜および前記第2のレジスト膜と、前記ゲート積層膜の間には、ハードマスク膜がない。   2. In the method for manufacturing a semiconductor integrated circuit device according to the item 1, there is no hard mask film between the first resist film, the second resist film, and the gate laminated film.

3.前記1または2項の半導体集積回路装置の製造方法において、前記工程(g)の前記第2のレジスト膜は、前記非メモリ領域におけるゲート電極に対応するライン&スペースパターンを規定するためのものでもある。   3. In the method for manufacturing a semiconductor integrated circuit device according to the item 1 or 2, the second resist film in the step (g) may be used for defining a line and space pattern corresponding to the gate electrode in the non-memory region. is there.

4.前記1から3項のいずれか一つの半導体集積回路装置の製造方法において、前記隣接ゲート電極間切断領域は、素子分離領域内にある。   4). 4. The method of manufacturing a semiconductor integrated circuit device according to any one of items 1 to 3, wherein the adjacent gate electrode cutting region is in an element isolation region.

5.前記1から4項のいずれか一つの半導体集積回路装置の製造方法において、前記第1のレジスト膜および前記第2のレジスト膜は、それぞれ多層レジスト膜である。   5). 5. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 1 to 4, the first resist film and the second resist film are each a multilayer resist film.

6.前記1から5項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(e)は、以下の下位工程を含む:
(e1)前記レジスト膜開口を縮小させる処理を実行する工程;
(e2)前記工程(e1)の後、前記レジスト膜開口下の前記ゲート積層膜に対する異方性ドライエッチングを実行する工程。
6). In the method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 5, the step (e) includes the following substeps:
(E1) executing a process of reducing the opening of the resist film;
(E2) A step of performing anisotropic dry etching on the gate laminated film under the opening of the resist film after the step (e1).

7.前記1から6項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(i)は、以下の下位工程を含む:
(i1)パターニングされた前記第2のレジスト膜の幅を縮小させる処理を実行する工程;
(i2)前記工程(i1)の後、前記ゲート積層膜の内、前記第2のレジスト膜が被覆しない部分に対して、異方性ドライエッチングを実行する工程。
7). In the method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 6, the step (i) includes the following substeps:
(I1) executing a process of reducing the width of the patterned second resist film;
(I2) A step of performing anisotropic dry etching on a portion of the gate laminated film that is not covered with the second resist film after the step (i1).

8.前記1から7項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(d)および(h)におけるパターニングは、193nmの露光光を用いたArFリソグラフィにより実行される。   8). 8. In the method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 7, the patterning in the steps (d) and (h) is performed by ArF lithography using 193 nm exposure light.

9.前記1から8項のいずれか一つの半導体集積回路装置の製造方法において、前記第1のレジスト膜および前記第2のレジスト膜は、以下を有する:
(x1)炭素を主要な成分とする下層レジスト膜;
(x2)前記下層レジスト膜上に形成されたシリコンを主要な成分の一つとして含む中層レジスト膜;
(x3)前記中層レジスト膜上に形成された感光性の上層レジスト膜。
9. 9. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein the first resist film and the second resist film have the following:
(X1) a lower resist film containing carbon as a main component;
(X2) an intermediate layer resist film containing silicon formed on the lower layer resist film as one of main components;
(X3) A photosensitive upper resist film formed on the intermediate resist film.

10.前記1から9項のいずれか一つの半導体集積回路装置の製造方法において、前記第2のレジスト膜は、以下を有する:
(x1)炭素を主要な成分とする下層塗布系レジスト膜;
(x2)前記下層レジスト膜上に形成されたシリコンを主要な成分の一つとして含む中層レジスト膜;
(x3)前記中層レジスト膜上に形成された感光性の上層レジスト膜。
10. 10. The method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 9, wherein the second resist film has the following:
(X1) a lower-layer-coated resist film containing carbon as a main component;
(X2) an intermediate layer resist film containing silicon formed on the lower layer resist film as one of main components;
(X3) A photosensitive upper resist film formed on the intermediate resist film.

〔本願における記載形式、基本的用語、用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数のセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
[Description format, basic terms, usage in this application]
1. In the present application, the description of the embodiment may be divided into a plurality of sections for convenience, if necessary, but these are not independent from each other unless otherwise specified. Each part of a single example, one part is the other part of the details, or part or all of the modifications. Moreover, as a general rule, the same part is not repeated. In addition, each component in the embodiment is not indispensable unless specifically stated otherwise, unless it is theoretically limited to the number, and obviously not in context.

更に、本願において、「半導体装置」または「半導体集積回路装置」というときは、主に、各種トランジスタ(能動素子)単体、および、それらを中心に、抵抗、コンデンサ等を半導体チップ等(たとえば単結晶シリコン基板)上に集積したものをいう。ここで、各種トランジスタの代表的なものとしては、MOSFET(Metal Oxide Semiconductor Field Effect Transistor)に代表されるMISFET(Metal Insulator Semiconductor Field Effect Transistor)を例示することができる。このとき、集積回路構成の代表的なものとしては、Nチャネル型MISFETとPチャネル型MISFETを組み合わせたCMOS(Complemetary Metal Oxide Semiconductor)型集積回路に代表されるCMIS(Complemetary Metal Insulator Semiconductor)型集積回路を例示することができる。   Further, in the present application, the term “semiconductor device” or “semiconductor integrated circuit device” mainly refers to various types of transistors (active elements) alone, and resistors, capacitors, etc. as semiconductor chips (eg, single crystal). The one integrated on the silicon substrate). Here, as a representative of various transistors, a MISFET (Metal Insulator Semiconductor Effect Transistor) typified by a MOSFET (Metal Oxide Field Effect Transistor) can be exemplified. At this time, a typical integrated circuit configuration is a CMIS (Complementary Metal Insulator Semiconductor) integrated circuit represented by a CMOS (Complementary Metal Oxide Semiconductor) integrated circuit in which an N-channel MISFET and a P-channel MISFET are combined. Can be illustrated.

今日の半導体集積回路装置、すなわち、LSI(Large Scale Integration)のウエハ工程は、通常、原材料としてのシリコンウエハの搬入からプリメタル(Premetal)工程(M1配線層下端とゲート電極構造の間の層間絶縁膜等の形成、コンタクトホール形成、タングステンプラグ、埋め込み等からなる工程)あたりまでのFEOL(Front End of Line)工程と、M1配線層形成から始まり、アルミニウム系パッド電極上のファイナルパッシベーション膜へのパッド開口の形成あたりまで(ウエハレベルパッケージプロセスにおいては、当該プロセスも含む)のBEOL(Back End of Line)工程に大別できる。   A semiconductor process of today's semiconductor integrated circuit device, that is, a LSI (Large Scale Integration) wafer process, is usually performed by carrying a silicon wafer as a raw material to a premetal process (an interlayer insulating film between the lower end of the M1 wiring layer and the gate electrode structure). Etc., contact hole formation, tungsten plug, embedding, etc.) (FEOL (Front End of Line) process) and M1 wiring layer formation, pad opening to the final passivation film on the aluminum-based pad electrode Can be roughly divided into BEOL (Back End of Line) processes up to the formation of the wafer (including the process in the wafer level package process).

2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかに、そうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。同様に、「酸化シリコン膜」、「酸化シリコン系絶縁膜」等と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon Dioxide)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS-based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon-doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、SOG(Spin ON Glass)、ナノクラスタリングシリカ(Nano-Clustering Silica:NCS)等の塗布系酸化シリコン、これらと同様な部材に空孔を導入したシリカ系Low-k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。   2. Similarly, in the description of the embodiment and the like, the material, composition, etc. may be referred to as “X consisting of A”, etc., except when clearly stated otherwise and clearly from the context, except for A It does not exclude what makes an element one of the main components. For example, as for the component, it means “X containing A as a main component”. For example, “silicon member” is not limited to pure silicon, but also includes SiGe alloys, other multi-component alloys containing silicon as a main component, and members containing other additives. Needless to say. Similarly, “silicon oxide film”, “silicon oxide insulating film”, etc. are not only relatively pure undoped silicon oxide (FS), but also FSG (Fluorosilicate Glass), TEOS-based silicon oxide ( Thermal oxide films such as TEOS-based silicon oxide), SiOC (Silicon Oxicarbide) or Carbon-doped Silicon oxide or OSG (Organosilicate glass), PSG (Phosphorus Silicate Glass), BPSG (Borophosphosilicate Glass), CVD Oxide film, SOG (Spin ON Glass), nano-clustering silica (Nano-Clustering Silica: NCS) and other coating-type silicon oxide, silica-based low-k insulating film (porous insulating) Needless to say, a film) and a composite film with other silicon-based insulating films including these as main constituent elements are included.

また、酸化シリコン系絶縁膜と並んで、半導体分野で常用されているシリコン系絶縁膜としては、窒化シリコン系絶縁膜がある。この系統の属する材料としては、SiN,SiCN,SiNH,SiCNH等がある。ここで、「窒化シリコン」というときは、特にそうでない旨明示したときを除き、SiNおよびSiNHの両方を含む。同様に、「SiCN」というときは、特にそうでない旨明示したときを除き、SiCNおよびSiCNHの両方を含む。   In addition to silicon oxide insulating films, silicon nitride insulating films that are commonly used in the semiconductor field include silicon nitride insulating films. Materials belonging to this system include SiN, SiCN, SiNH, SiCNH, and the like. Here, “silicon nitride” includes both SiN and SiNH unless otherwise specified. Similarly, “SiCN” includes both SiCN and SiCNH, unless otherwise specified.

なお、SiCは、SiNと類似の性質を有するが、SiONは、むしろ、酸化シリコン系絶縁膜に分類すべき場合が多い。   Note that SiC has similar properties to SiN, but SiON is often rather classified as a silicon oxide insulating film.

窒化シリコン膜は、SAC(Self−Aligned Contact)技術におけるエッチストップ膜として、多用されるほか、SMT(Stress Memorization Technique)における応力付与膜(Stressor Film)としても使用される。   The silicon nitride film is frequently used as an etch stop film in SAC (Self-Aligned Contact) technology, and is also used as a stress applying film (Stressor Film) in SMT (Stress Measurement Technique).

同様に、本願において、「シリサイド」または「シリサイド層」というときは、通常、ニッケルシリサイドやニッケル白金シリサイドを指すが、ニッケルモノシリサイドを主要な構成要素の一つとする合金、混晶等を含む。また、シリサイドは、ニッケルシリサイド、ニッケル白金シリサイド等に限らず、従来から実績のあるコバルトシリサイド、チタンシリサイド、タングステンシリサイド等でもよい。また、シリサイド化のための金属膜としては、Ni(ニッケル)膜以外にも、例えばNi−Pt合金膜(NiとPtの合金膜)、Ni−V合金膜(NiとVの合金膜)、Ni−Pd合金膜(NiとPdの合金膜)、Ni−Yb合金膜(NiとYbの合金膜)またはNi−Er合金膜(NiとErの合金膜)のようなニッケル合金膜などを用いることができる。なお、これらのニッケルを主要な金属元素とするシリサイドを「ニッケル系のシリサイド」と総称する。   Similarly, in the present application, the term “silicide” or “silicide layer” usually indicates nickel silicide or nickel platinum silicide, but includes alloys, mixed crystals, and the like whose main component is nickel monosilicide. In addition, the silicide is not limited to nickel silicide, nickel platinum silicide, or the like, but may be cobalt silicide, titanium silicide, tungsten silicide, or the like that has been proven in the past. In addition to the Ni (nickel) film, for example, a Ni-Pt alloy film (Ni and Pt alloy film), a Ni-V alloy film (Ni and V alloy film), A nickel alloy film such as a Ni—Pd alloy film (Ni—Pd alloy film), a Ni—Yb alloy film (Ni—Yb alloy film) or a Ni—Er alloy film (Ni—Er alloy film) is used. be able to. These silicides having nickel as a main metal element are collectively referred to as “nickel-based silicide”.

3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。   3. Similarly, suitable examples of graphics, positions, attributes, and the like are given, but it is needless to say that the present invention is not strictly limited to those cases unless explicitly stated otherwise, and unless otherwise apparent from the context.

4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。   4). In addition, when a specific number or quantity is mentioned, a numerical value exceeding that specific number will be used unless specifically stated otherwise, unless theoretically limited to that number, or unless otherwise clearly indicated by the context. There may be a numerical value less than the specific numerical value.

5.「ウエハ」というときは、通常は半導体集積回路装置(半導体装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャルウエハ、SOI基板、LCDガラス基板等の絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。   5). “Wafer” usually refers to a single crystal silicon wafer on which a semiconductor integrated circuit device (same as a semiconductor device and an electronic device) is formed, but an insulating substrate such as an epitaxial wafer, an SOI substrate, an LCD glass substrate and the like. Needless to say, a composite wafer such as a semiconductor layer is also included.

〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。
[Details of the embodiment]
The embodiment will be further described in detail. In the drawings, the same or similar parts are denoted by the same or similar symbols or reference numerals, and description thereof will not be repeated in principle.

また、添付図面においては、却って、煩雑になる場合または空隙との区別が明確である場合には、断面であってもハッチング等を省略する場合がある。これに関連して、説明等から明らかである場合等には、平面的に閉じた孔であっても、背景の輪郭線を省略する場合がある。更に、断面でなくとも、空隙でないことを明示するために、ハッチングを付すことがある。   In the accompanying drawings, hatching or the like may be omitted even in a cross section when it becomes complicated or when the distinction from the gap is clear. In relation to this, when it is clear from the description etc., the contour line of the background may be omitted even if the hole is planarly closed. Furthermore, even if it is not a cross section, it may be hatched to clearly indicate that it is not a void.

1.本願の一実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例であるSOCチップの上面レイアウト等の説明(主に図1)
なお、以下では本願の対称デバイスの一例として、SOCチップを例に取り具体的に説明するが、メモリ専用チップであっても良いことはいうまでもない。なお、以下の例では、主に、28nmテクノロジノードの世代の製品を例にとり、具体的に説明するが、その他の世代にも適用できることは言うまでもない。
1. Description of upper surface layout of SOC chip which is an example of target device in manufacturing method of semiconductor integrated circuit device of one embodiment of the present application (mainly FIG. 1)
In the following, an SOC chip will be specifically described as an example of the symmetric device of the present application, but it is needless to say that it may be a memory dedicated chip. In the following example, a 28 nm technology node generation product is mainly described as a specific example, but it goes without saying that it can be applied to other generations.

図1は本願の一実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例であるSOCチップの上面レイアウト等を説明するためのウエハ及びチップ上面図である。これに基づいて、本願の一実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例であるSOCチップの上面レイアウト等を説明する。   FIG. 1 is a wafer and chip top view for explaining an upper surface layout and the like of an SOC chip which is an example of a target device in a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present application. Based on this, an upper surface layout and the like of an SOC chip as an example of a target device in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application will be described.

図1に示すように、ウエハ工程途中のウエハ1(ここでは、300φシリコン単結晶ウエハを例に取り説明するが、直径は450φでも200ファイでも良い)のデバイス主面1a(第1の主面)には、多数のチップ領域2が形成されている。また、ウエハ1には、その配向を判別するためのノッチ3が設けられている。   As shown in FIG. 1, a device main surface 1a (first main surface) of a wafer 1 in the middle of a wafer process (here, a 300φ silicon single crystal wafer is described as an example, but the diameter may be 450φ or 200 phi). ) A large number of chip regions 2 are formed. The wafer 1 is provided with a notch 3 for discriminating its orientation.

次に、各チップ2(チップ領域)のレイアウトの詳細を説明する。チップ領域2の周辺部4には、多数のボンディングパッド5が設けられており、内部領域にはメモリ領域6および非メモリ領域7が設けられている。ここで、メモリ領域6としては、SRAM(Static Random Access Memory)を例示するが、これに限らず、DRAM(Dynamic Random Access Memory)でもフラッシュメモリでもよい。なお、「メモリ領域」とは、正確にはメモリセル領域を指す。従って、メモリ周辺回路の多くの部分は、非メモリ領域7に属する。従って、非メモリ領域7には、このようなメモリ周辺回路のほか、ロジック回路、アナログ回路等が含まれる。   Next, details of the layout of each chip 2 (chip area) will be described. A large number of bonding pads 5 are provided in the peripheral portion 4 of the chip area 2, and a memory area 6 and a non-memory area 7 are provided in the internal area. Here, the memory area 6 is exemplified by an SRAM (Static Random Access Memory), but is not limited thereto, and may be a DRAM (Dynamic Random Access Memory) or a flash memory. Note that the “memory area” accurately refers to a memory cell area. Accordingly, many parts of the memory peripheral circuit belong to the non-memory area 7. Therefore, the non-memory area 7 includes a logic circuit, an analog circuit, and the like in addition to such a memory peripheral circuit.

2.本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの概要説明(主に図2から図5、および図6から図9)
ここでは、セクション1のSRAM混載ロジックチップの説明を受けて、メモリ領域6および非メモリ領域7(図1)におけるゲート電極等のパターニング(ダブルパターニングプロセス)について説明する。
2. Outline of gate patterning process in manufacturing method of semiconductor integrated circuit device according to one embodiment of the present application (mainly FIGS. 2 to 5 and FIGS. 6 to 9)
Here, in response to the description of the SRAM-embedded logic chip in section 1, the patterning (double patterning process) of the gate electrodes and the like in the memory region 6 and the non-memory region 7 (FIG. 1) will be described.

図2は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの概要を説明するための図1のメモリ領域切り出し部R1および非メモリ領域切り出し部R2の上面図(隣接ゲート電極間切断領域パターニング用レジスト膜パターニング完了時)である。図3は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの概要を説明するための図1のメモリ領域切り出し部R1および非メモリ領域切り出し部R2の上面図(隣接ゲート電極間切断領域エッチング完了時)である。図4は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの概要を説明するための図1のメモリ領域切り出し部R1および非メモリ領域切り出し部R2の上面図(ゲート電極パターニング用レジスト膜パターニング完了時)である。図5は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの概要を説明するための図1のメモリ領域切り出し部R1および非メモリ領域切り出し部R2の上面図(ゲート電極膜エッチング完了時)である。図6は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細を説明するための図2のA−B−C−D−E断面に対応するデバイス断面図(隣接ゲート電極間切断領域パターニング用レジスト膜パターニング完了時)である。図7は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細を説明するための図3のA−B−C−D−E断面に対応するデバイス断面図(隣接ゲート電極間切断領域エッチング完了時)である。図8は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細を説明するための図4のA−B−C−D−E断面に対応するデバイス断面図(ゲート電極パターニング用レジスト膜パターニング完了時)である。図9は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細を説明するための図5のA−B−C−D−E断面に対応するデバイス断面図(ゲート電極膜エッチング完了時)である。これらに基づいて、本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの概要を説明する。   2 is a top view of the memory region cutout portion R1 and the non-memory region cutout portion R2 of FIG. 1 (adjacent gates) for explaining the outline of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. (When the resist film patterning for patterning the inter-electrode cutting region is completed). 3 is a top view of the memory region cutout portion R1 and the non-memory region cutout portion R2 of FIG. 1 (adjacent gates) for explaining the outline of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. (When the inter-electrode cutting region etching is completed). 4 is a top view (gate electrode) of the memory region cutout portion R1 and the non-memory region cutout portion R2 of FIG. 1 for explaining the outline of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. Patterning resist film patterning is completed). FIG. 5 is a top view (gate electrode) of the memory region cutout portion R1 and the non-memory region cutout portion R2 of FIG. 1 for explaining the outline of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. When the film etching is completed). FIG. 6 is a device sectional view corresponding to the A-B-C-D-E section of FIG. 2 for explaining details of a gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. (When the resist film patterning for patterning the cut region between the gate electrodes is completed). FIG. 7 is a device sectional view corresponding to the A-B-C-D-E section of FIG. 3 for explaining the details of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present invention. (When the gate electrode cut region etching is completed). FIG. 8 is a device sectional view (gate) corresponding to the A-B-C-D-E section of FIG. 4 for explaining details of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. Electrode patterning resist film patterning completion). FIG. 9 is a device sectional view (gate) corresponding to the A-B-C-D-E section of FIG. 5 for explaining the details of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. Electrode electrode etching is completed). Based on these, the outline of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application will be described.

まず、ゲート電極膜加工前のウエハ1を準備する(図2及び図6を参照)。これは、たとえば、以下のように行う。たとえば、P型のシリコン単結晶ウエハ1を準備して、デバイス主面1a(裏面1bの反対の主面)に、たとえばSTI(Shallow Trench Isolation)等の素子分離領域9を形成し、続いて、アクティブ領域8にウエル領域等の必要な不純物ドープ領域を形成する。   First, the wafer 1 before processing the gate electrode film is prepared (see FIGS. 2 and 6). This is performed, for example, as follows. For example, a P-type silicon single crystal wafer 1 is prepared, and an element isolation region 9 such as STI (Shallow Trench Isolation) is formed on the device main surface 1a (the main surface opposite to the back surface 1b). Necessary impurity doped regions such as well regions are formed in the active region 8.

更に、ウエハ1のデバイス主面1a(第1の主面)のほぼ全面に、High−kゲート絶縁膜16(High−kゲート絶縁膜を含むゲート絶縁膜)を成膜する。ここで、「High−kゲート絶縁膜」とは、従前の酸化シリコン膜を主要な膜構成要素とするゲート絶縁膜(酸化シリコン膜、酸窒化シリコン膜、窒化シリコン膜又はこれらの積層膜)よりも誘電率の高いゲート絶縁膜をいう。   Further, a High-k gate insulating film 16 (a gate insulating film including a High-k gate insulating film) is formed on almost the entire device main surface 1a (first main surface) of the wafer 1. Here, the “High-k gate insulating film” refers to a gate insulating film (a silicon oxide film, a silicon oxynitride film, a silicon nitride film, or a laminated film thereof) having a conventional silicon oxide film as a main film component. Is a gate insulating film having a high dielectric constant.

次に、High−kゲート絶縁膜16上のほぼ全面に、たとえば、窒化チタン膜等のゲート電極膜の下層膜14bを成膜する。   Next, a lower layer film 14 b of a gate electrode film such as a titanium nitride film is formed on almost the entire surface of the high-k gate insulating film 16.

次に、窒化チタン膜14b上のほぼ全面に、たとえば、ゲート電極膜の上層膜としてポリシリコン膜14a(アモルファスシリコン膜でも良い)を成膜する。   Next, for example, a polysilicon film 14a (which may be an amorphous silicon film) is formed as an upper layer film of the gate electrode film on almost the entire surface of the titanium nitride film 14b.

次に、ポリシリコン膜14a上のほぼ全面に、隣接ゲート電極間切断領域パターニング用多層レジスト膜11(第1のレジスト膜)を塗布等により形成する。多層レジスト膜11は、SOC(Spin On Carbon)膜等の炭素系下層非感光性膜11c(下層膜)、Si−BARC(Silicon−Bottom Anti−Reflection Coating)膜等のシリコン系中間層非感光性膜11b(中間層膜)、ArF化学増幅型レジスト膜等の有機系上層感光性膜11a(上層膜)等から構成されている。Si−BARC膜は、シリコンを主要な成分の一つとして含む(シリコン含有量は、たとえば、15重量%から45重量%程度、以下の第2のレジスト膜についても同じ)塗布系またはCVDによる反射防止膜である。また、SOC膜は、炭素を主要な成分の一つとして含む(炭素含有量は、たとえば、80重量%から90重量%程度、以下の第2のレジスト膜についても同じ)塗布系またはCVD(CVD系の炭素系膜は、アモルファスカーボンと呼ばれる)による下地膜(シリコン系の部材に対してエッチング選択性を持つ膜)である。   Next, a multilayer resist film 11 (first resist film) for patterning a cutting region between adjacent gate electrodes is formed on almost the entire surface of the polysilicon film 14a by coating or the like. The multilayer resist film 11 is made of a non-photosensitive silicon intermediate layer such as a carbon-based lower non-photosensitive film 11c (lower film) such as an SOC (Spin On Carbon) film or an Si-BARC (Silicon-Bottom Anti-Reflection Coating) film. It is composed of a film 11b (intermediate layer film), an organic upper photosensitive film 11a (upper layer film) such as an ArF chemically amplified resist film, and the like. The Si-BARC film contains silicon as one of main components (silicon content is, for example, about 15% to 45% by weight, and the same for the second resist film described below). Reflection by coating system or CVD It is a prevention film. The SOC film contains carbon as one of main components (the carbon content is, for example, about 80 to 90% by weight, and the same applies to the second resist film described below). The carbon-based film is a base film (a film having etching selectivity with respect to a silicon-based member).

次に、図2及び図6に示すように、ArF化学増幅型レジスト膜11aの露光および現像を実行して、隣接ゲート電極間切断領域に対応するレジスト膜開口12を形成する。ArFエキシマレーザ光(波長は193nm)による露光は、たとえば、光学マスクおよび液浸縮小投影露光装置(液浸スキャナー)を使用して行う。ここで、レジスト膜開口12の幅(短い方の辺の長さに等価)は、たとえば60から70nm程度であり、素子分離領域の幅と同程度であるが、後に述べるシュリンク処理により、たとえば、20から25nm程度とする。   Next, as shown in FIGS. 2 and 6, exposure and development of the ArF chemically amplified resist film 11a are performed to form a resist film opening 12 corresponding to a cutting region between adjacent gate electrodes. The exposure with ArF excimer laser light (wavelength is 193 nm) is performed using, for example, an optical mask and an immersion reduction projection exposure apparatus (immersion scanner). Here, the width of the resist film opening 12 (equivalent to the length of the shorter side) is, for example, about 60 to 70 nm, which is about the same as the width of the element isolation region. The thickness is about 20 to 25 nm.

続いて、多層レジスト膜11のArF化学増幅型レジスト膜11a(上層膜)のパターンを順次、Si−BARC膜11b(中間層膜)、SOC膜11c(下層膜)に転写する(詳細は、セクション3で説明する)。   Subsequently, the pattern of the ArF chemically amplified resist film 11a (upper layer film) of the multilayer resist film 11 is sequentially transferred to the Si-BARC film 11b (intermediate layer film) and the SOC film 11c (lower layer film) (for details, refer to section 3).

次に、図3及び図7に示すように、パターニングされた隣接ゲート電極間切断領域パターニング用レジスト膜11をマスクとして、ドライエッチングにより、ゲート電極膜14(ポリシリコン膜14a、窒化チタン膜14b)およびHigh−kゲート絶縁膜16を加工して、隣接ゲート電極間切断領域12を開口する。   Next, as shown in FIGS. 3 and 7, the gate electrode film 14 (polysilicon film 14a, titanium nitride film 14b) is formed by dry etching using the patterned resist film 11 for patterning a region between adjacent gate electrodes as a mask. Then, the high-k gate insulating film 16 is processed to open the cutting region 12 between adjacent gate electrodes.

次に、図4及び図8に示すように、先と同様に、ウエハ1のデバイス主面1a(第1の主面)のほぼ全面に、ゲート電極パターニング用多層レジスト膜15(第2のレジスト膜)を塗布等により形成する。多層レジスト膜15は、SOC膜等の炭素系下層非感光性膜15c(下層膜)、Si−BARC膜等のシリコン系中間層非感光性膜15b(中間層膜)、ArF化学増幅型レジスト膜等の有機系上層感光性膜15a(上層膜)等から構成されている。ここでも、先と同様に、ArF化学増幅型レジスト膜15aの露光および現像を実行して、ゲート電極のラインアンドスペースパターンに対応するレジスト膜パターン15aを形成する。ArFエキシマレーザ光(波長は193nm)による露光は、先と同様に、たとえば、光学マスクおよび液浸縮小投影露光装置(液浸スキャナー)を使用して行う。ここで、ゲート電極のラインアンドスペースパターンに対応するレジスト膜パターン15aの幅は、パターニング当初、45nm程度であるが、以下に説明するトリミング処理によって、30nm程度とする。なお、このステップにおける炭素系の下層膜は、平坦化の観点から、SOC膜等の塗布系の平坦化膜が好適である。   Next, as shown in FIGS. 4 and 8, similarly to the above, the gate electrode patterning multilayer resist film 15 (second resist) is formed on almost the entire device main surface 1a (first main surface) of the wafer 1. Film) is formed by coating or the like. The multilayer resist film 15 includes a carbon-based lower layer non-photosensitive film 15c (lower layer film) such as an SOC film, a silicon-based intermediate layer non-photosensitive film 15b (intermediate layer film) such as an Si-BARC film, and an ArF chemically amplified resist film. The organic upper layer photosensitive film 15a (upper layer film) and the like. Here, similarly to the above, exposure and development of the ArF chemically amplified resist film 15a are executed to form a resist film pattern 15a corresponding to the line and space pattern of the gate electrode. Exposure with ArF excimer laser light (wavelength is 193 nm) is performed using, for example, an optical mask and an immersion reduction projection exposure apparatus (immersion scanner), as before. Here, the width of the resist film pattern 15a corresponding to the line and space pattern of the gate electrode is about 45 nm at the beginning of patterning, but is set to about 30 nm by the trimming process described below. The carbon-based lower layer film in this step is preferably a coating-type planarizing film such as an SOC film from the viewpoint of planarization.

続いて、多層レジスト膜15のArF化学増幅型レジスト膜15a(上層膜)のパターンを順次、Si−BARC膜15b(中間層膜)、SOC膜15c(下層膜)に転写する(詳細は、セクション3で説明する)。   Subsequently, the pattern of the ArF chemically amplified resist film 15a (upper layer film) of the multilayer resist film 15 is sequentially transferred to the Si-BARC film 15b (intermediate layer film) and the SOC film 15c (lower layer film). 3).

次に、図5及び図9に示すように、パターニングされたゲート電極パターニング用多層レジスト膜15(第2のレジスト膜)マスクとして、ドライエッチングにより、ゲート電極膜14(ポリシリコン膜14a、窒化チタン膜14b)およびHigh−kゲート絶縁膜16を加工して、ゲート電極14を形成する。   Next, as shown in FIGS. 5 and 9, as a patterned gate electrode patterning multilayer resist film 15 (second resist film) mask, the gate electrode film 14 (polysilicon film 14a, titanium nitride) is formed by dry etching. Film 14b) and High-k gate insulating film 16 are processed to form gate electrode.

以上の工程の中で、素子分離領域9の上面には、エッチングによるリセス部17が形成される。   In the above process, a recess portion 17 is formed on the upper surface of the element isolation region 9 by etching.

3.本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細説明(主に図10から図17、および図18から図22)
図10は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(隣接ゲート電極間切断領域パターニング用レジスト膜形成完了時)である。図11は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(隣接ゲート電極間切断領域パターニング用多層レジスト膜の上層膜パターニング完了時)である。図12は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(隣接ゲート電極間切断領域パターニング用多層レジスト膜の中間層膜エッチング完了時)である。図13は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(隣接ゲート電極間切断領域パターニング用多層レジスト膜の下層膜エッチング完了時)である。図14は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(ゲート電極膜等加工のためのシュリンク工程完了時)である。図15は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(ゲート電極膜等のエッチング工程完了時)である。図16は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(隣接ゲート電極間切断領域パターニング用多層レジスト膜の下層膜等の除去完了時)である。図17は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するY−Y’断面およびD−E断面のデバイス断面図(ゲート電極パターニング用レジスト膜塗布完了時)である。図18は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するX−X’断面およびD−E断面のデバイス断面図(図17と同じ、すなわち、ゲート電極パターニング用レジスト膜塗布完了時)である。図19は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するX−X’断面およびD−E断面のデバイス断面図(ゲート電極パターニング用多層レジスト膜の上層膜の現像完了時)である。図20は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するX−X’断面およびD−E断面のデバイス断面図(ゲート電極パターニング用多層レジスト膜の上層膜のトリム完了時)である。図21は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するX−X’断面およびD−E断面のデバイス断面図(ゲート電極膜等のエッチング完了時)である。図22は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細ステップを説明するための図2から図5のプロセスフローに対応するX−X’断面およびD−E断面のデバイス断面図(ゲート電極パターニング用多層レジスト膜の下層膜の除去完了時)である。これらに基づいて、本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲートパターニングプロセスの詳細を説明する。
3. Detailed description of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (mainly FIGS. 10 to 17 and FIGS. 18 to 22).
FIG. 10 is a cross-sectional view taken along line YY ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing of a cross section (at the time of completion of the resist film formation for cutting area patterning between adjacent gate electrodes). FIG. 11 is a cross-sectional view taken along line YY ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. FIG. 10 is a device cross-sectional view of the cross section (when the upper layer film patterning for patterning the cutting region pattern between adjacent gate electrodes is completed); FIG. 12 is a cross-sectional view taken along line YY ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. FIG. 10 is a device cross-sectional view of the cross section (when the intermediate layer film etching of the multilayer resist film for patterning the cutting region between adjacent gate electrodes is completed); FIG. 13 is a cross-sectional view taken along line YY ′ corresponding to the process flow of FIGS. 2 to 5 and DE for explaining the detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. FIG. 10 is a device cross-sectional view of the cross section (when the etching of the lower layer film of the multilayer resist film for patterning the cutting region between adjacent gate electrodes is completed); FIG. 14 is a cross-sectional view taken along line YY ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing of a cross section (at the time of completion of the shrink process for processing a gate electrode film etc.). FIG. 15 is a cross-sectional view taken along line YY ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing of a cross section (at the time of the etching process completion of a gate electrode film etc.). FIG. 16 is a cross-sectional view taken along line YY ′ corresponding to the process flow of FIGS. 2 to 5 and DE for explaining the detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. FIG. 10 is a device cross-sectional view of the cross section (when removal of the lower layer film and the like of the multilayer resist film for patterning the cutting region between adjacent gate electrodes is completed); FIG. 17 is a cross-sectional view taken along line YY ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing of a cross section (at the time of completion of resist film application for gate electrode patterning). 18 is a cross-sectional view taken along the line XX ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining the detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. FIG. 18 is a device cross-sectional view of the cross section (same as FIG. 17, that is, when the application of the resist film for gate electrode patterning is completed). FIG. 19 is a cross-sectional view taken along line XX ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. FIG. 4 is a cross-sectional device sectional view (when development of the upper layer film of the multilayer resist film for gate electrode patterning is completed). FIG. 20 is a cross-sectional view taken along the line XX ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is a device sectional view of a section (when trimming of the upper layer film of the multilayer resist film for gate electrode patterning is completed). FIG. 21 is a cross-sectional view taken along line XX ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining the detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing of a cross section (at the time of completion of etching of a gate electrode film etc.). FIG. 22 is a cross-sectional view taken along line XX ′ corresponding to the process flow of FIGS. 2 to 5 and D-E for explaining the detailed steps of the gate patterning process in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. It is device sectional drawing of a cross section (at the time of completion of removal of the lower layer film of the multilayer resist film for gate electrode patterning). Based on these, the details of the gate patterning process in the manufacturing method of the semiconductor integrated circuit device of the one embodiment of the present application will be described.

図10に示すように、たとえば、P型のシリコン単結晶ウエハ1を準備して、デバイス主面1aに、たとえばSTI等の素子分離領域9を形成し、続いて、アクティブ領域8にウエル領域等の必要な不純物ドープ領域を形成する。   As shown in FIG. 10, for example, a P-type silicon single crystal wafer 1 is prepared, and an element isolation region 9 such as STI is formed on the device main surface 1 a, followed by a well region or the like in the active region 8. The necessary impurity doped region is formed.

更に、ウエハ1のデバイス主面1a(第1の主面)のほぼ全面に、High−kゲート絶縁膜16(High−kゲート絶縁膜を含むゲート絶縁膜)を成膜する。High−kゲート絶縁膜16としては、たとえば、厚さ0.7から1.5nm程度の酸化ハフニウム系絶縁膜を例示することができる。   Further, a High-k gate insulating film 16 (a gate insulating film including a High-k gate insulating film) is formed on almost the entire device main surface 1a (first main surface) of the wafer 1. An example of the high-k gate insulating film 16 is a hafnium oxide insulating film having a thickness of about 0.7 to 1.5 nm.

次に、High−kゲート絶縁膜16上のほぼ全面に、たとえば、窒化チタン膜(たとえば厚さ10nm程度)等のゲート電極膜の下層膜14bを成膜する。   Next, a lower layer film 14b of a gate electrode film such as a titanium nitride film (for example, about 10 nm thick) is formed on almost the entire surface of the high-k gate insulating film 16.

次に、窒化チタン膜14b上のほぼ全面に、ゲート電極膜の上層膜として、たとえば厚さ50nm程度のポリシリコン膜14a(アモルファスシリコン膜でも良い)を成膜する。   Next, a polysilicon film 14a (which may be an amorphous silicon film) having a thickness of about 50 nm is formed as an upper layer film of the gate electrode film on almost the entire surface of the titanium nitride film 14b.

次に、ポリシリコン膜14a上のほぼ全面に、隣接ゲート電極間切断領域パターニング用多層レジスト膜11(第1のレジスト膜)を塗布等により形成する。多層レジスト膜11は、たとえば、以下のように形成する。すなわち、最初に、ポリシリコン膜14a上のほぼ全面に、SOC膜等の炭素系下層非感光性膜11c(下層膜)を塗布等により形成する(たとえば厚さ100から300nm程度)。なお、CVD(Chemical Vapor Deposition)によるアモルファスカーボン膜等でもよい。続いて、SOC膜11c上のほぼ全面に、たとえばSi−BARC膜(たとえば厚さ10から100nm程度)等のシリコン系中間層非感光性膜11b(中間層膜)を塗布等により形成する。続いて、Si−BARC膜11b上のほぼ全面に、たとえばArF化学増幅型レジスト膜等の有機系上層感光性膜11a(上層膜)を塗布等により形成する(たとえば厚さ50から180nm程度)。   Next, a multilayer resist film 11 (first resist film) for patterning a cutting region between adjacent gate electrodes is formed on almost the entire surface of the polysilicon film 14a by coating or the like. The multilayer resist film 11 is formed as follows, for example. That is, first, a carbon-based lower non-photosensitive film 11c (lower layer film) such as an SOC film is formed on almost the entire surface of the polysilicon film 14a by coating or the like (for example, a thickness of about 100 to 300 nm). Note that an amorphous carbon film by CVD (Chemical Vapor Deposition) or the like may be used. Subsequently, a silicon-based intermediate layer non-photosensitive film 11b (intermediate layer film) such as a Si-BARC film (for example, a thickness of about 10 to 100 nm) is formed on almost the entire surface of the SOC film 11c by coating or the like. Subsequently, an organic upper photosensitive film 11a (upper film) such as an ArF chemically amplified resist film is formed on almost the entire surface of the Si-BARC film 11b by coating or the like (for example, a thickness of about 50 to 180 nm).

次に、図11に示すように、ArF化学増幅型レジスト膜11aの露光および現像を実行して、隣接ゲート電極間切断領域に対応するレジスト膜開口12を形成する。ArFエキシマレーザ光(波長は193nm)による露光は、たとえば、光学マスクおよび液浸縮小投影露光装置(液浸スキャナー)を使用して行う。   Next, as shown in FIG. 11, exposure and development of the ArF chemically amplified resist film 11a are performed to form a resist film opening 12 corresponding to the cutting region between adjacent gate electrodes. The exposure with ArF excimer laser light (wavelength is 193 nm) is performed using, for example, an optical mask and an immersion reduction projection exposure apparatus (immersion scanner).

次に、図12に示すように、パターニングされたArF化学増幅型レジスト膜11aをマスクとして、たとえばフルオロカーボン(Fluorocarbon)系ガス(たとえばCF等)を用いたドライエッチングにより、ArF化学増幅型レジスト膜11aのパターンをSi−BARC膜11bに転写する。 Next, as shown in FIG. 12, using the patterned ArF chemically amplified resist film 11a as a mask, the ArF chemically amplified resist film is subjected to dry etching using, for example, a fluorocarbon-based gas (for example, CF 4 ). The pattern of 11a is transferred to the Si-BARC film 11b.

次に、図13に示すように、パターニングされたSi−BARC膜11bをマスクとして、たとえば酸素系ガス(たとえばO等)を用いたドライエッチングにより、Si−BARC膜11bのパターンをSOC膜11cに転写する。このとき、同時に、残存していたArF化学増幅型レジスト膜11aが除去される。 Next, as shown in FIG. 13, with the patterned Si-BARC film 11b as a mask, the pattern of the Si-BARC film 11b is changed to the SOC film 11c by dry etching using, for example, an oxygen-based gas (for example, O 2 ). Transcript to. At the same time, the remaining ArF chemically amplified resist film 11a is removed.

次に、図14に示すように、ウエハ1を、たとえば、ゲート電極14をエッチングするためのドライエチング装置のエッチング室に導入した状態で、エッチング前処理(シュリンク処理)を実行する(一種のドライエッチング処理であり、ポリシリコン膜14aの上面は若干エッチングされる)。すなわち、レジスト膜開口12の側壁にシュリンク用側壁18を形成する。シュリンク処理条件としては、ガス雰囲気:たとえばCHF(100から300sccm)/O(10から50sccm)、RF電力:たとえば500から1000ワット程度、ウエハバイアス:100から300ボルト、ウエハステージ温度:摂氏10から50度程度を例示することができる。 Next, as shown in FIG. 14, a pre-etching process (shrink process) is performed in a state where the wafer 1 is introduced into an etching chamber of a dry etching apparatus for etching the gate electrode 14, for example (a kind of dry etching). The upper surface of the polysilicon film 14a is slightly etched). That is, the shrink side wall 18 is formed on the side wall of the resist film opening 12. As the shrink processing conditions, gas atmosphere: for example, CHF 3 (100 to 300 sccm) / O 2 (10 to 50 sccm), RF power: for example, about 500 to 1000 watts, wafer bias: 100 to 300 volts, wafer stage temperature: 10 degrees Celsius From about 50 degrees.

次に、図15に示すように、パターニングされたSOC膜11cをマスクとして、ドライエッチングにより、ポリシリコン膜14a(エッチングガスとしては、ハロゲン系ガスで、具体的には、たとえば、HBr等)、窒化チタン膜14b(エッチングガスとしては、ハロゲン系ガスで、具体的には、たとえば、Cl/HBr等)、ゲート絶縁膜16(エッチングガスとしては、ハロゲン系ガスで、具体的には、たとえば、BCl/Cl等)に順次、シュリンクされた隣接ゲート電極間切断領域12を形成する。このとき同時に、残存していたSi−BARC膜11bが除去される。 Next, as shown in FIG. 15, by using the patterned SOC film 11c as a mask, by dry etching, a polysilicon film 14a (the etching gas is a halogen-based gas, specifically, for example, HBr), Titanium nitride film 14b (etching gas is halogen-based gas, specifically, for example, Cl 2 / HBr), gate insulating film 16 (etching gas is halogen-based gas, specifically, for example, , BCl 3 / Cl 2, etc.) sequentially, the shunted adjacent gate electrode cutting region 12 is formed. At the same time, the remaining Si-BARC film 11b is removed.

次に、図16に示すように、SOC膜11cおよびシュリンク用側壁18をアッシング処理およびウエット洗浄等により、除去する。ウエット洗浄の条件としては、たとえば、
(1)第1ステップ:薬液は希塩酸で、組成は、たとえば、塩化水素濃度0.0418モル%程度、処理時間は、常温(摂氏15度から25度)で60秒程度、
(2)第2ステップ:薬液は混酸で、組成は、たとえば、塩化水素濃度0.411モル%程度、弗化水素濃度0.0106モル%程度、処理時間は、常温(摂氏15度から25度)で60秒程度を好適な一例として示すことができる。
Next, as shown in FIG. 16, the SOC film 11c and the shrink side wall 18 are removed by ashing, wet cleaning, or the like. Examples of wet cleaning conditions include:
(1) First step: The chemical solution is dilute hydrochloric acid, the composition is, for example, a hydrogen chloride concentration of about 0.0418 mol%, and the treatment time is about 60 seconds at room temperature (15 to 25 degrees Celsius)
(2) Second step: The chemical solution is a mixed acid, the composition is, for example, a hydrogen chloride concentration of about 0.411 mol%, a hydrogen fluoride concentration of about 0.0106 mol%, and the treatment time is room temperature (15 to 25 degrees Celsius) ) About 60 seconds can be shown as a suitable example.

次に、図17及び図18に示すように、ウエハ1のデバイス主面1a(第1の主面)のほぼ全面に、ゲート電極パターニング用多層レジスト膜15(第2のレジスト膜)を塗布等により形成する。多層レジスト膜15は、たとえば、以下のように形成する。すなわち、最初に、ウエハ1のデバイス面1a上のほぼ全面に、SOC膜等の炭素系下層非感光性膜15c(下層膜)を塗布等により形成する(たとえば厚さ100から300nm程度)。続いて、SOC膜15c上のほぼ全面に、たとえばSi−BARC膜等のシリコン系中間層非感光性膜15b(中間層膜)を塗布等により形成する(たとえば厚さ10から100nm程度)。続いて、Si−BARC膜15b上のほぼ全面に、たとえばArF化学増幅型レジスト膜等の有機系上層感光性膜15a(上層膜)を塗布等により形成する(たとえば厚さ50から180nm程度)。   Next, as shown in FIGS. 17 and 18, a gate electrode patterning multilayer resist film 15 (second resist film) is applied to almost the entire device main surface 1 a (first main surface) of the wafer 1. To form. The multilayer resist film 15 is formed as follows, for example. That is, first, a carbon-based lower non-photosensitive film 15c (lower film) such as an SOC film is formed on almost the entire surface of the wafer 1 on the device surface 1a (for example, a thickness of about 100 to 300 nm). Subsequently, a silicon intermediate layer non-photosensitive film 15b (intermediate layer film) such as a Si-BARC film is formed on almost the entire surface of the SOC film 15c by coating or the like (for example, a thickness of about 10 to 100 nm). Subsequently, an organic upper photosensitive film 15a (upper layer film) such as an ArF chemically amplified resist film is formed on almost the entire surface of the Si-BARC film 15b by coating or the like (for example, a thickness of about 50 to 180 nm).

次に、図19に示すように、ArF化学増幅型レジスト膜15aの露光および現像を実行して、ゲート電極のラインアンドスペースパターンに対応するレジスト膜パターン15aを形成する。ArFエキシマレーザ光(波長は193nm)による露光は、先と同様に、たとえば、光学マスクおよび液浸縮小投影露光装置(液浸スキャナー)を使用して行う。   Next, as shown in FIG. 19, the ArF chemically amplified resist film 15a is exposed and developed to form a resist film pattern 15a corresponding to the line and space pattern of the gate electrode. Exposure with ArF excimer laser light (wavelength is 193 nm) is performed using, for example, an optical mask and an immersion reduction projection exposure apparatus (immersion scanner), as before.

次に、図20に示すように、パターニングされたレジスト膜パターン15aに対して、トリミング(Trimming)処理を施すことにより、最終的なレジスト膜パターン15aの幅を元のレジスト膜の幅19よりも狭くする。トリミング処理は、ドライエッチングと同様の処理であり、通常、後続のエッチングプロセスと同一の装置又は同一のチャンバ内で実行される。トリミング処理の雰囲気ガスとしては、たとえば、Cl/O系ガスを例示することができる。 Next, as shown in FIG. 20, a trimming process is performed on the patterned resist film pattern 15a so that the final width of the resist film pattern 15a is larger than the width 19 of the original resist film. Narrow. The trimming process is a process similar to dry etching, and is usually performed in the same apparatus or the same chamber as the subsequent etching process. As the atmosphere gas for the trimming process, for example, a Cl 2 / O 2 -based gas can be exemplified.

次に、図21に示すように、トリミングされたレジスト膜パターン15aを先と同様に、順次、Si−BARC膜15b(エッチング雰囲気は、たとえばCF系ガス雰囲気)、SOC膜15c(エッチング雰囲気は、たとえばO系ガス雰囲気)へ転写して、パターニングされたSOC膜15cをマスクとして、先と同様に、ドライエッチングにより、ポリシリコン膜14a(エッチング雰囲気は、たとえばSF/CF系ガス雰囲気)、窒化チタン膜14b(エッチング雰囲気は、たとえばHBr/Cl系ガス雰囲気)、ゲート絶縁膜16(エッチング雰囲気は、たとえばBCl/Cl系ガス雰囲気)に順次、トリミングされたゲート電極のラインアンドスペースパターンを形成する。このとき同時に、残存していたSi−BARC膜15bが除去される。 Next, as shown in FIG. 21, the trimmed resist film pattern 15a is sequentially applied to the Si-BARC film 15b (the etching atmosphere is, for example, a CF 4 gas atmosphere) and the SOC film 15c (the etching atmosphere is the etching atmosphere). (For example, an O 2 gas atmosphere) and using the patterned SOC film 15c as a mask, the polysilicon film 14a (the etching atmosphere is, for example, an SF 6 / CF 4 gas atmosphere) by dry etching as described above. ), A titanium nitride film 14b (etching atmosphere is, for example, an HBr / Cl 2 -based gas atmosphere), and a gate insulating film 16 (etching atmosphere is, for example, a BCl 3 / Cl 2 -based gas atmosphere), and gate electrode lines that are trimmed sequentially An and space pattern is formed. At the same time, the remaining Si-BARC film 15b is removed.

次に、図22に示すように、SOC膜15cをアッシング処理等およびウエット洗浄により、除去する。ウエット洗浄の条件としては、たとえば、
(1)第1ステップ:薬液は希塩酸で、組成は、たとえば、塩化水素濃度0.0418モル%程度、処理時間は、常温(摂氏15度から25度)で60秒程度、
(2)第2ステップ:薬液は混酸で、組成は、たとえば、塩化水素濃度0.411モル%程度、弗化水素濃度0.0106モル%程度、処理時間は、常温(摂氏15度から25度)で60秒程度を好適な一例として示すことができる。
Next, as shown in FIG. 22, the SOC film 15c is removed by ashing or the like and wet cleaning. Examples of wet cleaning conditions include:
(1) First step: The chemical solution is dilute hydrochloric acid, the composition is, for example, a hydrogen chloride concentration of about 0.0418 mol%, and the treatment time is about 60 seconds at room temperature (15 to 25 degrees Celsius)
(2) Second step: The chemical solution is a mixed acid, the composition is, for example, a hydrogen chloride concentration of about 0.411 mol%, a hydrogen fluoride concentration of about 0.0106 mol%, and the treatment time is room temperature (15 to 25 degrees Celsius) ) About 60 seconds can be shown as a suitable example.

4.本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要説明(主に図23から図30)
図23は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(図22と同じ、すなわち、ゲート電極パターニング用多層レジスト膜の下層膜の除去完了時)である。図24は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(オフセットスペーサおよびエクステンション領域導入完了時)である。図25は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(サイドウォール形成用絶縁膜成膜完了時)である。図26は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(サイドウォール形成完了時)である。図27は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(シリサイド層形成完了時)である。図28は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(プリメタル絶縁膜成膜完了時)である。図29は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(コンタクトホール形成完了時)である。図30は本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明するための図1の非メモリ領域CMISFET対切り出し部R3の模式的デバイス断面図(タングステンプラグ埋め込み完了時)である。これらに基づいて、本願の前記一実施の形態の半導体集積回路装置の製造方法におけるゲート加工後の主要プロセスの概要を説明する。
4). Outline of main process after gate processing in manufacturing method of semiconductor integrated circuit device of one embodiment of the present application (mainly FIGS. 23 to 30)
FIG. 23 is a schematic device cross-sectional view of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining an outline of main processes after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. The same as FIG. 22, that is, when the removal of the lower layer film of the multilayer resist film for gate electrode patterning is completed). 24 is a schematic device cross-sectional view of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining the outline of the main process after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. Offset spacer and extension region introduction). 25 is a schematic device cross-sectional view of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining the outline of the main process after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present invention. (When the insulating film for forming the sidewall is formed). 26 is a schematic device cross-sectional view of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining the outline of the main process after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. Side wall formation). 27 is a schematic device cross-sectional view of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining the outline of the main process after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. When the silicide layer formation is completed). 28 is a schematic device cross-sectional view of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining the outline of the main process after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. When the premetal insulating film is formed). 29 is a schematic device cross-sectional view of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining the outline of the main process after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. Contact hole formation completed). 30 is a schematic device cross-sectional view of the non-memory region CMISFET pair cutout portion R3 of FIG. 1 for explaining the outline of the main process after gate processing in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. (When tungsten plug embedding is completed). Based on these, the outline of the main process after the gate processing in the manufacturing method of the semiconductor integrated circuit device according to the embodiment of the present application will be described.

図23(図9及び図22と同じプロセスステップ)に基づいて、ゲート電極のラインアンドスペースパターンのパターニングが完了した時点以降の図1の非メモリ領域CMISFET対切り出し部のPチャネルデバイス領域R3pおよび非メモリ領域CMISFET対切り出し部のNチャネルデバイス領域R3nに対応する部分を説明する。図23に示すように、ウエハ1のP型単結晶シリコン基板部の上半部に、Nウエル領域1nおよびPウエル領域1pが設けられている。   Based on FIG. 23 (same process steps as FIG. 9 and FIG. 22), the non-memory region CMISFET pair cut-out portion P channel device region R3p and non- FIG. A portion corresponding to the N channel device region R3n of the memory region CMISFET pair cutout portion will be described. As shown in FIG. 23, an N-well region 1n and a P-well region 1p are provided in the upper half of the P-type single crystal silicon substrate portion of the wafer 1.

次に、図24に示すように、ウエハ1のデバイス面1a上のほぼ全面に、たとえばCVDにより、オフセットスペーサ窒化シリコン膜21(たとえば厚さ2から7nm程度)を成膜する。続いて、異方性ドライエッチングによって、オフセットスペーサ窒化シリコン膜21をエッチバックして、オフセットスペーサ21を形成する。   Next, as shown in FIG. 24, an offset spacer silicon nitride film 21 (for example, about 2 to 7 nm thick) is formed on almost the entire device surface 1a of the wafer 1 by, for example, CVD. Subsequently, the offset spacer silicon nitride film 21 is etched back by anisotropic dry etching to form the offset spacer 21.

続いて、Pチャネルデバイス領域R3pおよびNチャネルデバイス領域R3nのそれぞれのウエハ1のデバイス面1a表面領域に、イオン注入により、P型エクステンション領域22p(イオン注入条件としては、たとえば、イオン種:BF、打ち込みエネルギー:1KeVから5KeV、ドーズ量:1x1015/cmから8x1015/cm;イオン種:C、打ち込みエネルギー:1KeVから5KeV、ドーズ量:4x1014/cmから9x1014/cm)およびN型エクステンション領域22n(イオン注入条件としては、たとえば、イオン種:As、打ち込みエネルギー:1KeVから10KeV、ドーズ量:1x1015/cmから9x1015/cm;イオン種:C、打ち込みエネルギー:1KeVから5KeV、ドーズ量:4x1014/cmから9x1014/cm)を導入する。 Subsequently, a P-type extension region 22p (as an ion implantation condition, for example, ion species: BF 2) is formed by ion implantation into the surface area of the device surface 1a of the wafer 1 in each of the P channel device region R3p and the N channel device region R3n. , implantation energy: 5 KeV from 1 KeV, dose: 1x10 15 / cm 2 from 8x10 15 / cm 2; ion species: C, implantation energy: 5 KeV from 1 KeV, dose: 4x10 14 / cm 2 from 9x10 14 / cm 2) And N type extension region 22n (as ion implantation conditions, for example, ion species: As, implantation energy: 1 KeV to 10 KeV, dose amount: 1 × 10 15 / cm 2 to 9 × 10 15 / cm 2 ; ion species: C, implantation energy: 1 KeV Luo 5 KeV, dose: 4x10 14 / cm 2 from 9x10 14 / cm 2) to introduce.

次に、図25に示すように、ウエハ1のデバイス面1a上のほぼ全面に、たとえばCVDにより、サイドウォール酸化シリコン膜23a(たとえば厚さ5から10nm程度)を成膜する。続いて、サイドウォール酸化シリコン膜23a上のほぼ全面に、たとえばCVDにより、サイドウォール窒化シリコン膜23b(たとえば厚さ20から30nm程度)を成膜する。   Next, as shown in FIG. 25, a sidewall silicon oxide film 23a (for example, a thickness of about 5 to 10 nm) is formed on almost the entire device surface 1a of the wafer 1 by, for example, CVD. Subsequently, a sidewall silicon nitride film 23b (for example, a thickness of about 20 to 30 nm) is formed on almost the entire surface of the sidewall silicon oxide film 23a by, for example, CVD.

次に、図26に示すように、異方性ドライエッチングによって、サイドウォール酸化シリコン膜23aおよびサイドウォール窒化シリコン膜23bをエッチバックして、酸化シリコン膜サイドウォール23aおよび窒化シリコン膜サイドウォール23bを形成する。続いて、Pチャネルデバイス領域R3pおよびNチャネルデバイス領域R3nのそれぞれのウエハ1のデバイス面1a表面領域に、イオン注入により、P型高濃度ソースドレイン領域24p(イオン注入条件としては、たとえば、イオン種:B、打ち込みエネルギー:0.5KeVから20KeV、ドーズ量:1x1015/cmから8x1015/cm)およびN型高濃度ソースドレイン領域24n(イオン注入条件としては、たとえば、イオン種:As、打ち込みエネルギー:2KeVから40KeV、ドーズ量:8x1014/cmから4x1015/cm;イオン種:P、打ち込みエネルギー:10KeVから80KeV、ドーズ量:1x1013/cmから8x1013/cm)を導入する。 Next, as shown in FIG. 26, the sidewall silicon oxide film 23a and the sidewall silicon nitride film 23b are etched back by anisotropic dry etching to form the silicon oxide film sidewall 23a and the silicon nitride film sidewall 23b. Form. Subsequently, a P-type high-concentration source / drain region 24p (as an ion implantation condition, for example, an ion species is formed by ion implantation on the device surface 1a surface region of the wafer 1 of each of the P-channel device region R3p and the N-channel device region R3n. : B, implantation energy: 0.5 KeV to 20 KeV, dose amount: 1 × 10 15 / cm 2 to 8 × 10 15 / cm 2 ) and N-type high-concentration source / drain region 24n (as ion implantation conditions, for example, ion species: As, Implantation energy: 2 KeV to 40 KeV, dose amount: 8 × 10 14 / cm 2 to 4 × 10 15 / cm 2 ; ion species: P, implantation energy: 10 KeV to 80 KeV, dose amount: 1 × 10 13 / cm 2 to 8 × 10 13 / cm 2 ) Introduce.

次に、図27に示すように、サリサイド(Salicide)プロセスによって、ゲート電極上及びソースドレイン領域の表面領域に、たとえば、ニッケル白金シリサイド層等のシリサイド層25を形成する。   Next, as shown in FIG. 27, a silicide layer 25 such as a nickel platinum silicide layer is formed on the gate electrode and the surface region of the source / drain region by a salicide process.

次に、図28に示すように、ウエハ1のデバイス面1a上のほぼ全面に、たとえばプラズマCVDにより、プリメタル下層絶縁膜26aとして、窒化シリコン膜(たとえば厚さ20から30nm程度)を成膜する。続いて、窒化シリコン膜26a上のほぼ全面に、たとえばプラズマCVDにより、プリメタル上層絶縁膜26bとして、酸化シリコン膜(たとえば厚さ150から240nm程度)を成膜する。   Next, as shown in FIG. 28, a silicon nitride film (for example, a thickness of about 20 to 30 nm) is formed as a premetal lower layer insulating film 26a on almost the entire device surface 1a of the wafer 1 by, for example, plasma CVD. . Subsequently, a silicon oxide film (for example, a thickness of about 150 to 240 nm) is formed as a premetal upper-layer insulating film 26b on the substantially entire surface of the silicon nitride film 26a by, for example, plasma CVD.

次に、図29に示すように、酸化シリコン膜26b上のほぼ全面に、コンタクトホール形成用レジスト膜27を塗布等で形成する。続いて、通常のリソグラフィにより(たとえば、ArFリソグラフィ)、レジスト膜27をパターニングする。パターニングされたレジスト膜27をマスクとして、順次、異方性ドライエッチングにより、酸化シリコン膜26bおよび窒化シリコン膜26aのコンタクトホール28を開口する。その後、不要になったレジスト膜27をアッシング等により除去する。   Next, as shown in FIG. 29, a contact hole forming resist film 27 is formed on the substantially entire surface of the silicon oxide film 26b by coating or the like. Subsequently, the resist film 27 is patterned by normal lithography (for example, ArF lithography). Using the patterned resist film 27 as a mask, contact holes 28 of the silicon oxide film 26b and the silicon nitride film 26a are sequentially opened by anisotropic dry etching. Thereafter, the resist film 27 that has become unnecessary is removed by ashing or the like.

次に、図30に示すように、コンタクトホール28内にタングステンプラグ29を埋め込む。その後、必要に応じて、多層配線を銅系ダマシン方式(埋め込み配線方式)またはアルミニウム系通常配線方式(非埋め込み配線方式)によって形成する。   Next, as shown in FIG. 30, a tungsten plug 29 is embedded in the contact hole 28. Thereafter, as necessary, multilayer wiring is formed by a copper-based damascene method (embedded wiring method) or an aluminum-based normal wiring method (non-embedded wiring method).

5.本願の前記一実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例であるSOCチップのSRAMメモリセル等の説明(主に図31および図32)
ここでは、6トランジスタセルを例に取り具体的に説明したが、本願の発明は、それに限定されるものではなく、その他の構造のメモリセルにも適用できることは言うまでもない。
5. Description of an SRAM memory cell of an SOC chip, which is an example of a target device in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application (mainly FIGS. 31 and 32)
Here, the six-transistor cell has been specifically described as an example, but the invention of the present application is not limited thereto, and it goes without saying that the invention can be applied to memory cells having other structures.

図31は本願の前記一実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例であるSOCチップのSRAMメモリセルの回路図である。図32は本願の前記一実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例であるSOCチップのSRAMメモリセルの実際の平面レイアウトの一例を示すメモリセル平面レイアウト図である。これらに基づいて、本願の前記一実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例であるSOCチップのSRAMメモリセル等を説明する。   FIG. 31 is a circuit diagram of an SRAM memory cell of an SOC chip which is an example of a target device in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. FIG. 32 is a memory cell plane layout diagram showing an example of an actual plane layout of an SRAM memory cell of an SOC chip which is an example of a target device in the method for manufacturing a semiconductor integrated circuit device according to the embodiment of the present application. Based on these, an SRAM memory cell of an SOC chip that is an example of a target device in the method of manufacturing a semiconductor integrated circuit device according to the embodiment of the present application will be described.

図31に示すように、SRAMメモリセルMCには、相互に直行して走るワードラインWLおよび一対のビット線BL,BLBが設けられており、それらの交点の近傍には、N型記憶用トランジスタQ1,Q2、P型記憶用トランジスタQ3,Q4、読み出し用トランジスタQ5,Q6、および、これらに電源を供給する電源供給ラインVdd、基準電圧ラインVss等が配置されている。   As shown in FIG. 31, the SRAM memory cell MC is provided with a word line WL and a pair of bit lines BL and BLB that run orthogonal to each other, and an N-type storage transistor is located in the vicinity of the intersection. Q1, Q2, P-type storage transistors Q3, Q4, read transistors Q5, Q6, a power supply line Vdd for supplying power to them, a reference voltage line Vss, and the like are arranged.

図31のSRAMメモリセルMCを実際のレイアウトの中で示すと、図32のようになる。図32に示すように、縦に走る多数のゲート電極14が、規則的に隣接ゲート電極間切断領域12によって、切断されているのがわかる。多数のコンタクト部28,30の内、横長で示したものは、シェアードコンタクト部30である。ここで、Nチャネルデバイスのアクティブ領域8nのコンタクト部28と、Pチャネルデバイスのアクティブ領域8pのシェアードコンタクト部30の間を相互接続メタル配線ICで連結している。   FIG. 32 shows the SRAM memory cell MC of FIG. 31 in an actual layout. As shown in FIG. 32, it can be seen that a large number of gate electrodes 14 running vertically are regularly cut by the cutting regions 12 between adjacent gate electrodes. Of the large number of contact portions 28, 30, the one shown in a horizontally long shape is a shared contact portion 30. Here, the contact portion 28 of the active region 8n of the N-channel device and the shared contact portion 30 of the active region 8p of the P-channel device are connected by the interconnect metal wiring IC.

6.本願の前記一実施の形態の半導体集積回路装置の製造方法に関する補足的説明並びに考察等
セクション5に示したようなSRAM幅広セルにおいては、隣り合うゲート間の長手方向の間隔部、すなわち、隣接ゲート電極間切断領域12(図2参照)を小さくすることが、セル面積縮小のために重要な技術課題となる。しかし、28nmテクノロジノード(Technology Node)または、それ以降の世代においては、解像限界以下であるため、ゲート電極のパターニングを1回の光リソグラフィ(たとえば、波長193nmの露光光および液浸縮小投影露光装置を用いたArFリソグラフィ)で実行することは不可能である。また、28nmテクノロジノードまたは、それ以降の世代においては、ゲート積層構造(Gate stack Structure)がHigh−kゲート絶縁膜およびメタル系ゲートを含むものになるため、酸化耐性やウエット処理耐性は、低下する傾向にある。更に、多層レジストプロセスを採用する必要があるため(45nmテクノロジノードまたは、それ以降の世代)、多層レジストプロセスに固有の問題も存在する。
6). Supplementary explanation and discussion on manufacturing method of semiconductor integrated circuit device according to one embodiment of the present application In the SRAM wide cell as shown in section 5, a longitudinal interval between adjacent gates, that is, adjacent gates Reducing the interelectrode cutting region 12 (see FIG. 2) is an important technical problem for reducing the cell area. However, in the 28 nm technology node (Technology Node) or later generations, since it is below the resolution limit, patterning of the gate electrode is performed once by photolithography (for example, exposure light having a wavelength of 193 nm and immersion reduced projection exposure). It is impossible to carry out with ArF lithography). In the 28 nm technology node or later generations, the gate stack structure includes a high-k gate insulating film and a metal-based gate, so that oxidation resistance and wet processing resistance are reduced. There is a tendency. In addition, because of the need to employ a multilayer resist process (45 nm technology node or later generations), there are problems inherent to the multilayer resist process.

解像限界の問題を解決するために、本願においては、レジスト膜等のエッチングマスク膜のパターニングおよび、それを用いた下地膜のエッチングを複数回繰り返す、多重パターニングプロセス(Multiple Patterning Process)を採用している。しかし、単純に、ゲート電極のラインアンドスペースパターンのパターニング(1度目のリソグラフィ)を先行し、続いて、隣接ゲート電極間切断領域12(図2参照)をパターニング(2度目のリソグラフィ)する方法(「L&Sファースト方式」という)では、2度目のリソグラフィにおけるレジスト膜が、メモリ領域6(パターン高密度領域)および非メモリ領域7(パターン低密度領域)の間で平坦性を確保できないという問題がある(図1参照)。具体的には、SOC膜等の下層膜15c(図8参照)によるグローバルな平坦化が困難である。   In order to solve the problem of the resolution limit, in this application, a multiple patterning process (Multiple Patterning Process) is adopted, in which the patterning of an etching mask film such as a resist film and the etching of a base film using the resist mask film are repeated multiple times. ing. However, a method of simply patterning the line-and-space pattern of the gate electrode (first lithography) and then patterning (second lithography) the adjacent gate electrode cutting region 12 (see FIG. 2) ( In the “L & S first method”, there is a problem that the resist film in the second lithography cannot secure flatness between the memory region 6 (pattern high density region) and the non-memory region 7 (pattern low density region). (See FIG. 1). Specifically, it is difficult to globally flatten with a lower layer film 15c (see FIG. 8) such as an SOC film.

そこで、セクション2から4に示した例では、先に、隣接ゲート電極間切断領域12(図2参照)をパターニング(1度目のリソグラフィ)し、次に、ゲート電極のラインアンドスペースパターンのパターニング(2度目のリソグラフィ)を実行している(「L&Sラスト方式」という)。このようにすると、隣接ゲート電極間切断領域12(図2参照)のパターン密度は、一般に低密度となっているので、非メモリ領域7(パターン低密度領域)との間で、パターン密度のアンバランスが生じない。   Therefore, in the examples shown in sections 2 to 4, first, the adjacent gate electrode cutting region 12 (see FIG. 2) is patterned (first lithography), and then the gate electrode line and space pattern is patterned (see FIG. 2). Second lithography) is performed (referred to as “L & S last method”). In this case, since the pattern density of the adjacent gate electrode cut region 12 (see FIG. 2) is generally low, the pattern density is unsatisfactory with the non-memory region 7 (pattern low density region). There is no balance.

また、隣接ゲート電極間切断領域12(図2参照)のパターニングにおいて、シュリンク処理(隣接ゲート電極間切断領域12に対応するレジスト開口の面積を縮小する処理)を伴う場合には、L&Sファースト方式では、下地の凹凸が激しいため、シュリンク形状が下地に依存して不安定な形状となる。一方、L&Sラスト方式では、下地が平坦であるため、シュリンク形状が安定する。   In the patterning of the adjacent gate electrode cut region 12 (see FIG. 2), when the shrink process (the process of reducing the area of the resist opening corresponding to the adjacent gate electrode cut region 12) is involved, the L & S first method is used. Since the unevenness of the ground is intense, the shrink shape becomes unstable depending on the ground. On the other hand, in the L & S last method, since the ground is flat, the shrink shape is stable.

さらに、酸化耐性やウエット処理耐性の低下の問題を解決するために、L&Sラスト方式に加えて、隣接ゲート電極間切断領域12(図2参照)が素子分離領域9の内部に含まれるようにレイアウトすることが有効である(「ゲート端部素子分離領域内レイアウト方式」という)。多重パターニングプロセスにおいては、アッシングやウエット薬液処理を伴うレジスト膜の除去が複数回にわたって行われるため、ゲート絶縁膜の過剰な後退やメタル系ゲート電極部の過剰な酸化が発生しやすい。しかし、ゲート端部素子分離領域内レイアウト方式では、そのような多重処理が行われるのは、アクティブ領域上ではなく、主に、素子分離領域9(図2参照)上となるので、デバイス特性への影響を少なくすることができる。   Further, in order to solve the problem of reduction in oxidation resistance and wet processing resistance, in addition to the L & S last method, the layout is made so that the adjacent gate electrode cut region 12 (see FIG. 2) is included in the element isolation region 9. It is effective (referred to as “a layout method in the gate edge element isolation region”). In the multiple patterning process, the removal of the resist film accompanied by ashing or wet chemical treatment is performed a plurality of times, so that excessive retraction of the gate insulating film and excessive oxidation of the metal-based gate electrode portion are likely to occur. However, in the layout method in the gate edge element isolation region, such multiple processing is performed not on the active region but mainly on the element isolation region 9 (see FIG. 2). The influence of can be reduced.

なお、L&Sラスト方式では、非メモリ領域7のゲート電極のパターニングをメモリ領域6のゲート電極のラインアンドスペースパターンのパターニングと同時に実行するのが有効である。これは、シュリンク処理は、レジストの被覆部分の幅を増加させる処理であるため、ゲート電極のラインアンドスペースパターンのパターニングには適用できないためである。また、そのようにすることによって(シュリンク処理の有無に係らず)、メモリ領域6および非メモリ領域7のゲート電極のラインアンドスペースパターンのパターニングにトリミング処理を適用できるメリットもある。   In the L & S last method, it is effective to perform the patterning of the gate electrode in the non-memory region 7 simultaneously with the patterning of the line and space pattern of the gate electrode in the memory region 6. This is because the shrink process is a process for increasing the width of the resist covering portion and cannot be applied to the patterning of the line and space pattern of the gate electrode. In addition, by doing so, there is also an advantage that the trimming process can be applied to the patterning of the line and space patterns of the gate electrodes of the memory region 6 and the non-memory region 7 (regardless of the presence or absence of the shrink process).

なお、セクション2から4まで等に説明したプロセスでは、基本的に(たとえばSiN膜等の)ハードマスクを使用しないので、後にハードマスクを除去する必要がないので、全体のプロセスを簡素化することができる。   In the processes described in sections 2 to 4 and the like, basically, a hard mask (for example, SiN film) is not used, so that it is not necessary to remove the hard mask later, so that the entire process is simplified. Can do.

7.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
7). Summary The invention made by the present inventor has been specifically described based on the embodiments. However, the present invention is not limited thereto, and it goes without saying that various changes can be made without departing from the scope of the invention.

例えば、前記実施の形態では、主にゲートファースト(Gate First)方式を例に取り具体的に説明したが、本発明はそれに限定されるものではなく、ゲートラスト(Gate Last)方式におけるダミーゲートプロセス(Dummy Gate Process)にも適用できることは言うまでもない。   For example, in the above embodiment, the gate first method has been mainly described as an example, but the present invention is not limited to this, and the dummy gate process in the gate last method is described. Needless to say, the present invention can also be applied to (Dummy Gate Process).

また、前記実施の形態では、主にハードマスク(Hard Mask)を使用しない方式を例にとり具体的に説明したが、本発明はそれに限定されるものではなく、隣接ゲート電極間切断領域のパターニング(Patterning)およびゲート電極のラインアンドスペースパターン(Line and Space Pattern)のパターニングの一方又は両方にハードマスクを使用してもよいことは、言うまでもない。   In the above-described embodiment, a method not mainly using a hard mask has been specifically described as an example. However, the present invention is not limited thereto, and patterning of a cutting region between adjacent gate electrodes ( It goes without saying that a hard mask may be used for one or both of patterning and patterning of the line and space pattern of the gate electrode.

更に、前記実施の形態では、主にソースドレインに通常のシリコン系(シリコン系合金ではない)部材を用いた例について具体的に説明したが、本発明はそれに限定されるものではなく、ソースドレインにシリコン系合金(SiGe,SiC等)を使用したものにも適用できることは言うまでもない。   Furthermore, in the above-described embodiment, an example in which an ordinary silicon-based (not silicon-based alloy) member is mainly used for the source / drain has been specifically described. However, the present invention is not limited thereto, and the source / drain is not limited thereto. Needless to say, the present invention can also be applied to those using silicon-based alloys (SiGe, SiC, etc.).

1 ウエハ
1a ウエハ又はチップのデバイス主面(第1の主面)
1b ウエハ又はチップの裏面
1n Nウエル領域
1p Pウエル領域
1s 半導体基板領域(P型シリコン単結晶基板領域)
2 半導体チップ又はチップ領域
3 ノッチ
4 チップ周辺部
5 ボンディングパッド
6 メモリ領域
7 非メモリ領域
8 アクティブ領域
8n Nチャネルデバイスのアクティブ領域
8p Pチャネルデバイスのアクティブ領域
9 素子分離領域(STI)
11 隣接ゲート電極間切断領域パターニング用レジスト膜(第1のレジスト膜)
11a 隣接ゲート電極間切断領域パターニング用多層レジスト膜の上層膜
11b 隣接ゲート電極間切断領域パターニング用多層レジスト膜の中間層膜
11c 隣接ゲート電極間切断領域パターニング用多層レジスト膜の下層膜
12 隣接ゲート電極間切断領域(これに対応するレジスト膜開口)
14 ゲート電極(またはゲート電極膜)
14a 多層ゲート電極(またはゲート電極膜)の上層膜
14b 多層ゲート電極(またはゲート電極膜)の下層膜
15 ゲート電極パターニング用レジスト膜(第2のレジスト膜)
15a ゲート電極パターニング用多層レジスト膜の上層膜
15b ゲート電極パターニング用多層レジスト膜の中間層膜
15c ゲート電極パターニング用多層レジスト膜の下層膜
16 ゲート絶縁膜(High−k膜を有するゲート絶縁膜)
17 エッチングによるリセス部
18 シュリンク用側壁
19 トリムされた部分(元のレジスト膜の幅)
21 オフセットスペーサ窒化シリコン膜(オフセットスペーサ)
22n N型エクステンション領域
22p P型エクステンション領域
23a サイドウォール酸化シリコン膜(酸化シリコン膜サイドウォール)
23b サイドウォール窒化シリコン膜(窒化シリコン膜サイドウォール)
24n N型高濃度ソースドレイン領域
24p P型高濃度ソースドレイン領域
25 シリサイド層
26a プリメタル下層絶縁膜
26b プリメタル上層絶縁膜
27 コンタクトホール形成用レジスト膜
28 通常のコンタクトホール(またはコンタクト部)
29 タングステンプラグ
30 シェアードコンタクトホール(または当該コンタクト部)
BL,BLB ビット線
IC 相互接続メタル配線
MC メモリセル
Q1,Q2 N型記憶用トランジスタ
Q3,Q4 P型記憶用トランジスタ
Q5,Q6 読み出し用トランジスタ
R1 メモリ領域切り出し部
R2 非メモリ領域切り出し部
R3 非メモリ領域CMISFET対切り出し部
R3n 非メモリ領域CMISFET対切り出し部のNチャネルデバイス領域
R3p 非メモリ領域CMISFET対切り出し部のPチャネルデバイス領域
Vdd 電源供給ライン
Vss 基準電圧ライン
WL ワードライン
1 Wafer 1a Device main surface of wafer or chip (first main surface)
1b Back surface of wafer or chip 1n N well region 1p P well region 1s Semiconductor substrate region (P-type silicon single crystal substrate region)
2 Semiconductor chip or chip area 3 Notch 4 Chip peripheral part 5 Bonding pad 6 Memory area 7 Non-memory area 8 Active area 8n Active area of N channel device 8p Active area of P channel device 9 Element isolation area (STI)
11 A resist film for patterning a cutting region between adjacent gate electrodes (first resist film)
11a Upper layer film of the multilayer resist film for patterning the cutting region between adjacent gate electrodes 11b Intermediate layer film of the multilayer resist film for patterning the cutting region between adjacent gate electrodes 11c Lower layer film of the multilayer resist film for patterning the cutting region between adjacent gate electrodes 12 Cutting area (resist film opening corresponding to this)
14 Gate electrode (or gate electrode film)
14a Upper layer film of multilayer gate electrode (or gate electrode film) 14b Lower layer film of multilayer gate electrode (or gate electrode film) 15 Gate electrode patterning resist film (second resist film)
15a Upper layer film of the multilayer resist film for gate electrode patterning 15b Intermediate layer film of the multilayer resist film for gate electrode patterning 15c Lower layer film of the multilayer resist film for gate electrode patterning 16 Gate insulating film (gate insulating film having a High-k film)
17 Recessed part by etching 18 Side wall for shrink 19 Trimmed part (width of original resist film)
21 Offset spacer Silicon nitride film (offset spacer)
22n N-type extension region 22p P-type extension region 23a Side wall silicon oxide film (silicon oxide film side wall)
23b Side wall silicon nitride film (silicon nitride film side wall)
24n N-type high-concentration source / drain region 24p P-type high-concentration source / drain region 25 Silicide layer 26a Pre-metal lower layer insulation film 26b Pre-metal upper layer insulation film 27 Contact hole forming resist film 28 Normal contact hole (or contact portion)
29 Tungsten plug 30 Shared contact hole (or contact part)
BL, BLB Bit line IC Interconnect metal wiring MC Memory cell Q1, Q2 N-type memory transistor Q3, Q4 P-type memory transistor Q5, Q6 Read transistor R1 Memory area cutout part R2 Non-memory area cutout part R3 Non-memory area CMISFET pair cutout portion R3n Non-memory region CMISFET pair cutout portion N channel device region R3p Nonmemory region CMISFET pair cutout portion P channel device region Vdd Power supply line Vss Reference voltage line WL Word line

Claims (10)

以下の工程を含む半導体集積回路装置の製造方法:
(a)第1及び第2の主面を有する半導体ウエハであって、その第1の主面上に、メモリ領域および非メモリ領域を含む複数のチップ領域を有する前記半導体ウエハを準備する工程;
(b)前記半導体ウエハの前記第1の主面上に、下層のhigh−kゲート絶縁膜および上層のゲートメタル電極膜を有するゲート積層膜を形成する工程;
(c)前記ゲート積層膜上に、前記メモリ領域におけるゲート電極の延在方向において、隣接ゲート電極間切断領域を規定するための第1のレジスト膜を形成する工程;
(d)前記第1のレジスト膜に対して、パターニングを実行することにより、前記隣接ゲート電極間切断領域に対応するレジスト膜開口を形成する工程;
(e)パターニングされた前記第1のレジスト膜がある状態で、前記ゲート積層膜に対するエッチングを実行する工程;
(f)前記工程(e)の後、前記第1のレジスト膜を除去する工程;
(g)前記工程(f)の後、前記半導体ウエハの前記第1の主面上に、前記メモリ領域における前記ゲート電極に対応するライン&スペースパターンを規定するための第2のレジスト膜を形成する工程;
(h)前記第2のレジスト膜に対して、パターニングを実行する工程;
(i)パターニングされた前記第2のレジスト膜がある状態で、前記ゲート積層膜に対するエッチングを実行する工程;
(j)前記工程(i)の後、前記第2のレジスト膜を除去する工程。
A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) a step of preparing a semiconductor wafer having first and second main surfaces, the semiconductor wafer having a plurality of chip regions including a memory region and a non-memory region on the first main surface;
(B) forming a gate laminated film having a lower high-k gate insulating film and an upper gate metal electrode film on the first main surface of the semiconductor wafer;
(C) forming a first resist film for defining a cutting region between adjacent gate electrodes on the gate laminated film in the extending direction of the gate electrode in the memory region;
(D) forming a resist film opening corresponding to the cut region between the adjacent gate electrodes by performing patterning on the first resist film;
(E) performing the etching on the gate laminated film in a state where the patterned first resist film is present;
(F) a step of removing the first resist film after the step (e);
(G) After the step (f), a second resist film for defining a line and space pattern corresponding to the gate electrode in the memory region is formed on the first main surface of the semiconductor wafer. The step of:
(H) A step of patterning the second resist film;
(I) a step of performing etching on the gate laminated film in a state where the patterned second resist film is present;
(J) A step of removing the second resist film after the step (i).
前記1項の半導体集積回路装置の製造方法において、前記第1のレジスト膜および前記第2のレジスト膜と、前記ゲート積層膜の間には、ハードマスク膜がない。     In the method for manufacturing a semiconductor integrated circuit device according to the item 1, there is no hard mask film between the first resist film, the second resist film, and the gate laminated film. 前記1項の半導体集積回路装置の製造方法において、前記工程(g)の前記第2のレジスト膜は、前記非メモリ領域におけるゲート電極に対応するライン&スペースパターンを規定するためのものでもある。     In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the second resist film in the step (g) is also for defining a line and space pattern corresponding to the gate electrode in the non-memory region. 前記2項の半導体集積回路装置の製造方法において、前記隣接ゲート電極間切断領域は、素子分離領域内にある。     In the method of manufacturing a semiconductor integrated circuit device according to the item 2, the cutting region between adjacent gate electrodes is in an element isolation region. 前記2項の半導体集積回路装置の製造方法において、前記第1のレジスト膜および前記第2のレジスト膜は、それぞれ多層レジスト膜である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 2, each of the first resist film and the second resist film is a multilayer resist film. 前記5項の半導体集積回路装置の製造方法において、前記工程(e)は、以下の下位工程を含む:
(e1)前記レジスト膜開口を縮小させる処理を実行する工程;
(e2)前記工程(e1)の後、前記レジスト膜開口下の前記ゲート積層膜に対する異方性ドライエッチングを実行する工程。
In the method of manufacturing a semiconductor integrated circuit device according to the item 5, the step (e) includes the following substeps:
(E1) executing a process of reducing the opening of the resist film;
(E2) A step of performing anisotropic dry etching on the gate laminated film under the opening of the resist film after the step (e1).
前記6項の半導体集積回路装置の製造方法において、前記工程(i)は、以下の下位工程を含む:
(i1)パターニングされた前記第2のレジスト膜の幅を縮小させる処理を実行する工程;
(i2)前記工程(i1)の後、前記ゲート積層膜の内、前記第2のレジスト膜が被覆しない部分に対して、異方性ドライエッチングを実行する工程。
In the method for manufacturing a semiconductor integrated circuit device according to the item 6, the step (i) includes the following substeps:
(I1) executing a process of reducing the width of the patterned second resist film;
(I2) A step of performing anisotropic dry etching on a portion of the gate laminated film that is not covered with the second resist film after the step (i1).
前記1項の半導体集積回路装置の製造方法において、前記工程(d)および(h)におけるパターニングは、193nmの露光光を用いたArFリソグラフィにより実行される。     In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the patterning in the steps (d) and (h) is performed by ArF lithography using 193 nm exposure light. 前記5項の半導体集積回路装置の製造方法において、前記第1のレジスト膜および前記第2のレジスト膜は、以下を有する:
(x1)炭素を主要な成分とする下層レジスト膜;
(x2)前記下層レジスト膜上に形成されたシリコンを主要な成分の一つとして含む中層レジスト膜;
(x3)前記中層レジスト膜上に形成された感光性の上層レジスト膜。
In the method of manufacturing a semiconductor integrated circuit device according to the item 5, the first resist film and the second resist film have the following:
(X1) a lower resist film containing carbon as a main component;
(X2) an intermediate layer resist film containing silicon formed on the lower layer resist film as one of main components;
(X3) A photosensitive upper resist film formed on the intermediate resist film.
前記5項の半導体集積回路装置の製造方法において、前記第2のレジスト膜は、以下を有する:
(x1)炭素を主要な成分とする下層塗布系レジスト膜;
(x2)前記下層レジスト膜上に形成されたシリコンを主要な成分の一つとして含む中層レジスト膜;
(x3)前記中層レジスト膜上に形成された感光性の上層レジスト膜。
In the method of manufacturing a semiconductor integrated circuit device according to the item 5, the second resist film has the following:
(X1) a lower-layer-coated resist film containing carbon as a main component;
(X2) an intermediate layer resist film containing silicon formed on the lower layer resist film as one of main components;
(X3) A photosensitive upper resist film formed on the intermediate resist film.
JP2011062138A 2011-03-22 2011-03-22 Semiconductor integrated circuit device manufacturing method Withdrawn JP2012199361A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011062138A JP2012199361A (en) 2011-03-22 2011-03-22 Semiconductor integrated circuit device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011062138A JP2012199361A (en) 2011-03-22 2011-03-22 Semiconductor integrated circuit device manufacturing method

Publications (1)

Publication Number Publication Date
JP2012199361A true JP2012199361A (en) 2012-10-18

Family

ID=47181302

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011062138A Withdrawn JP2012199361A (en) 2011-03-22 2011-03-22 Semiconductor integrated circuit device manufacturing method

Country Status (1)

Country Link
JP (1) JP2012199361A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2019098208A1 (en) * 2017-11-17 2020-04-02 三井化学株式会社 Semiconductor element intermediate, metal-containing film forming composition, method of manufacturing semiconductor element intermediate, method of manufacturing semiconductor element

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2019098208A1 (en) * 2017-11-17 2020-04-02 三井化学株式会社 Semiconductor element intermediate, metal-containing film forming composition, method of manufacturing semiconductor element intermediate, method of manufacturing semiconductor element
JP7070935B2 (en) 2017-11-17 2022-05-18 三井化学株式会社 Semiconductor device intermediates, metal-containing film forming compositions, semiconductor device intermediate manufacturing methods, semiconductor device manufacturing methods
US11487205B2 (en) 2017-11-17 2022-11-01 Mitsui Chemicals, Inc. Semiconductor element intermediate, composition for forming metal-containing film, method of producing semiconductor element intermediate, and method of producing semiconductor element

Similar Documents

Publication Publication Date Title
JP5661524B2 (en) Manufacturing method of semiconductor integrated circuit device
TWI698938B (en) Semiconductor structure and method of manufacturing the same
US11476159B2 (en) Shared contact structure and methods for forming the same
US10373963B2 (en) Method for fabricating a local interconnect in a semiconductor device
KR20180002473A (en) Etch stop layer for semiconductor devices
TW202044488A (en) Integrated circuit device
KR102531317B1 (en) Stacking cmos structure
US9368385B2 (en) Manufacturing method for semiconductor integrated circuit device
TWI786594B (en) Semiconductor device and integrated circuit layout
TW202046492A (en) Semiconductor device
KR20190022253A (en) Method for source/drain contact formation in semiconductor devices
TW201807746A (en) Methods of recessing a gate structure using oxidizing treatments during a recessing etch process
JP2012199360A (en) Semiconductor integrated circuit device manufacturing method
JP5684371B2 (en) Manufacturing method of semiconductor integrated circuit device
US11968817B2 (en) Source/drain contact having a protruding segment
CN116133360A (en) Semiconductor device and method of forming the same
JP2012199361A (en) Semiconductor integrated circuit device manufacturing method
KR102486220B1 (en) Cut epi process and structures
US20240021687A1 (en) Void-Free Conductive Contact Formation
TWI783354B (en) Semiconductor and forming method thereof

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140603