JP5623529B2 - 光パターン形成性誘電体材料及び調合物並びに使用方法 - Google Patents

光パターン形成性誘電体材料及び調合物並びに使用方法 Download PDF

Info

Publication number
JP5623529B2
JP5623529B2 JP2012526023A JP2012526023A JP5623529B2 JP 5623529 B2 JP5623529 B2 JP 5623529B2 JP 2012526023 A JP2012526023 A JP 2012526023A JP 2012526023 A JP2012526023 A JP 2012526023A JP 5623529 B2 JP5623529 B2 JP 5623529B2
Authority
JP
Japan
Prior art keywords
mol
layer
moiety
trench
additional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012526023A
Other languages
English (en)
Other versions
JP2013503220A (ja
Inventor
ネルソン、アルシャキム
ブロック、フィリップ、ジョー
スーリヤクマラン、ラトナム
デービス、ブレーク
ミラー、ロバート、デニス
アレン、ロバート、デービッド
リン、チンファン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2013503220A publication Critical patent/JP2013503220A/ja
Application granted granted Critical
Publication of JP5623529B2 publication Critical patent/JP5623529B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/03Use of materials for the substrate
    • H05K1/0313Organic insulating material
    • H05K1/032Organic insulating material consisting of one material
    • H05K1/0326Organic insulating material consisting of one material containing O
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/14Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/307Other macromolecular compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/01Dielectrics
    • H05K2201/0137Materials
    • H05K2201/0162Silicon containing polymer, e.g. silicone

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Silicon Polymers (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Materials For Photolithography (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Description

本発明は、光パターン形成性誘電体材料の分野に関し、より詳細には、パターン形成性誘電体材料、パターン形成性誘電体材料を含む光パターン形成性調合物、集積回路の製造における、パターン形成性誘電体材料を含む光パターン形成性調合物の使用方法、パターン形成性誘電体材料を含む集積回路構造体に関する。
集積回路は、例えば、一部が半導体基板内に形成され、基板上に形成された層間誘電体層内に形成された配線を含む配線レベルによって相互接続された、電界効果トランジスタのような能動デバイスを含む。従来の配線レベルは、層間誘電体層を堆積し、誘電体層上に形成されたフォトレジスト層をパターン形成し、誘電体層内にトレンチをエッチングし、フォトレジストを除去し、トレンチを金属で充填することによって形成される。これは、費用と時間のかかるプロセスである。したがって、当該分野において、上記の欠点及び制限を軽減することが必要とされている。
本発明の目的は、従来の欠点及び制限を軽減する、パターン形成性誘電体材料、パターン形成性誘電体材料を含む感光性調合物、集積回路の製造における、パターン形成性誘電体材料を含む感光性調合物の使用方法、及びパターン形成性誘電体材料を含む集積回路構造体を提供することである。
本発明の第1の態様は、構造式(1)、(2)、(3)、(4)
の3又は4種の単量体を含み、
ここで上記3又は4種の単量体のうちの2種が、構造(1)及び(2)であり、Rが、直鎖アルキル、分枝アルキル、シクロアルキル、芳香族、アレーン及びエステル部分からなる群から選択され、Rが、ビニル、置換ビニル、アセチレン、置換アセチレン及びニトリル部分(moiety)からなる群から選択され、Rが、直鎖アルキレン、分枝アルキレン及びシクロアルキレン部分からなる群から選択され、Rが、直鎖アルコキシ、分枝アルコキシ、シクロアルコキシ、アセトキシ、ヒドロキシル、シリルオキシ及びシラノール部分からなる群から選択され、m、n、o、及びpが、繰返し単位のモルパーセント(mol%)を表し、m+n+o+pは、約40mol%以上であり、3種の単量体のみが存在する場合には、o又はpのどちらかがゼロである、シルセスキオキサン重合体を含む、組成物である。
本発明の第2の態様は、光酸発生剤と、キャスティング溶媒と、シルセスキオキサン重合体であって、構造式(1)、(2)、(3)、(4)
の3又は4種の単量体を含み、ここで、前記3又は4種の単量体のうちの2種が、構造(1)及び(2)であり、Rが、直鎖アルキル、分枝アルキル、シクロアルキル、芳香族、アレーン及びエステル部分からなる群から選択され、Rが、ビニル、置換ビニル、アセチレン、置換アセチレン及びニトリル部分からなる群から選択され、Rが、直鎖アルキレン、分枝アルキレン及びシクロアルキレン部分からなる群から選択され、Rが、直鎖アルコキシ、分枝アルコキシ、シクロアルコキシ、アセトキシ、ヒドロキシル、シリルオキシ及びシラノール部分からなる群から選択され、m、n、o、及びpが、繰返し単位のモルパーセント(mol%)を表し、m+n+o+pは、約40mol%以上であり、3種の単量体のみが存在する場合には、o又はpのどちらかがゼロである、上記シルセスキオキサン重合体とを含む、光活性調合物である。
本発明の第3の態様は、(a)光酸発生剤と、キャスティング溶媒と、シルセスキオキサン重合体とを含む光活性調合物の層を基板上に形成するステップと、(b)上記層をパターン状に紫外線露光して、露光層を生成するステップと、(c)露光層をベークして、紫外線露光された露光層の領域内のシルセスキオキサン重合体を架橋させ、ベーク層を生成するステップと、(d)ベーク層を現像して、ベーク層の紫外線露光されていない部分を除去し、現像層内に第1のトレンチを形成するステップと、(e)現像層を硬化して、シルセスキオキサン重合体をさらに架橋させ、第1のトレンチを含むパターン形成された硬化層を形成するステップと、(f)パターン形成された硬化層内の第1のトレンチを導電性材料で充填するステップとを含む、方法である。
本発明の第4の態様は、シルセスキオキサン重合体又は基板上のシルセスキオキサン重合体の架橋層と、前記架橋層内のトレンチと、前記トレンチを充填し、前記トレンチの底部内で前記基板に接触する導電性材料とを含む構造体であって、シルセスキオキサン重合体が、構造式(1)、(2)、(3)、(4)
の3又は4種の単量体を含み、ここで、前記3又は4種の単量体のうちの2種が、構造(1)及び(2)であり、Rが、直鎖アルキル、分枝アルキル、シクロアルキル、芳香族、アレーン及びエステル部分からなる群から選択され、Rが、ビニル、置換ビニル、アセチレン、置換アセチレン及びニトリル部分からなる群から選択され、Rが、直鎖アルキレン、分枝アルキレン及びシクロアルキレン部分からなる群から選択され、Rが、直鎖アルコキシ、分枝アルコキシ、シクロアルコキシ、アセトキシ、ヒドロキシル、シリルオキシ及びシラノール部分からなる群から選択され、m、n、o、及びpが、繰返し単位のモルパーセント(mol%)を表し、m+n+o+pは、約40mol%以上であり、3種の単量体のみが存在する場合には、o又はpのどちらかがゼロである、構造体である。
本発明のこれら及び他の態様が、以下で説明される。
本発明の特徴は、添付の請求の範囲で述べられる。しかしながら、本発明自体は、以下の例示的な実施形態の詳細な説明を添付図面と合わせて読みながら参照することで、最も良く理解されるであろう。
本発明の実施形態による光パターン形成性誘電体材料を用いたシングル又はデュアル・ダマシン配線の形成方法におけるステップを示す。 本発明の実施形態による光パターン形成性誘電体材料を用いたシングル又はデュアル・ダマシン配線の形成方法におけるステップを示す。 本発明の実施形態による光パターン形成性誘電体材料を用いたシングル又はデュアル・ダマシン配線の形成方法におけるステップを示す。 本発明の実施形態による光パターン形成性誘電体材料を用いたシングル・ダマシン配線の形成方法におけるステップを示す。 本発明の実施形態による光パターン形成性誘電体材料を用いたシングル・ダマシン配線の形成方法におけるステップを示す。 本発明の実施形態による光パターン形成性誘電体材料を用いたデュアル・ダマシン配線の形成方法におけるステップを示す。 本発明の実施形態による光パターン形成性誘電体材料を用いたデュアル・ダマシン配線の形成方法におけるステップを示す。 本発明の実施形態による光パターン形成性誘電体材料を用いたデュアル・ダマシン配線の形成方法におけるステップを示す。 本発明の実施形態による光パターン形成性誘電体材料を用いたデュアル・ダマシン配線の形成方法におけるステップを示す。 本発明の実施形態による光パターン形成性誘電体材料を用いたデュアル・ダマシン配線の形成方法におけるステップを示す。 本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物を用いて形成された誘電体材料内にシングル及びデュアル・ダマシン配線を形成する方法を説明するフローチャートである。 異なる処理ステップにおける、本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物から生成された試料についての透過率対波数赤外スペクトルの組を示す。 本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物を用いて形成された誘電体構造体の走査型電子顕微鏡写真である。 本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物を用いて形成された誘電体構造体の走査型電子顕微鏡写真である。
本発明は、シルセスキオキサン重合体を説明し、これは、1つ又は複数の光酸発生剤と、随意のキャスティング溶媒と、1つ又は複数の随意の添加剤と混合して、ネガ階調型光パターン形成性誘電体調合物を形成することができる、第1の例では三元共重合体であり、第2の例ではシルセスキオキサン四元共重合体である。本発明の実施形態におけるシルセスキオキサン重合体は、直鎖重合体、分枝重合体、かご形重合体又はそれらの組合せとすることができる。本発明の実施形態のシルセスキオキサン重合体は、好ましくは塩基水性液に可溶である。調合物の層のパターン状露光により、架橋されたパターン形成された誘電体層が現像後に(フォトレジストベースのリソグラフィ又は誘電体層のエッチングを用いることなく)直接形成される。パターン形成された誘電体層をさらに架橋させるための硬化の後に、低誘電率(k)のパターン形成された誘電体層が生成される。低k材料は、約3.0以下の誘電率を有する材料として定義される。本発明のシルセスキオキサン重合体は、好ましくはシラノール末端基を含むものとすることができ、シリルエーテル及びシリルアルコールが好ましく(シラノール部分を有する単量体を含むものとすることができ、シリルエーテル及びシリルアルコールが好ましい)、これは、露光後に光酸発生剤によって遊離される酸の存在下で縮合重合による架橋を生じさせる(熱は、重合の効率を増大させる)。架橋により、標準的な熱硬化及びそれに続く紫外(UV)−熱処理のような硬化条件に耐えることができる化学結合を形成することが可能である。本発明のシルセスキオキサン重合体は、好ましくは、硬化プロセス中に重合体をさらに架橋させるビニル又はアセチレン部分を有する少なくとも1種の単量体を含む。ビニル又はアセチレン部分を反応させることによりもたらされる追加の架橋は、頑強な低k材料を作るために非常に望ましい、材料の機械的性質を向上させる。
本発明のシルセスキオキサン重合体は、直接パターン形成することができるので、フォトレジストを使用せずにダマシン及びデュアル・ダマシン配線を形成する点で特に有用である。
ダマシン・プロセスは、誘電体層を貫通して延びる配線用トレンチ又はビア開口部を有する誘電体層を形成し、トレンチを充填するのに十分な厚さの導電体をトレンチ内及び誘電体の上面に堆積させ、化学的機械的研磨(CMP)プロセスを行って過剰の導電体を除去し、導電体の表面を誘電体層の表面と同一平面にして、ダマシン配線(又はダマシン・ビア)を形成するプロセスである。トレンチ及び配線(又はビア開口部及びビア)のみが形成される場合、プロセスは、シングル・ダマシンと呼ばれる。
ビアファースト・デュアル・ダマシン・プロセス(本発明の実施形態による)は、第1の誘電体層を貫通して延びるビア開口部を有する第1の誘電体層を形成し、次に、第2の誘電体層を貫通して延び、かつ第1の誘電体層内のトレンチと交差するトレンチを有する第2の誘電体層を形成するプロセスである。すべてのビア開口部は、上方の一体化した配線トレンチと交差するが、すべてのトレンチがビア開口部と交差する必要はない。トレンチ及びビア開口部を充填するのに十分な厚さの導電体を誘電体の上面に堆積させ、CMPプロセスを行ってトレンチ内の導電体の表面を誘電体層の表面と同一平面にして、デュアル・ダマシン配線、及び一体化したデュアル・ダマシン・ビアを有するデュアル・ダマシン配線を形成する。
本発明の実施形態によるシルセスキオキサン重合体において、Rは、直鎖アルキル、分枝アルキル、シクロアルキル、芳香族、アレーン及びエステル部分からなる群から選択され、Rは、ビニル、置換ビニル、アセチレン、置換アセチレン及びニトリル部分からなる群から選択され、Rは、直鎖アルキレン、分枝アルキレン及びシクロアルキレン部分からなる群から選択され、Rは、直鎖アルコキシ、分枝アルコキシ、シクロアルコキシ、アセトキシ、ヒドロキシル、シリルオキシ及びシラノール部分からなる群から選択される。好ましいR部分は、メチル、エチル、プロピル、イソプロピル、シクロヘキシル、及びノルボルニル基からなる群から選択される。好ましいR部分は、ビニル部分である。好ましいR部分は、エチレン基である。好ましいR部分は、ヒドロキシル部分である。
1つの実施形態において、本発明のシルセスキオキサン重合体は、構造式(1)、(2)、(3)、(4)
の3又は4種の単量体を含み、ここで、Rは、塩基水性液中の重合体の溶解を制御するための炭素含有基であり、Rは、露光後ベーク(後述)中の架橋のためのビニル又はアセチレン部分であり、Rは、C−C18炭化水素部分であり、構造式(3)は、橋かけ単量体(分枝重合体を可能にする)であり、Rは、硬化(後述)中の架橋のための、ヒドロキシル、アルコキシ、シリルオキシ、又はシラノール部分であり、m、n、o及びpは、繰返し単位のモルパーセント(mol%)を表す。mol%は、モル分率を100倍したものである。したがって、10mol%は、0.1モル分率である。10mol%は、重合体10モルにつき1モルの単量体が存在することを示す。本発明の実施形態のシルセスキオキサン重合体は、ヒドロキシル、アルコキシ、シリルオキシ又はシラノール末端基を有する。
1つの例において、本発明によるシルセスキオキサン重合体は、構造式(1)、(2)及び(3)の単量体を含み、m+n+oは、約40mol%以上であり、約75mol%以上が好ましく、約95mol%以上がより好ましく、約99mol%以上がさらになお好ましい。1つの例において、本発明によるシルセスキオキサン重合体は、構造式(1)、(2)及び(4)の単量体を含み、m+n+pは、約40mol%以上であり、約75mol%以上が好ましく、約95mol%以上がより好ましく、約99mol%以上がさらになお好ましい。1つの例において、本発明によるシルセスキオキサン重合体は、構造式(1)、(2)、(3)及び(4)の単量体を含み、m+n+o+pは、約40mol%以上であり、約75mol%以上が好ましく、約95mol%以上がより好ましく、約99mol%以上がさらになお好ましい。
1つの例において、シルセスキオキサン重合体について、最終重合体組成に対して、mは、約30mol%約90mol%あり、nは、1mol%約30mol%あり、oは、約0mol%約10mol%あり、pは、約0mol%約20mol%あり、ここで0mol%は、その単量体が重合体内に存在しないことを示す。構造式(3)の単量体が存在する場合、oは、約0.5mol%の最小値を有する。構造式(4)の単量体が存在する場合、pは、約0.5mol%の最小値を有する。
1つの例において、本発明のシルセスキオキサン重合体は、本質的に、構造式(1)、(2)、(3)、(4)
の3又は4種の単量体からなり、ここで、Rは、塩基水性液中の重合体の溶解を制御するための炭素含有基であり、Rは、露光後ベーク(後述)中の架橋のためのビニル又はアセチレン部分であり、Rは、C−C18炭化水素部分であり、構造式(3)は、橋かけ単量体(分枝重合体を可能にする)であり、Rは、硬化(後述)中の架橋のための、ヒドロキシル、アルコキシ、シリルオキシ、又はシラノール部分であり、m+n+o+pは、約100mol%に等しい。
本質的に本発明の構造式(1)、(2)及び(3)の単量体からなる、好ましい第1のシルセスキオキサン三元共重合体において、Rは、メチル部分であり、かつmは約70mol%約80mol%あり、Rはビニル部分であり、かつnは約3mol%約13mol%あり、Rはエチレン部分であり、かつoは約0.5mol%約6mol%ある。
本質的に本発明の構造式(1)、(2)及び(4)の単量体からなる、好ましい第2のシルセスキオキサン三元共重合体において、Rはメチル部分であり、かつmは約70mol%約80mol%あり、Rはビニル部分であり、かつnは約3mol%約13mol%あり、Rはヒドロキシル部分であり、かつpは約2mol%約10mol%ある。
本質的に本発明の構造式(1)、(2)、(3)及び(4)の単量体からなる、好ましいシルセスキオキサン四元共重合体において、Rはメチル部分であり、かつmは約70mol%約80mol%あり、Rはビニル部分であり、かつnは約3mol%約13mol%あり、Rはエチレン部分であり、かつoは約0.5mol%約6mol%あり、Rはヒドロキシル部分であり、かつpは約2mol%約10mol%ある。
1つの例において、本発明の実施形態のシルセスキオキサン重合体は、約400ダルトン約500,000ダルトン重量平均分子量を有する。1つの例において、本発明の実施形態のシルセスキオキサン重合体は、約1,500ダルトン約20,000ダルトン重量平均分子量を有する。
本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物は、上述の単量体(1)、(2)、(3)及び(4)の組合せのシルセスキオキサン三元共重合体及びシルセスキオキサン四元共重合体と、光酸発生剤(PAG)と、キャスティング溶媒とを含む。本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物は、随意に、有機塩基、架橋剤及び添加剤重合体といった、1つ又は複数の添加剤を含むことができる。
PAGの例としては、トリフェニルスルホニウムノナフレート、コ(トリフルオロ−メチルスルホニルオキシ)−ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド(MDT)、N−ヒドロキシ−ナフタルイミド(DDSN)、オニウム塩、芳香族ジアゾニウム塩、スルホニウム塩、ジアリールヨードニウム塩、及びN−ヒドロキシアミド、イミドのスルホン酸エステル、又はそれらの組合せが挙げられるが、これらに限定されない。
キャスティング溶媒の例としては、プロピオン酸エトキシエチル(EEP)、EEPとγ−ブチロラクトンとの組合せ、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、プロピレングリコールモノメチルエーテルアルコール、プロピレングリコールモノプロピルアルコール、プロピレングリコールモノプロピルアセテート、乳酸エチル、又はそれらの組合せが挙げられるが、これらに限定されない。
有機塩基は、フォトレジストの分野で公知の任意の適切な有機塩基とすることができる。有機塩基の例としては、水酸化テトラアルキルアンモニウム、水酸化セチルトリメチルアンモニウム、1,8−ジアミノナフタレン、及びそれらの組合せが挙げられるが、これらに限定されない。本発明の実施形態のネガ階調型光パターン形成性調合物は、いずれかの特定の有機塩基の選択に限定されない。
架橋剤の例としては、メチルフェニルテトラメトキシメチルグリコウリル(メチルフェニルPOWDERLINK)、テトラメトキシメチルグリコウリル、メチルプロピルテトラメトキシメチルグリコウリル、及び2,6−ビス(ヒドロキシメチル)−p−クレゾールが挙げられるが、これらに限定されない。
重合体添加剤の例は、構造式
を有するシルセスキオキサン重合体であり、ここでRは、アルキル、シクロアルキル及びアリール部分からなる群から選択され、sは、約10約1000整数である。構造式(5)の多くの重合体は、例えば、Dow Corning、Shin−Etsu、又はJSR Corporationから市販されている。
1つの例において、シルセスキオキサン重合体添加剤は、シラノール末端基を有するが、ハロシラン、アセトキシシラン、シリルアミン、及びアルコキシシラン末端基を含むこともある。本発明の好ましい実施形態において、添加剤重合体は、シラノール末端基を含むシルセスキオキサン重合体LKD−2015(JSR Corporation)である。
添加剤重合体は、ネガ階調型光パターン形成性誘電体調合物のすべての重合体の約1重量%約99重量%含まれ、約20重量%80重量%好ましく、約30重量%60重量%より好ましい。
図1から図3は、本発明の実施形態による光パターン形成性誘電体材料を用いたシングル又はデュアル・ダマシン配線形成方法におけるステップを示す。図1において、光パターン形成性誘電体層105が、基板100上に形成される。光パターン形成性誘電体層105は、上述の本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物で基板100をスピンコーティング、吹付け又は浸漬コーティングすることによって形成される。ネガ階調型光パターン形成性誘電体調合物が随意のキャスティング溶媒を含んでいる場合には、ネガ階調型光パターン形成性誘電体調合物を塗布した後、露光前ベークを約80℃約120℃温度、好ましくは約110℃で行って、キャスティング溶媒を飛ばし、光パターン形成性誘電体層105を形成する。1つの例において、基板100は、電界効果トランジスタ、バイポーラトランジスタ、ダイオード、抵抗、キャパシタ及びインダクタといったデバイス、並びにコンタクト及びダマシン及び/又はデュアル・ダマシン配線(この配線は、本発明の実施形態又は従来のプロセスを用いて形成することができる)を含む。
図2において、光パターン形成性誘電体層105は、マスク110を通してUV光で露光される。マスク110は、(用いられるUV光の波長に対して)不透明又は半透明の像120を有する、(用いられるUV光の波長に対して)透明又は半透明の基板115を含む。基板115を通過する光の方が、基板115と像120との組合せを通過する光よりも多い。1つの像120が図示されているが、集積回路を形成するために用いられるマスク上には、典型的にはこのような像が数十万から数億個、存在する。UV光で露光されると、光パターン形成性誘電体層105内に非露光領域125及び露光領域130のパターンが形成される。1つの例において、UV光は、約248nmの波長を有する。1つの例において、UV光は、約193nmの波長を有する。
図3において、露光後ベークと、その後の現像プロセスと、その後の硬化プロセスが行われ、開口部140を中に有するパターン形成された誘電体層135が形成される。開口部140の底部において、基板の上面142が露出する。1つの例において、露光後ベークは、約35℃約200℃行われ、約80℃約120℃温度が好ましい。パターン状UV露光により、光パターン形成性誘電体層105(図2参照)内の光酸発生剤が酸を発生し、これが、領域130(図2参照)内で、ヒドロキシル、アルコキシ、シリルオキシ又はシラノール末端基、及び存在する場合には構造式(4)のR基を通じて重合体を架橋させ、重合体を塩基性現像剤に対して不溶化させる。露光後ベークは、この架橋プロセスを増強する。適切な現像剤は、有機又は水性の塩基を含み、水性塩基現像剤が好ましい。1つの例において、現像剤は、水酸化テトラメチルアンモニウムの水溶液である。1つの例において、硬化プロセスは、約400℃以上の温度でのベークである。1つの例において、硬化プロセスは、約50nm約300nm波長を有する光を用いたUV露光である。1つの例において、硬化プロセスは、約50nm約300nm波長のUV光と、約400℃以上への加熱とに同時に曝露することを含む。硬化プロセスは、領域130内で構造式(2)の単量体のR基を通じて重合体を架橋させる。
図4及び図5は、本発明の実施形態による光パターン形成性誘電体材料内でシングル・ダマシン配線を形成する方法におけるステップを示す。図4は、図3からの続きである。
図4において、パターン形成された誘電体層135の上面及び開口部140内の露出された基板100の上面142の上に、導電性材料の層145が形成される。1つの例において、層145は、1つ又は複数の金属層を含む。1つの例において、層145は、パターン形成された誘電体層135(開口部140の側壁を含む)及び基板100に接する窒化タンタルのコンフォーマル層と、窒化タンタル層の上のタンタルのコンフォーマル層と、タンタル層の上の銅層(すなわちコア)とを含む。
図5において、パターン形成された誘電体層135の上面147がシングル・ダマシン配線(又はコンタクト)150の上面148と同一平面になるように、平坦化プロセス(例えば、化学的機械的研磨(CMP))が行われる。配線150は、デバイス(例えば、FETのゲート電極)に、又は基板100内のさらに下の配線レベルの別の配線に電気的に接触することができる。
図6から図8は、本発明の実施形態による光パターン形成性誘電体材料内にデュアル・ダマシン配線を形成する方法におけるステップを示す。図6は、図3からの続きである。
図6において、開口部140を充填して、パターン形成された誘電体層135上に光パターン形成性誘電体層155が形成される。光パターン形成性誘電体層155は、上述の本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物で基板100をスピンコーティング、吹付け又は浸漬コーティングすることによって形成される。ネガ階調型光パターン形成性誘電体調合物が随意のキャスティング溶媒を含んでいる場合には、ネガ階調型光パターン形成性誘電体調合物を塗布した後、露光前ベーク(例えば、約80℃約120℃温度、好ましくは約110℃)を行って、キャスティング溶媒を飛ばし、光パターン形成性誘電体層155を形成する。
図7において、光パターン形成性誘電体層155は、マスク160を通して紫外(UV)光で露光される。マスク160は、(用いられるUV光の波長に対して)不透明又は半透明の像170を有する、(用いられるUV光の波長に対して)透明又は半透明の基板165を含む。基板165を通過する光の方が、基板165と像170との組合せを通過する光よりも多い。1つの像170が図示されているが、集積回路を形成するために用いられるマスク上には、典型的にはこのような像が数十万から数億個、存在する。UV光で露光されると、光パターン形成性誘電体層155内に非露光領域175A及び露光領域175Bのパターンが形成される。1つの例において、UV光は、約248nmの波長を有する。1つの例において、UV光は、約193nmの波長を有する。
図8において、露光後ベークと、その後の現像プロセスと、その後の硬化プロセスが行われ、開口部185を中に有するパターン形成された誘電体層180が形成される。開口部185の底部において、パターン形成された誘電体層135内の開口部140が露出する。開口部140は幅W1を有し、開口部185は幅W2を有し、W2>W1である。1つの例において、露光後ベークは、約35℃約200℃行われ、約80℃約120℃温度が好ましい。パターン状UV露光により、光パターン形成性誘電体層155(図7参照)内の光酸発生剤が酸を発生し、これが、領域175B(図7参照)内で、ヒドロキシル、アルコキシ、シリルオキシ又はシラノール末端基、及び存在する場合には構造式(4)のR基を通じて重合体を架橋させ、重合体を塩基性現像剤に対して不溶化させる。露光後ベークは、この架橋プロセスを増強する。1つの例において、硬化プロセスは、約400℃以上の温度でのベークである。1つの例において、硬化プロセスは、UV露光である。1つの例において、硬化プロセスは、UV光での露光と、約400℃以上への加熱との組合せを含む。組合せの場合、UV露光と加熱とは、別々に行ってもよく、同時に行ってもよい。硬化プロセスは、領域175B内で構造式(2)の単量体のR基を通じて重合体を架橋させる。
図9において、パターン形成された誘電体層180の上面、パターン形成された誘電体層135の露出面、及び開口部140内に露出された基板100の上面142の上に、導電性材料の層190が形成される。層190は、開口部140及び185を完全に充填する。1つの例において、層190は、1つ又は複数の金属層を含む。1つの例において、層190は、パターン形成された誘電体層135及び180(開口部140及び185の側壁、並びに図8において開口部185内で露出した、パターン形成された誘電体層の上面を含む)及び基板100に接する窒化タンタルのコンフォーマル層と、窒化タンタル層の上のタンタルのコンフォーマル層と、タンタル層の上の銅層(すなわちコア)とを含む。
図10において、パターン形成された誘電体層180の上面187がデュアル・ダマシン配線195の上面188と同一平面になるように、平坦化プロセス(例えば、化学的機械的研磨(CMP))が行われる。配線195は、基板100内のさらに下の配線レベルの別の配線に電気的に接触することができる。
図11は、本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物を用いて形成された誘電体材料内にシングル及びデュアル・ダマシン配線を形成する方法を説明するフローチャートである。ステップ200において、図1に示され、かつ上述したように、本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物が塗布され、基板(例えば、製作中の集積回路)上に光パターン形成性誘電体層が形成される。ステップ205において、図2に示され、かつ上述したように、光パターン形成性誘電体層がパターン状に露光される。ステップ210において、露光後ベークが行われ、ステップ215において、露光された光パターン形成性誘電体層が現像され、ステップ220において、現像された光パターン形成性誘電体層を硬化して、図3に示され、かつ上述したように、パターン形成された誘電体層が形成される。
ステップ225において、形成される配線をシングル・ダマシン配線とすべきか又はデュアル・ダマシン配線とすべきかが決定される。シングル・ダマシン配線が形成される場合、方法はステップ230に進む。
ステップ230において、図4に示され、かつ上述したように、導電層が形成され、ステップ235において、図5に示され、かつ上述したように、平坦化プロセスが行われ、シングル・ダマシン配線の製作が完了する。
ステップ225に戻って、デュアル・ダマシン配線が形成される場合、方法は、ステップ240に進む。ステップ240において、図6に示され、かつ上述したように、本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物が塗布され、基板(例えば、製作中の集積回路)上に光パターン形成性誘電体層が形成される。ステップ245において、図7に示され、かつ上述したように、光パターン形成性誘電体層がパターン状に露光される。ステップ250において、露光後ベークが行われ、ステップ255において、露光された光パターン形成性誘電体層が現像され、ステップ260において、現像された光パターン形成性誘電体層を硬化して、図8に示され、かつ上述したように、パターン形成された誘電体層が形成される。ステップ265において、図9に示され、かつ上述したように、導電層が形成され、ステップ270において、図10に示され、かつ上述したように、平坦化プロセスが行われ、デュアル・ダマシン配線の製作が完了する。
図12は、異なる処理ステップにおける、本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物から生成された試料についての透過率対波数赤外スペクトルの組を示す。図12において、上のスペクトル(波数の目盛から最も遠いもの)は、スピン塗布されたままのネガ階調型光パターン形成性誘電体調合物のものである。中央のスペクトルは、熱硬化のみの後のものである。下のスペクトルは、UVと熱硬化との組合せの後のものである。1500cm−1付近のピーク、3000cm−1のあたりのピーク対は、それぞれ、構造式(2)の単量体のR基のC=C結合及びC−H結合を示す。1500cm−1のピーク及び3000cm−1のピーク対のうちの第1のピークは、架橋が増すにつれて小さくなる。
図13及び図14は、本発明の実施形態によるネガ階調型光パターン形成性誘電体調合物を用いて形成された誘電体構造体の走査型電子顕微鏡写真である。ネガ階調型光パターン形成性誘電体調合物は、20wt%のポリ(メチルシルセスキオキサン−コ−ビニルシルセスキオキサン−コ−テトラエトキシシラン)及び2wt%のトリフェニルスルホニウムノナフレートのPGMEA溶液と、0.4wt%の有機塩基とを混合することによって調製した。得られた低k調合物を、0.2μmフィルタを通して濾過した。低k組成物を8インチのシリコンウェハ上にスピンコーティングし、110℃で60秒間露光前ベークし、ASML(0.63NA、5/8環状)DUVステッパ上で248nmDUV光でパターン状に露光し、110℃で60秒間、露光後ベークした。これに続いて、水性0.26N水酸化テトラメチルアンモニウム(TMAH)現像剤を用いて30秒間のパドル現像ステップを行い、0.190μmマスクのライン及びスペースの構造部を解像した。図13は、8mJ露光で生成されたトレンチの構造フォーミュラ(structural formula)を示し、図14は、11mJ露光で生成されたトレンチの構造フォーミュラを示す。
以下の実施例は、本発明のさらなる説明を与える。本発明は、実施例の詳細に限定されるものではない。ふさわしいところで、以下の技術及び器具が実施例において使用された。H及び13C NMRスペクトルは、Avance400分光計で、室温にて得られた。定量13C NMRは、Avance400分光計で、緩和試薬としてCr(acac)3を用いた反転ゲート1Hデカップリングモードで、アセトン−d中、室温で行った。熱重量分析(TGA)は、TA Instrument Hi−Res TGA2950熱重量分析装置で、N2中、加熱速度5℃/分で行った。示差走査熱量測定(DSC)は、TA Insuruments DSC2920変調型示差走査熱量計で、加熱速度10℃/分で行った。分子量は、Waters Model150クロマトグラフで、テトラヒドロフラン(THF)中、ポリスチレン標準に対して測定した。IRスペクトルは、Nicolet510FT−IR分光計で、KBrプレート上のフィルムキャストで記録した。フィルム厚さは、Tencor alpha−step2000で測定した。水晶発振子微量天秤(QCM)を用いて、水酸化テトラメチルアンモニウム(TMAH)水溶液(CD−26)内でのレジスト膜の溶解動力学を研究した。
本発明による三元共重合体及び四元共重合体がトリエトキシメチルシランを用いて合成される場合、Rが−CHの構造式(1)の単量体が生成され、この単量体はメチルシルセスキオキサンと呼ばれることがある。本発明による三元共重合体及び四元共重合体がトリエトキシビニルシランを用いて合成される場合、Rが−CH=CHの構造式(2)の単量体が生成され、この単量体はビニルシルセスキオキサンと呼ばれることがある。本発明による三元共重合体及び四元共重合体がビス−トリメトキシシリルエタンを用いて合成される場合、Rが−CH−CH−の構造式(3)の単量体が生成され、この単量体は、ビス−シルセスキオキシルエタンと呼ばれることがある。本発明による三元共重合体及び四元共重合体がテトラエトキシシランを用いて合成される場合、RがO−CH−CHの構造式(4)の単量体が生成され、この単量体はテトラエトキシシランと呼ばれることがある。
実施例1
ポリ[(メチルシルセスキオキサン−コ−ビニルシルセスキオキサン−コ−テトラエトキシシラン)]の合成
熱電対温度計、マグネチックスターラ、窒素導入口を備えた冷却器、及び温度制御型加熱マントルを備えた250ミリリットル(ml)の3つ口丸底フラスコに、トリエトキシメチルシラン(42.12グラム、0.24モル)、トリエトキシビニルシラン(6.25g、0.03モル)、テトラエトキシシラン(5.71グラム、0.03モル)、54.7グラムのメチルイソブチルケトン、及び21mlの1.75%シュウ酸水溶液の混合物を仕込んだ。混合物を窒素下、撹拌しながら加熱した。反応混合物は、最初は不均一であったが、10分間の還流の後、均一になり、還流温度は80℃であった。還流を、総計で7時間続けた。混合物を室温まで冷やし、50mlの酢酸エチルで希釈した。この混合物を50mlずつの脱イオン水で6回抽出した(最後の水抽出物はpH試験紙で中性であった)。有機層を蒸発させて、高真空で室温にて24時間、真空排気した後、22.50グラムの固い泡状物が得られた。アセチル酢酸クロムの存在下、アセトン中の13C NMRにより、ビニル炭素対メチル炭素の比は2:8であった。
実施例2
ポリ[(メチルシルセスキオキサン−コ−ビニルシルセスキオキサン−コ−ビス−シルセスキオキシルエタン−コ−テトラエトキシシラン)]の合成
熱電対温度計、マグネチックスターラ、窒素導入口を備えた冷却器、及び温度制御型加熱マントルを備えた250mlの3つ口丸底フラスコに、トリエトキシメチルシラン(49.92グラム、0.28モル)、トリエトキシビニルシラン(3.81g、0.02モル)、テトラエトキシシラン(8.33グラム、0.04モル)、ビス−トリメトキシシリルエタン(5.41グラム、0.02モル)、67.5グラムのメチルイソブチルケトン、及び25.2mlの1.75%シュウ酸水溶液の混合物を仕込んだ。混合物を窒素下、撹拌しながら加熱した。反応混合物は、最初は不均一であったが、10分間の還流の後、均一になり、還流温度は79.3℃であった。還流を、総計で7時間続けた。混合物を室温まで冷やし、150mlの酢酸エチルで希釈した。この混合物を150mlずつの脱イオン水で2回抽出し、中程度のサイズのガラスフリットを通して濾過して、微量の不溶物を除去した。濾過した溶液をさらに150mlずつの脱イオン水で4回洗った(最後の水抽出物はpH試験紙で中性であった)。有機層を蒸発させて、高真空で室温にて24時間、真空排気した後、27.2グラムの固い泡状物が得られた。単量体(1)、(2)、(3)及び(4)のモル比は14:1:1:2であった。
実施例3
ポリ[(メチルシルセスキオキサン−コ−ビニルシルセスキオキサン−コ−ビス−シルセスキオキシルエタン−コ−テトラエトキシシラン)]の合成
熱電対温度計、マグネチックスターラ、窒素導入口を備えた冷却器、及び温度制御型加熱マントルを備えた250mlの3つ口丸底フラスコに、トリエトキシメチルシラン(46.36グラム、0.26モル)、トリエトキシビニルシラン(7.61g、0.04モル)、テトラエトキシシラン(8.33グラム、0.04モル)、ビス−トリメトキシシリルエタン(5.41グラム、0.02モル)、67.5グラムのメチルイソブチルケトン、及び25.2mlの1.75%シュウ酸水溶液の混合物を仕込んだ。混合物を窒素下、撹拌しながら加熱した。反応混合物は、最初は不均一であったが、10分間の還流の後、均一になり、還流温度は79.4℃であった。還流を、総計で7時間続けた。混合物を室温まで冷やし、150mlの酢酸エチルで希釈した。この混合物を150mlずつの脱イオン水で6回抽出した(最後の水抽出物はpH試験紙で中性であった)。有機層を蒸発させて、高真空で室温にて24時間、真空排気した後、30.07グラムの固い泡状物が得られた。単量体(1)、(2)、(3)及び(4)のモル比は13:2:2:1であった。
実施例4
ポリ[(メチルシルセスキオキサン−コ−ビニルシルセスキオキサン−コ−ビス−シルセスキオキシルエタン)]の合成
メチルトリエトキシシラン(53.49グラム、0.3モル)、ビニルトリエトキシシラン(20.76グラム、0.109モル)、ビス(トリエトキシシラン)エタン(7.38グラム、0.026モル)、及びテトラオルトシリケート(11.36グラム、0.055モル)を、3つ口の500ミリリットル丸底フラスコの中で4−メチル−2−ペンタノン(77.78ml)と共に混合した。1.75wt%シュウ酸溶液(25.2グラム)を60℃で上記の溶液に加えると発熱反応が生じた。反応混合物の温度を70℃に下げ、その後、反応混合物を78.8℃で6時間撹拌した。重合体を抽出するために、酢酸エチル(150ml)を反応混合物に室温で加え、溶液を蒸留水で洗った(7−8回)。減圧下で溶媒を蒸発させて、重合体(27グラム)を得た。
実施例5
ナノインデンテーション測定
硬化した材料のヤング率をナノインデンテーションによって測定した。対照のポリ(メチルシルセスキオキサン−コ−ビス−シルセスキオキシルエタン)及び2種のポリ(メチルシルセスキオキサン−コ−ビニルシルセスキオキサン−コ−ビス−シルセスキオキシルエタン−コ−テトラエトキシシラン)を、シリコンウェハ上にスピン塗布し、次いで、110℃で1分間、塗布後ベークし、248nm光で露光し、110℃で1分間、露光後ベークし、次いで400℃でUV−熱硬化させた。ポリ(メチルシルセスキオキサン−コ−ビス−シルセスキオキシルエタン)の単量体のモル比率は、15:1であり、測定されたヤング率は5.4GPaであった。第1のポリ(メチルシルセスキオキサン−コ−ビニルシルセスキオキサン−コ−ビス−シルセスキオキシルエタン−コ−テトラエトキシシラン)の単量体のモル比率は、13.5:1.5:1であり、測定されたヤング率は9.9GPaであった。第2のポリ(メチルシルセスキオキサン−コ−ビニルシルセスキオキサン−コ−ビス−シルセスキオキシルエタン−コ−テトラエトキシシラン)の単量体のモル比は、13:2:1であり、測定されたヤング率は10.89GPaであった。
実施例6
光パターン形成
PGMEA中、20wt%溶液のメチルシルセスキオキサン−コ−ビニルシルセスキオキサン−コ−TEOS及び2wt%のトリフェニルスルホニウムノナフレート、及び0.4部の有機塩基で、パターン形成性の低k組成物を調合した。得られた低k調合物を0.2μmフィルタを通して濾過した。低k組成物を8インチのシリコンウェハ上にスピンコーティングし、110℃で60秒間露光前ベークし、ASML(0.63NA、5/8環状)DUVステッパ上で248nmDUV光でパターン状に露光し、110℃で60秒間、露光後ベークした。これに続いて、0.26NのTMAH現像剤を用いて30秒間のパドル現像ステップを行い、0.190μmのライン及びスペースの構造部を解像した。
このようにして、本発明の実施形態は、パターン形成性誘電体材料、パターン形成性誘電体材料を含む感光性調合物、集積回路の製造における、パターン形成性誘電体材料を含む感光性調合物の使用方法、及びパターン形成性誘電体材料を含む集積回路構造体を提供する。本発明の実施形態による方法は、従来の方法よりも用いる材料が少なく、必要とされるステップも少ない。
本発明の理解のために、本発明の実施形態の説明を上記で提示する。本発明は、本明細書に記載の特定の実施形態に限定されるものではなく、本発明の範囲から逸脱することなく、いまや当業者に明らかである種々の改変、再配置及び置換が可能であることが理解されよう。したがって、以下の特許請求の範囲は、本発明の真意及び範囲内に入るこのようなすべての改変及び変更を包含することが意図される。
100:基板
105、155:光パターン形成性誘電体層
110、160:マスク
120、170:像
125、175A:非露光領域
130、175B:露光領域
135、180:パターン形成された誘電体層
140、185:開口部
145、190:導電体材料層
150、195:ダマシン配線

Claims (17)

  1. 組成物であって、
    下記構造式(1)、(2)、(3)、(4)の3又は4種の単量体を含むシルセスキオキサン重合体であって、
    ここで、前記3又は4種の単量体のうちの2種が、前記構造(1)及び(2)であり、
    が、直鎖アルキル、分枝アルキル、シクロアルキル、芳香族、アレーン及びエステル部分からなる群から選択され、
    が、ビニル、置換ビニル、アセチレン、置換アセチレン及びニトリル部分からなる群から選択され、
    が、直鎖アルキレン、分枝アルキレン及びシクロアルキレン部分からなる群から選択され、
    が、直鎖アルコキシ、分枝アルコキシ、シクロアルコキシ、アセトキシ、ヒドロキシル、シリルオキシ及びシラノール部分からなる群から選択され、
    m、n、o、及びpが、繰返し単位のモルパーセント(mol%)を表し、m+n+o+pは40mol%以上であり、3種の単量体のみが存在する場合には、o又はpのどちらかがゼロである、前記シルセスキオキサン重合体と、
    下記構造(5)の添加剤シルセスキオキサン重合体(以下、添加剤という)であって、
    ここで、R が、アルキル、シクロアルキル及びアリール部分からなる群から選択され、
    sが、10〜1000の整数である、前記添加剤と
    を含む、前記組成物。
  2. 前記シルセスキオキサン重合体が、塩基水液に可溶である、請求項1に記載の組成物。
  3. 前記シルセスキオキサン重合体が150020,000ダルトン分子量を有する、請求項1に記載の組成物。
  4. 前記シルセスキオキサン重合体が、本質的に、前記構造式(1)、(2)及び(3)の単量体からなり、
    がメチル部分であり、かつm70mol%80mol%あり、
    がビニル部分であり、かつn3mol%13mol%あり、
    がエチレン部分であり、かつo0.5mol%6mol%ある、
    請求項1〜3のいずれか一項に記載の組成物。
  5. 前記シルセスキオキサン重合体が、本質的に、前記構造式(1)、(2)及び(4)の単量体からなり、
    がメチル部分であり、かつm70mol%80mol%あり、
    がビニル部分であり、かつn3mol%13mol%あり、
    がヒドロキシル部分であり、かつp2mol%10mol%ある、
    請求項1〜3のいずれか一項に記載の組成物。
  6. 前記シルセスキオキサン重合体が、本質的に、前記構造式(1)、(2)、(3)及び(4)の単量体からなり、
    がメチル部分であり、かつm70mol%80mol%あり、
    がビニル部分であり、かつn3mol%13mol%あり、
    がエチレン部分であり、かつo0.5mol%6mol%あり、
    がヒドロキシル部分であり、かつp2mol%10mol%ある、
    請求項1〜3のいずれか一項に記載の組成物。
  7. 光酸発生剤、キャスティング溶媒をさらに含む、請求〜6のいずれか1項に記載の組成物。
  8. 1つ又は複数の架橋剤、1つ又は複数の有機塩基、又はそれらの組合せをさらに含む、請求項に記載の組成物。
  9. 前記光酸発生剤が、トリフェニルスルホニウムノナフレート、コ(トリフルオロ−メチルスルホニルオキシ)−ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド(MDT)、N−ヒドロキシ−ナフタルイミド(DDSN)、オニウム塩、芳香族ジアゾニウム塩、スルホニウム塩、ジアリールヨードニウム塩、及びN−ヒドロキシアミド、イミドのスルホン酸エステル、又はそれらの組合せから選択される、請求項7又は8に記載の組成物。
  10. 前記キャスティング溶媒が、プロピオン酸エトキシエチル(EEP)、EEPとγ−ブチロラクトンとの組合せ、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、プロピレングリコールモノメチルエーテルアルコール、プロピレングリコールモノプロピルアルコール、プロピレングリコールモノプロピルアセテート、乳酸エチル、又はそれらの組合せから選択される、請求項7〜9のいずれか一項に記載の組成物。
  11. 前記架橋剤が、メチルフェニルテトラメトキシメチルグリコウリル(メチルフェニルPOWDERLINK)、テトラメトキシメチルグリコウリル、メチルプロピルテトラメトキシメチルグリコウリル、及び2,6−ビス(ヒドロキシメチル)−p−クレゾールから選択される、請求項8に記載の組成物。
  12. 前記有機塩基が、水酸化テトラアルキルアンモニウム、水酸化セチルトリメチルアンモニウム、1,8−ジアミノナフタレン、及びそれらの組合せから選択される、請求項8又は11に記載の組成物。
  13. 前記組成物が光活性調合物である、請求項7〜12のいずれか一項に記載の組成物。
  14. (a)基板上に、請求項13に記載の光活性調合物の層を形成するステップと、
    (b)前記層をパターン状に紫外線露光して、露光層を生成するステップと、
    (c)前記露光層をベークしてベーク層を生成するステップと、
    (d)前記ベーク層を現像して、前記ベーク層の前記紫外線露光されていない部分を除去し、現像層内に第1のトレンチを形成するステップと、
    (e)前記現像層を硬化して前記第1のトレンチを含むパターン形成された硬化層を形成するステップと、
    (f)前記パターン形成された硬化層内の前記第1のトレンチを導電性材料で充填するステップと
    を含む、方法。
  15. 前記ステップ(e)と(f)との間に、
    前記パターン形成された硬化層上に、請求項13に記載の光活性調合物の追加層を形成するステップであって、前記光活性調合物中の前記シルセスキオキサン重合体が、シルセスキオキサン三元共重合体又はシルセスキオキサン四元共重合体である、前記形成するステップと、
    前記追加層をパターン状に紫外線露光して、追加露光層を生成するステップと、
    前記追加露光層をベークして追加ベーク層を生成するステップと、
    前記追加ベーク層を現像して、前記追加ベーク層の紫外線露光されていない部分を除去し、追加現像層内に第2のトレンチを形成するステップと、
    前記追加現像層を硬化して前記第2のトレンチを含むパターン形成された追加硬化層を形成するステップであって、前記第1のトレンチが前記第2のトレンチの底部において露出され、前記基板が前記第1のトレンチの底部において露出される、前記追加硬化層を形成するステップと
    を含み、
    前記ステップ(f)が、前記第1のトレンチと前記第2のトレンチとを前記導電性材料で同時に充填することを含む、請求項14に記載の方法。
  16. 前記硬化することが、紫外線と、少なくとも400℃の温度までの加熱との両方に同時に曝露することを含む、請求項14又は15に記載の方法。
  17. 請求項14〜16のいずれか一項に記載の方法によって製造された構造体。
JP2012526023A 2009-08-31 2010-08-23 光パターン形成性誘電体材料及び調合物並びに使用方法 Expired - Fee Related JP5623529B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/550,683 2009-08-31
US12/550,683 US8431670B2 (en) 2009-08-31 2009-08-31 Photo-patternable dielectric materials and formulations and methods of use
PCT/EP2010/062243 WO2011057832A1 (en) 2009-08-31 2010-08-23 Photo-patternable dielectric materials and formulations and methods of use

Publications (2)

Publication Number Publication Date
JP2013503220A JP2013503220A (ja) 2013-01-31
JP5623529B2 true JP5623529B2 (ja) 2014-11-12

Family

ID=43086166

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012526023A Expired - Fee Related JP5623529B2 (ja) 2009-08-31 2010-08-23 光パターン形成性誘電体材料及び調合物並びに使用方法

Country Status (7)

Country Link
US (3) US8431670B2 (ja)
JP (1) JP5623529B2 (ja)
CN (1) CN102482533B (ja)
DE (1) DE112010003502B4 (ja)
GB (1) GB2485283B (ja)
TW (1) TW201120143A (ja)
WO (1) WO2011057832A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201030469A (en) * 2008-12-25 2010-08-16 Jsr Corp Negative-tone radiation-sensitive composition, cured pattern forming method, and cured pattern
US8431670B2 (en) 2009-08-31 2013-04-30 International Business Machines Corporation Photo-patternable dielectric materials and formulations and methods of use
US8871425B2 (en) 2012-02-09 2014-10-28 Az Electronic Materials (Luxembourg) S.A.R.L. Low dielectric photoimageable compositions and electronic devices made therefrom
WO2014099699A1 (en) * 2012-12-21 2014-06-26 3M Innovative Properties Company Curable silsesquioxane polymers, compositions, articles, and methods
US20140178698A1 (en) 2012-12-21 2014-06-26 3M Innovative Properties Company Curable silsesquioxane polymers, compositions, articles, and methods
TWI551951B (zh) * 2014-05-07 2016-10-01 奇美實業股份有限公司 感光性組成物、保護膜以及具有保護膜的元件
US9761817B2 (en) * 2015-03-13 2017-09-12 Corning Incorporated Photo-patternable gate dielectrics for OFET
KR101998228B1 (ko) * 2016-11-22 2019-07-09 삼성에스디아이 주식회사 감광성 수지 조성물, 그로부터 형성된 경화막, 및 상기 경화막을 갖는 소자
US10947412B2 (en) * 2017-12-19 2021-03-16 Honeywell International Inc. Crack-resistant silicon-based planarizing compositions, methods and films
CN109233294B (zh) * 2018-08-28 2020-04-24 淮阴工学院 有机硅介微孔超低介电薄膜及其制备方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6210856B1 (en) * 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6936537B2 (en) 2001-06-19 2005-08-30 The Boc Group, Inc. Methods for forming low-k dielectric films
JPWO2003066750A1 (ja) 2002-02-06 2005-06-02 旭化成株式会社 絶縁薄膜製造用塗布組成物
US7217492B2 (en) * 2002-12-25 2007-05-15 Jsr Corporation Onium salt compound and radiation-sensitive resin composition
US7041748B2 (en) 2003-01-08 2006-05-09 International Business Machines Corporation Patternable low dielectric constant materials and their use in ULSI interconnection
JP2004300311A (ja) * 2003-03-31 2004-10-28 Fuji Photo Film Co Ltd シリカ系皮膜形成用塗布組成物及びシリカ系皮膜形成方法
DE10330022A1 (de) 2003-07-03 2005-01-20 Degussa Ag Verfahren zur Herstellung von Iow-k dielektrischen Filmen
JP2005133040A (ja) * 2003-10-31 2005-05-26 Jsr Corp 膜形成用組成物、シリカ系膜およびその形成方法、ならびに積層膜
JP4355939B2 (ja) * 2004-07-23 2009-11-04 Jsr株式会社 半導体装置の絶縁膜形成用組成物およびシリカ系膜の形成方法
EP1674904B1 (en) 2004-12-22 2008-12-03 Rohm and Haas Electronic Materials, L.L.C. Optical dry-films and methods of forming optical devices with dry-films
EP1942150B1 (en) * 2005-10-28 2018-08-22 Toray Industries, Inc. Siloxane resin composition and method for producing same
US7423108B2 (en) 2005-12-16 2008-09-09 Bausch & Lomb Incorporated High refractive-index siloxy-containing monomers and polymers, and ophthalmic devices comprising such polymers
JP5160237B2 (ja) * 2005-12-22 2013-03-13 日揮触媒化成株式会社 低誘電率非晶質シリカ系被膜形成用塗布液および該塗布液から得られる低誘電率非晶質シリカ系被膜
US7468330B2 (en) 2006-04-05 2008-12-23 International Business Machines Corporation Imprint process using polyhedral oligomeric silsesquioxane based imprint materials
CN101523292B (zh) * 2006-10-12 2013-04-10 日产化学工业株式会社 利用4层系叠层体进行的半导体器件的制造方法
US8084862B2 (en) 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
WO2009060125A1 (en) * 2007-11-06 2009-05-14 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
US20090142694A1 (en) * 2007-11-30 2009-06-04 Braggone Oy Siloxane polymer compositions and methods of using the same
JP2009160826A (ja) * 2008-01-08 2009-07-23 Jsr Corp 積層体およびその製造方法ならびに半導体装置
JP2009227910A (ja) * 2008-03-25 2009-10-08 Jsr Corp 絶縁膜形成用組成物、ならびにシリカ系膜およびその形成方法
JP5353407B2 (ja) * 2009-04-22 2013-11-27 Jsr株式会社 ネガ型感放射線性組成物、硬化パターン形成方法及び硬化パターン
JP5568892B2 (ja) * 2009-05-01 2014-08-13 Jsr株式会社 ネガ型感放射線性組成物、硬化パターン形成方法及び硬化パターン
US8431670B2 (en) 2009-08-31 2013-04-30 International Business Machines Corporation Photo-patternable dielectric materials and formulations and methods of use

Also Published As

Publication number Publication date
DE112010003502T5 (de) 2013-03-14
GB201119451D0 (en) 2011-12-21
CN102482533B (zh) 2014-07-09
JP2013503220A (ja) 2013-01-31
US20110048787A1 (en) 2011-03-03
WO2011057832A1 (en) 2011-05-19
US8431670B2 (en) 2013-04-30
DE112010003502B4 (de) 2019-02-07
US9012587B2 (en) 2015-04-21
US20150189743A1 (en) 2015-07-02
GB2485283A (en) 2012-05-09
TW201120143A (en) 2011-06-16
GB2485283B (en) 2014-11-26
US20130292163A1 (en) 2013-11-07
CN102482533A (zh) 2012-05-30

Similar Documents

Publication Publication Date Title
JP5623529B2 (ja) 光パターン形成性誘電体材料及び調合物並びに使用方法
US8389663B2 (en) Photo-patternable dielectric materials curable to porous dielectric materials, formulations, precursors and methods of use thereof
US7867689B2 (en) Method of use for photopatternable dielectric materials for BEOL applications
US7919225B2 (en) Photopatternable dielectric materials for BEOL applications and methods for use
JP3935874B2 (ja) パターナブル低誘電率材料およびulsi相互接続でのその使用
TWI669353B (zh) 金屬硬遮罩組合物及在半導體基板上形成精細圖案之方法
US8029971B2 (en) Photopatternable dielectric materials for BEOL applications and methods for use
US8470516B2 (en) Method of forming a relief pattern by e-beam lithography using chemical amplification, and derived articles
KR101674703B1 (ko) 반전 패턴 형성 방법 및 폴리실록산 수지 조성물
JP6197883B2 (ja) 非ポリマー型シルセスキオキサンを含むケイ素含有反射防止膜
WO2021215240A1 (ja) レジスト下層膜形成用組成物及び半導体基板の製造方法
JPH11130860A (ja) ケイ素含有ポリマ並びにこれを用いたレジスト組成物及びレジストパターン形成方法
JPH04181254A (ja) ポリシルフェニレンシロキサン及びその製造方法ならびにレジスト材料及び半導体装置
JP2006098984A (ja) 平坦化樹脂層、並びにそれを有する半導体装置及び表示体装置
US20070231736A1 (en) Bottom antireflective coating composition and method for use thereof

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130507

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140121

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140121

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20140121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140124

TRDD Decision of grant or rejection written
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140905

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20140905

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140905

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140924

R150 Certificate of patent or registration of utility model

Ref document number: 5623529

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees