US20150189743A1 - Photo-patternable dielectric materials and formulations and methods of use - Google Patents

Photo-patternable dielectric materials and formulations and methods of use Download PDF

Info

Publication number
US20150189743A1
US20150189743A1 US14/644,416 US201514644416A US2015189743A1 US 20150189743 A1 US20150189743 A1 US 20150189743A1 US 201514644416 A US201514644416 A US 201514644416A US 2015189743 A1 US2015189743 A1 US 2015189743A1
Authority
US
United States
Prior art keywords
mol
monomers
group
moieties
vinyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/644,416
Inventor
Robert D. Allen
Phillip J. Brock
Blake W. Davis
Qinghuang Lin
Robert D. Miller
Alshakim Nelson
Ratnam Sooriyakumaran
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries US 2 LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US 2 LLC filed Critical GlobalFoundries US 2 LLC
Priority to US14/644,416 priority Critical patent/US20150189743A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NELSON, ALSHAKIM, BROCK, PHILLIP J., LIN, QINGHUANG, ALLEN, ROBERT D., DAVIS, BLAKE W., MILLER, ROBERT D., SOORIYAKUMARAN, RATNAM
Publication of US20150189743A1 publication Critical patent/US20150189743A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC COMPANY reassignment GLOBALFOUNDRIES U.S. 2 LLC COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S.2 LLC reassignment GLOBALFOUNDRIES U.S.2 LLC CORRECTIVE ASSIGNMENT TO CORRECT THE RECEIVING PARTY DATA PREVIOUSLY RECORDED AT REEL: 036328 FRAME: 0809. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGMENT. Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/03Use of materials for the substrate
    • H05K1/0313Organic insulating material
    • H05K1/032Organic insulating material consisting of one material
    • H05K1/0326Organic insulating material consisting of one material containing O
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/14Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/307Other macromolecular compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/01Dielectrics
    • H05K2201/0137Materials
    • H05K2201/0162Silicon containing polymer, e.g. silicone

Definitions

  • the present invention relates to the field of photo-patternable dielectric materials; more specifically, it relates to patternable dielectric materials, photo-sensitive formulations containing patternable dielectric materials, methods of using photo-sensitive formulations containing patternable dielectric materials in the fabrication of integrated circuits, and integrated circuit structures comprising patternable dielectric materials.
  • Integrated circuits include, for example, active devices such as field effect transistors partially formed in a semiconductor substrate and interconnected by wiring levels comprising wires formed in interlevel dielectric layers formed on the substrate.
  • Conventional wiring levels are formed by depositing an interlevel dielectric layer, patterning a photoresist layer formed on the dielectric layer, etching trenches in the dielectric layer, removing the photoresist and filling the trenches with metal. This is an expensive and time-consuming process. Accordingly, there exists a need in the art to mitigate the deficiencies and limitations described hereinabove.
  • a first aspect of the present invention is a composition of matter comprising: a silsesquioxane polymer comprising three or four monomers of the structural formulas (1), (2), (3), (4):
  • R 1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties
  • R 2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties
  • R 3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties
  • R 4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties
  • m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or
  • a second aspect of the present invention is a photoactive formulation, comprising: a photoacid generator; a casting solvent; and a silsesquioxane polymer comprising three or four monomers of the structural formulas (1), (2), (3), (4):
  • R 1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties
  • R 2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties
  • R 3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties
  • R 4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties
  • m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or
  • a third aspect of the present invention is a method, comprising: (a) forming on a substrate, a layer of a photoactive formulation comprising: a photoacid generator; a casting solvent; and a silsesquioxane polymer; (b) patternwise exposing the layer with ultraviolet light to generate an exposed layer; (c) baking the exposed layer to cross-link the silsesquioxane polymer in regions of the exposed layer exposed to the ultraviolet light to generate a baked layer; (d) developing the baked layer to remove portions of the baked layer not exposed to the ultraviolet light to form a first trench in a developed layer; (e) curing the developed layer to further cross-link the silsesquioxane polymer and form a patterned cured layer including the first trench; and (f) filling the first trench in the patterned cured layer with an electrically conductive material.
  • a fourth aspect of the present invention is a structure, comprising: a cross-linked layer of a silsesquioxane polymer or a silsesquioxane polymer on a substrate; a trench in the cross-linked layer; an electrically conductive material filling the trench and contacting the substrate in a bottom of the trench; and wherein the silsesquioxane polymer comprises three or four monomers of the structural formulas (1), (2), (3), (4):
  • R 1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties
  • R 2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties
  • R 3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties
  • R 4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties
  • m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or
  • FIGS. 1A through 1C illustrate steps in a method of forming single or dual-damascene wires using a photo-patternable dielectric material according to embodiments of the present invention
  • FIGS. 2A and 2B illustrate steps in a method of forming single damascene wires in a photo-patternable dielectric material according to embodiments of the present invention
  • FIGS. 3A through 3E illustrate steps in a method of forming dual-damascene wires in a photo-patternable dielectric material according to embodiments of the present invention
  • FIG. 4 is a flowchart describing a method of forming single-and dual damascene wires in dielectric material formed using a negative tone photo-patternable dielectric formulation according to embodiments of the present invention
  • FIG. 5 is a set of transmittance vs. wavenumber infrared spectra for samples generated from a negative tone photo-patternable dielectric formulation according to embodiments of the present invention at different processing steps;
  • FIGS. 6 and 7 are scanning electron microscope photographs of dielectric structures formed using a negative tone photo-patternable dielectric formulation according to embodiments of the present invention.
  • the present invention describes silsesquioxane polymers, which in a first example are terpolymers and in a second example are silsesquioxane quadpolymers that may be mixed with one or more photoacid generators, an optional casting solvent and one or more optional additives to form a negative tone photo-patternable dielectric formulation.
  • the silsesquioxane polymers in the embodiments of the present invention may be linear polymers, branched polymers, caged polymers or combinations of thereof.
  • the silsesquioxane polymers of embodiments of the present invention are preferably aqueous base soluble.
  • Patternwise exposure of a layer of the formulation directly forms a cross-linked patterned dielectric layer (without the use of photoresist based lithography or etching of the dielectric layer) after development. After curing to further cross-link the patterned dielectric layer a low dielectric constant (k) patterned dielectric layer is produced.
  • a low-k material is defined as a material having a dielectric constant of about 3.0 or less.
  • the silsesquioxane polymers of the present invention may preferably contain silanol endgroups with silyl ethers and silyl alcohols preferred (and may contain monomers having silanol moieties with silyl ethers and silyl alcohols preferred) which cause cross-linking via condensation polymerization in the presence of acid released by the photoacid generator after exposure to light (heat increases the efficiency of the polymerization).
  • Cross-linking enables the formation of chemical bonds, which can withstand standard thermal curing and subsequent curing conditions such as ultraviolet (UV)-thermal treatment.
  • the silsesquioxane polymers of the present invention preferably contain at least one monomer having a vinyl or an acetylenic moiety which further crosslinks the polymer during the curing process.
  • the additional crosslinking provided by reacting the vinyl or acetylenic moiety improves the mechanical properties of the material which is highly desirable for creating robust low-k materials.
  • silsesquioxane polymers of the present invention are particularly useful in forming damascene and dual-damascene wires without the use of a photoresist since they can be patterned directly.
  • a damascene process is one in which a dielectric layer having wire trenches or via openings extending through a dielectric layer is formed, an electrical conductor of sufficient thickness to fill the trenches is deposited in the trenches and on a top surface of the dielectric, and a chemical-mechanical-polish (CMP) process is performed to remove excess conductor and make the surface of the conductor co-planar with the surface of the dielectric layer to form damascene wires (or damascene vias).
  • CMP chemical-mechanical-polish
  • a via-first dual-damascene process is one in which a first dielectric layer having via openings extending through the first dielectric layer are formed followed by formation of a second dielectric layer having trenches extending through the second dielectric layer and intersecting the trenches in the first dielectric layer. All via openings are intersected by integral wire trenches above, but not all trenches need intersect a via opening.
  • An electrical conductor of sufficient thickness to fill the trenches and via openings is deposited on a top surface of the dielectric and a CMP process is performed to make the surface of the conductor in the trench co-planar with the surface the dielectric layer to form dual-damascene wires and dual-damascene wires having integral dual-damascene vias.
  • R 1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties
  • R 2 is a selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties
  • R 3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties
  • R 4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties.
  • R 1 moieties are selected from the group consisting of methyl, ethyl, propyl, isopropyl, cyclohexyl, and norbornyl groups.
  • a preferred R 2 moiety is a vinyl group.
  • a preferred R 3 moiety is an ethyl group.
  • a preferred R 4 moiety is a hydroxyl group.
  • the silsesquioxane polymers of the present invention comprise three or four monomers of the structural formulas (1), (2), (3) (4):
  • R 1 is a carbon containing group for controlling polymer dissolution in aqueous base
  • R 2 is a vinyl or acetylenic moiety for cross-linking during post exposure baking (described infra)
  • R 3 is a C 1 -C 18 hydrocarbon moiety and structural formula (3) is a bridge monomer (allows branched polymers)
  • R 4 is a hydroxyl, alkoxy, silyloxy, or a silanol moiety for cross-linking during curing (described infra), and m, n, o, and p represent the mole percent (mol %) of repeating units.
  • Mol % is mol-fraction times 100. 10 mol % is thus 0.1 mol fraction.
  • silsesquioxane polymers of the embodiments of the present invention have hydroxyl, alkoxy, silyloxy or silanol endgroups.
  • silsesquioxane polymers according to the present invention comprise monomers of structural formulas (1), (2) and (3) with m+n+o equal to or greater than about 40 mol %, with equal to or greater than about 75% preferred, equal to or greater than about 95% more preferred, and equal to or greater than about 99% still more preferred.
  • silsesquioxane polymers according to the present invention comprise monomers of structural formulas (1), (2) and (4) with m+n+p equal to or greater than about 40 mol %, with equal to or greater than about 75% preferred, equal to or greater than about 95% more preferred, and equal to or greater than about 99% still more preferred.
  • polymers according to the present invention comprise monomers of structural formulas (1), (2), (3) and (4) with m+n+o+p equal or greater than about 40 mol %, with equal to or greater than about 75% preferred, equal to or greater than about 95% more preferred, and equal to or greater than about 99% still more preferred.
  • m is between about 30 mol % and about 90 mol %
  • n is between 1 mol % and about 30 mol %
  • o is between about 0 mol % and about 10 mol %
  • p is between about 0 mol % and about 20 mol % of the final polymer composition, where 0 mol % indicates the monomer is not present in the polymer.
  • o has a minimum value of about 0.5 mol %.
  • p has a minimum value of about 0.5 mol %.
  • the silsesquioxane polymers of the present invention consist essentially of three or four monomers of the structural formulas (1), (2), (3) (4):
  • R 1 is a carbon containing group for controlling polymer dissolution in aqueous base
  • R 2 is a vinyl or acetylenic moiety for cross-linking during post exposure baking (described infra)
  • R 3 is a C 1 -C 18 hydrocarbon moiety and structural formula (3) is a bridge monomer (allows branched polymers)
  • R 4 is a hydroxyl, alkoxy, silyloxy, or a silanol moiety for cross-linking during curing (described infra), and m+n+o+p is equal to about 100 mol %.
  • R 1 is a methyl moiety and m is between about 70 mol % and about 80 mol %
  • R 2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %
  • R 3 is an ethyl moiety and o is between about 0.5 mol % and about 6 mol %.
  • R 1 is a methyl moiety and m is between about 70 mol % and about 80 mol %
  • R 2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %
  • R 4 is a hydroxyl moiety and p is between about 2 mol % and about 10 mol %.
  • R 1 is a methyl moiety and m is between about 70 mol % and about 80 mol %
  • R 2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %
  • R 3 is an ethyl moiety and o is between about 0.5 mol % and about 6 mol %
  • R 4 is a hydroxyl moiety and p is between about 2 mol % and about 10 mol %.
  • the silsesquioxane polymers of the embodiments of the present invention have a weight-averaged molecular weight between about 400 Daltons and about 500,000 Daltons. In one example, the silsesquioxane polymers of the embodiments of the present invention have a weight-averaged molecular weight between about 1,500 Daltons and about 20,000 Daltons.
  • Negative tone photo-patternable dielectric formulations according to embodiments of the of the present invention include the silsesquioxane terpolymers and silsesquioxane quadpolymers of combinations of monomers (1), (2), (3) and (4) discussed supra, a photoacid generator (PAG), and a casting solvent. Negative tone photo-patternable dielectric formulations according to embodiments of the present invention may optionally include one or more additives such as organic bases, cross-linking agents and additive polymers.
  • PAGs include, but are not limited to, triphenylsulfonium nonaflate, co(trifluoro-methylsulfonyloxy)-bicyclo[2.2.1]hept-5-ene-2,3-dicarboximide (MDT), N-hydroxy-naphthalimide (DDSN), onium salts, aromatic diazonium salts, sulfonium salts, diaryliodonium salts, and sulfonic acid esters of N-hydroxyamides, imides, or combinations thereof.
  • MDT co(trifluoro-methylsulfonyloxy)-bicyclo[2.2.1]hept-5-ene-2,3-dicarboximide
  • DDSN N-hydroxy-naphthalimide
  • onium salts aromatic diazonium salts
  • sulfonium salts diaryliodonium salts
  • sulfonic acid esters of N-hydroxyamides, imides, or combinations thereof include, but are not limited to,
  • casting solvents include, but are limited to, ethoxyethylpropionate (EEP), a combination of EEP and ⁇ -butyrolactone, propylene-glycol monomethylether acetate (PGMEA) propylene-glycol monomethylether alcohol, propyleneglycol monopropyl alcohol, propyleneglycol monopropyl acetate, ethyl lactate, or combinations thereof.
  • EEP ethoxyethylpropionate
  • PMEA propylene-glycol monomethylether acetate
  • the organic base may be any suitable organic base known in the photoresist art.
  • organic bases include, but are not limited to, tetraalkylammonium hydroxides, cetyltrimethylammonium hydroxide, 1,8-diaminonaphthalene, and combinations thereof.
  • the negative tone photo-patternable dielectric formulations of the embodiments of the present invention are not limited to any specific selection of organic base.
  • cross-linking agents include, but are not limited to, methylphenyltetramethoxymethyl glycouril(methylphenyl POWDERLINK), tetramethoxymethyl glycouril, methylpropyltetramethoxymethyl glycouril, and 2,6-bis(hydroxymethyl)-p-cresol.
  • silsesquioxanes polymer having the structural formula:
  • R 5 is selected from the group consisting of alkyl, cycloalkyl and aryl moieties and s is an integer between about 10 and about 1000.
  • Many polymers of structural formula (5) are commercially available, for example, from Dow Corning, Shin-Etsu, or JSR Corporation.
  • the silsesquioxane polymer additive possesses silanol end groups, but may also include halosilane, acetoxysilane, silylamine, and alkoxysilane endgroups.
  • the additive polymer is a silsesquioxane polymer LKD-2015 (JSR Corporation) that contains silanol end groups.
  • the additive polymer comprises between about 1% by weight to about 99% by weight of all polymers of the negative tone photo-patternable dielectric formulations, with between about 20% by weight and 80% by weight preferred, and between about 30% by weight and 60% by weight more preferred.
  • FIGs. 1A through 1C illustrate steps in a method of forming single or dual-damascene wires using a photo-patternable dielectric material according to embodiments of the present invention.
  • a photo-patternable dielectric layer 105 is formed on a substrate 100 .
  • Photo-patternable dielectric layer 105 is formed by spin coating, spraying or dip coating substrate 100 with a negative tone photo-patternable dielectric formulation according to embodiments of the present invention described supra. If a negative tone photo-patternable dielectric formulation includes an optional casting solvent, after applying the negative tone photo-patternable dielectric formulation a pre-exposure bake at a temperature between about 80° C. and about 120° C. with about 110° C.
  • substrate 100 includes devices such as field effect transistors, bipolar transistors, diodes, resistors, capacitors and inductors as well as contacts and damascene and/or dual-damascene wires (which wires may be formed using embodiments of the present invention or conventional processes).
  • photo-patternable dielectric layer 105 is patternwise exposed to UV light through a mask 110 .
  • Mask 110 comprises a transparent or semi-transparent (to the wavelength of the UV light being used) substrate 115 having an opaque or semi-opaque to the wavelength of the UV light being used) image 120 . More light passes through substrate 115 than through the combination of substrate 115 and image 120 .
  • One image 120 is illustrated, but there are typically hundreds of thousands to millions of such images present on a mask used to form integrated circuits.
  • a pattern of unexposed regions 125 and exposed regions 130 is formed in photo-patternable dielectric layer 105 .
  • the UV light has a wavelength of about 248 nm. In one example, the UV light has a wavelength of about 193 nm.
  • a post exposure bake followed by a develop process followed by a curing process is performed to form a patterned dielectric layer 135 having an opening 140 therein.
  • a top surface 142 of substrate 140 is exposed in the bottom of opening 140 .
  • the post-exposure bake is performed at a temperature between about 35° C. and about 200° C. with a temperature between about 80° C. and about 120°C. preferred.
  • the patternwise UV exposure causes the photoacid generator(s) in photo-patternable dielectric layer 105 (see FIG.
  • Suitable developers include organic or aqueous bases with aqueous basic developers preferred.
  • the developer is an aqueous solution of tetramethylammonium hydroxide.
  • the curing process is a bake at a temperature of about 400° C. or higher.
  • the curing process is a UV exposure using light of a wavelength between about 50 nm and about 300 nm.
  • the curing process includes simultaneous exposure to UV light at a wavelength between about 50 nm and about 300 nm and heating to about 400° C. or higher.
  • the curing process cross-links the polymer through the R 2 group of the structural formula (2) monomers in regions 130 .
  • FIGS. 2A and 2B illustrate steps in a method of forming single damascene wires in a photo-patternable dielectric material according to embodiments of the present invention.
  • FIG. 2A continues from FIG. 1C .
  • a layer 145 of electrically conductive material is formed on the top surface of patterned dielectric layer 135 and the top surface 142 of substrate 100 exposed in opening 140 .
  • Layer 145 completely fills opening 140 .
  • layer 145 comprises one or more layers of metal.
  • layer 145 comprises a conformal layer of tantalum nitride in contact with patterned dielectric layer 135 (including the sidewalls of opening 140 ) and substrate 100 , a conformal layer of tantalum on the tantalum nitride layer, and a copper layer (i.e., core) on the tantalum layer.
  • planarization process e.g., a chemical-mechanical-polish (CMP)
  • CMP chemical-mechanical-polish
  • Wire 150 may electrically contact a device (e.g., a gate electrode of an FET) or another wire of a lower wiring level in substrate 100 .
  • FIGS. 3A through 3C illustrate steps in a method of forming dual-damascene wires in a photo-patternable dielectric material according to embodiments of the present invention.
  • FIG. 3A continues from FIG. 1C .
  • a photo-patternable dielectric layer 155 is formed on a patterned dielectric layer 135 filling opening 140 .
  • Photo-patternable dielectric layer 155 is formed by spin coating, spraying or dip coating substrate 100 with a negative tone photo-patternable dielectric formulation according to embodiments of the present invention described supra. If a negative tone photo-patternable dielectric formulation includes a optional casting solvent, after applying the negative tone photo-patternable dielectric formulation a pre-exposure bake (e.g., at a temperature between about 80° C. and about 120° C. with about 110° C. preferred) is performed to drive out the casting solvent and form photo-patternable dielectric layer 155 .
  • a pre-exposure bake e.g., at a temperature between about 80° C. and about 120° C. with about 110° C. preferred
  • photo-patternable dielectric layer 155 is patternwise exposed to ultraviolet (UV) light through a mask 160 .
  • Mask 160 comprises a transparent or semi-transparent (to the wavelength of the UV light being used) substrate 165 having an opaque or semi-opaque (to the wavelength of the UV light being used) image 160 . More light passes through substrate 165 than through the combination of substrate 165 and image 170 .
  • One image 160 is illustrated, but there are typically hundreds of thousands to millions of such images present on a mask used to form integrated circuits.
  • a pattern of unexposed regions 175 A and exposed regions 175 B is formed in photo-patternable dielectric layer 155 .
  • the UV light has a wavelength of about 248 nm. In one example, the UV light has a wavelength of about 193 nm.
  • a post exposure bake followed by a develop process followed by a curing process is performed to form a patterned dielectric layer 180 having an opening 185 therein.
  • Opening 140 in patterned dielectric layer 135 is exposed in the bottom of opening 185 .
  • Opening 140 has a width W 1 and opening 180 has a width W 2 with W 2 >W 1 .
  • the post-exposure bake is performed at a temperature between about 35° C. and about 200° C., with a temperature between about 80° C. and about 120° C. preferred.
  • the patternwise UV exposure causes the photoacid generator(s) in photo-patternable dielectric layer 155 (see FIG.
  • the curing process is a bake at a temperature of about 400° C. or higher.
  • the curing process is a UV exposure.
  • the curing process includes a combination of exposure to UV light and heating to about 400° C. or higher. In combination, the UV exposure and heating may be performed separately or simultaneously.
  • the curing process cross-links the polymer through the R 2 group of the structural formula (2) monomers in regions 180 .
  • a layer 190 of electrically conductive material is formed on the top surface of patterned dielectric layer 180 , exposed surfaces of patterned dielectric layer 135 , and the top surface 142 of substrate 100 exposed in opening 140 .
  • Layer 190 completely fills openings 140 and 185 .
  • layer 190 comprises one or more layers of metal.
  • layer 190 comprises a conformal layer of tantalum nitride in contact with patterned dielectric layers 135 and 180 (including the sidewalls of openings 140 and 180 and the top surface of patterned dielectric that was exposed in opening 185 in FIG. 3C ) and substrate 100 , a conformal layer of tantalum on the tantalum nitride layer, and a copper layer (i.e., core) on the tantalum layer.
  • a planarization process (e.g., a CMP) is performed so a top surface 187 of patterned dielectric layer 180 is coplanar with a top surface 188 of a dual-damascene wire 195 .
  • Wire 195 may electrically contact another wire of a lower wiring level in substrate 100 .
  • FIG. 4 is a flowchart describing a method of forming single-and dual damascene wires in dielectric material formed using a negative tone photo-patternable dielectric formulation according to embodiments of the present invention.
  • a negative tone photo-patternable dielectric formulation according to embodiments of the present invention is applied to form a photo-patternable dielectric layer on a substrate (e.g., an integrated circuit undergoing fabrication) as illustrated in FIG. 1A and described supra.
  • the photo-patternable dielectric layer is patternwise exposed as illustrated in FIG. 1B and described supra.
  • step 210 a post exposure bake is performed, in step 215 the exposed photo-patternable dielectric layer is developed, and in step 220 , the developed photo-patternable dielectric layer is cured to form a patterned dielectric layer as illustrated in FIG. 1C and described supra.
  • step 225 it is decided if the wire to be formed is to be a single-damascene wire or a dual-damascene wire. If a single-damascene wire is to be formed the method proceeds to step 230 .
  • step 230 an electrically conductive layer as illustrated in FIG. 2A and described supra is formed and in step 235 a planarization process as illustrated in FIG. 2B and described supra is performed to complete fabrication of a single-damascene wire.
  • step 240 a negative tone photo-patternable dielectric formulation according to embodiments of the present invention is applied to form a photo-patternable dielectric layer on a substrate (e.g., an integrated circuit undergoing fabrication) as illustrated in FIG. 3A and described supra.
  • step 245 the photo-patternable dielectric layer is patternwise exposed as illustrated in FIG. 3B and described supra.
  • step 250 a post exposure bake is performed, in step 255 the exposed photo-patternable dielectric layer is developed, and in step 260 , the developed photo-patternable dielectric layer is cured to form a patterned dielectric layer as illustrated in FIG. 3C and described supra.
  • step 265 an electrically conductive layer as illustrated in FIG. 3D and described supra is formed and in step 270 a planarization process as illustrated in FIG. 3E and described supra is performed to complete fabrication of a dual-damascene wire.
  • FIG. 5 is a set of transmittance vs. wavenumber infrared spectra for samples generated from a negative tone photo-patternable dielectric formulation according to embodiments of the present invention at different processing steps.
  • the upper spectrum (furthest from the wavenumber scale) is of a negative tone photo-patternable dielectric formulation as spun applied.
  • the middle spectrum is after a thermal cure only.
  • the lower spectrum is after a combination UV and thermal cure.
  • the peaks at about 1500 cm ⁇ 1 the pair of peaks around 3000 cm ⁇ 1 show the C ⁇ C and ⁇ C—H bands respectively of the R 2 group of the structural formula (2) monomer.
  • the 1500 cm ⁇ 1 peak and the first peak of the pair at 3000 cm ⁇ 1 diminish as cross-linking increases.
  • FIGS. 6 and 7 are scanning electron microscope photographs of dielectric structures formed using a negative tone photo-patternable dielectric formulation according to embodiments of the present invention.
  • a negative tone photo-patternable dielectric formulation was prepared by mixing a 20 wt % solution of poly(methylsilsesquioxane-co-vinylsilsesquioxane-co-tetraethoxysilane) and 2 wt % of triphenylsulfonium nonaflate in PGMEA, and 0.4 wt % of an organic base. The resulting low-k formulation was filtered through a 0.2 ⁇ m filter. The low-k composition was spin coated onto an 8 inch silicon wafer and pre-exposure baked at 110° C.
  • FIG. 6 shows the structural formula of trenches generated with an 8 mJ exposure
  • FIG. 7 shows the structural formula of trenches generated with an 11 mJ exposure.
  • DSC Differential scanning calorimetry
  • TMAH aqueous tetramethylammonium hydroxide
  • the monomer of structural formula (1) is generated with R 1 being —CH 3 and the monomer may be called methylsilsdesquioxane.
  • the monomer of structural formula (2) is generated with R 2 being —CH ⁇ CH 2 and the monomer may be called vinylsilsesquioxane.
  • the monomer of structural formula (3) is generated with R 3 being —CH 2 —CH 2 — and the monomer may be called bis-silsequioxylethane.
  • the monomer of structural formula (4) is generated with R 4 being O—CH 2 —CH 3 and the monomer may be called tetraethoxysilane.
  • a 250 milliliter (ml) three neck round-bottom flask equipped with a thermocouple thermometer, magnetic stirrer, condenser with nitrogen inlet, and a temperature controlled heating mantle was charged with a mixture of triethoxymethylsilane (42.12 grams, 0.24 moles), triethoxyvinylsilane (6.25 g, 0.03 mole), tetraethoxysilane (5.71 grams, 0.03 moles), 54.7 grams of methyl isobutyl ketone and 21 ml of a 1.75% solution of oxalic acid in water. The mixture was heated with stirring under nitrogen.
  • the reaction mixture was initially inhomogeneous, but after 10 minutes at reflux became homogeneous with a reflux temperature of 80° C. The reflux was continued for a total of 7 hours.
  • the mixture was cooled to room temperature and diluted with 50 ml of ethyl acetate. This mixture was extracted with six 50 ml portions of deionized water (final water extract was neutral to pH paper).
  • the organic layer was evaporated to yield 22.50 grams of a hard foam after evacuation at high vacuum and room temperature for 24 hours.
  • Inverse gated 13 C NMR in acetone in the presence of chromium acetylacetonate gave a ratio of vinyl carbons to methyl carbons of 2:8.
  • a 250 ml three neck round-bottom flask equipped with a thermocouple thermometer, magnetic stirrer, condenser with nitrogen inlet, and a temperature controlled heating mantle was charged with a mixture of triethoxymethylsilane (49.92 grams, 0.28 moles), triethoxyvinylsilane (3.81 g, 0.02 mole), Tetraethoxysilane (8.33 grams, 0.04 moles), bis-trimethoxysilyl ethane (5.41 grams, 0.02 mole), 67.5 grams of methyl isobutyl ketone and 25.2 ml of a 1.75% solution of oxalic acid in water. The mixture was heated with stirring under nitrogen.
  • the reaction mixture was initially inhomogeneous, but after 10 minutes at reflux became homogeneous with a reflux temperature of 79.3° C. The reflux was continued for a total of 7 hours.
  • the mixture was cooled to room temperature and diluted with 150 ml of ethyl acetate. This mixture was extracted with two 150 ml portions of deionized water and filtered through a medium glass frit to remove traces of in-solubles. The filtered solution was washed with four additional 150 ml portions of deionized water (final water extract was neutral to pH paper).
  • the organic layer was evaporated to yield 27.2 grams of a hard foam after evacuation at high vacuum and room temperature for 24 hours.
  • the molar ratio of the monomers (1), (2), (3) and (4) was 14:1:1:2.
  • a 250 ml three neck round-bottom flask equipped with a thermocouple thermometer, magnetic stirrer, condenser with nitrogen inlet, and a temperature controlled heating mantle was charged with a mixture of triethoxymethylsilane (46.36 grams, 0.26 moles), triethoxyvinylsilane (7.61 g, 0.04 mole), Tetraethoxysilane (8.33 grams, 0.04 moles), bis-trimethoxysilyl ethane (5.41 grams, 0.02 mole), 67.5 grams of methyl isobutyl ketone and 25.2 ml of a 1.75% solution of oxalic acid in water. The mixture was heated with stirring under nitrogen.
  • the reaction mixture was initially inhomogeneous, but after 10 minutes at reflux became homogeneous with a reflux temperature of 79.4° C. The reflux was continued for a total of 7 hours.
  • the mixture was cooled to room temperature and diluted with 150 ml of ethyl acetate. This mixture was extracted with six 150 ml portions of deionized water (final water extract was neutral to pH paper).
  • the organic layer was evaporated to yield 30.07 grams of a hard foam after evacuation at high vacuum and room temperature for 24 hours.
  • the molar ratio of the monomers (1), (2), (3) and (4) was 13:2:2:1.
  • Methyltriethoxysilane (53.49 grams, 0.3 mole), vinyltriethoxysilane (20.76 grams, 0.109 mole), bis(triethoxysilane)ethane (7.38 grams, 0.026 mole), and tetra orthosilicate (11.36 grams, 0.055 mole) were mixed together with 4-methyl-2-pentanone (77.78 ml) in a three-necked 500 milliliter round-bottom flask. 1.75 wt % oxalic acid solution (25.2 grams) was added to the above solution at 60° C. resulting in an exothermic reaction. The temperature of the reaction mixture was brought down to 70° C., and thereafter the reaction mixture was stirred at 78.8° C.
  • the Young's modulus for the cured materials was determined by nanoindentation. Films of a control poly(metylsilsesquoxane-co-bis-silsesquioxylethane) and two poly(methylsilsesquioxane-co-vinylsilsesquioxane-co-bis-silsesquioxylethane-co-tetraethoxysilane) were spin applied onto a silicon wafers and then post-applied baked at 110° C. for 1 min, exposed to 248 nm light, post-exposure baked at 110° C. for 1 min, and then UV-thermally cured at 400° C.
  • the mole proportions of monomers of the poly(metylsilsesquoxane-co-bis-silsesquioxylethane) was 15:1 and the measured modulus was 5.4 GPa.
  • the mole proportions of monomers of the first poly was 13.5:1.5:1 and the measured modulus was 9.9 GPa.
  • the mole ratios of monomers of the second poly was 13:2:1 and the measured modulus was 10.89 GPa.
  • a patternable low-k composition was formulated with 20 wt % solution of Methylsilsesquioxane-co-Vinylsilsesquioxane-co-TEOS and 2 wt % of triphenylsulfonium nonaflate in PGMEA, and 0.4 parts of an organic base.
  • the resulting low-k formulation was filtered through a 0.2 ⁇ m filter.
  • the low-k composition was spin coated onto an 8 inch silicon wafer and pre-exposure baked at 110° C. for 60 seconds, patternwise exposed to 248 nm DUV light on an ASML (0.63 NA, 5 ⁇ 8 annular) DUV stepper, and post exposure baked at 110° C. for 60 seconds. This was followed by a 30 seconds puddle development step with 0.26 N TMAH developer to resolve 0.190 ⁇ m line and space features.
  • the embodiments of the present invention provides patternable dielectric materials, photo-sensitive formulations containing patternable dielectric materials, methods of using photo-sensitive formulations containing patternable dielectric materials in the fabrication of integrated circuits, and integrated circuit structures comprising patternable dielectric materials.
  • the methods according to embodiments of the present invention use less materials and require less steps than conventional methods.

Abstract

Silsesquioxane polymers, silsesquioxane polymers in negative tone photo-patternable dielectric formulations, methods of forming structures using negative tone photo-patternable dielectric formulations containing silsesquioxane polymers, and structures made from silsesquioxane polymers.

Description

    RELATED APPLICATIONS
  • This Application is a division of U.S. patent application Ser. No. 13/861,452 filed Apr. 12, 2013 which is a division of U.S. patent application Ser. No. 12/550,683 filed on Aug. 31, 2009, now U.S. Pat. No. 8,431,670, issued Apr. 30, 2013.
  • FIELD OF THE INVENTION
  • The present invention relates to the field of photo-patternable dielectric materials; more specifically, it relates to patternable dielectric materials, photo-sensitive formulations containing patternable dielectric materials, methods of using photo-sensitive formulations containing patternable dielectric materials in the fabrication of integrated circuits, and integrated circuit structures comprising patternable dielectric materials.
  • BACKGROUND OF THE INVENTION
  • Integrated circuits include, for example, active devices such as field effect transistors partially formed in a semiconductor substrate and interconnected by wiring levels comprising wires formed in interlevel dielectric layers formed on the substrate. Conventional wiring levels are formed by depositing an interlevel dielectric layer, patterning a photoresist layer formed on the dielectric layer, etching trenches in the dielectric layer, removing the photoresist and filling the trenches with metal. This is an expensive and time-consuming process. Accordingly, there exists a need in the art to mitigate the deficiencies and limitations described hereinabove.
  • SUMMARY OF THE INVENTION
  • A first aspect of the present invention is a composition of matter comprising: a silsesquioxane polymer comprising three or four monomers of the structural formulas (1), (2), (3), (4):
  • Figure US20150189743A1-20150702-C00001
  • wherein two of the three or four monomers are structures (1) and (2); wherein R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties; wherein R2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties; wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties; wherein R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties; and wherein m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or p is zero.
  • A second aspect of the present invention is a photoactive formulation, comprising: a photoacid generator; a casting solvent; and a silsesquioxane polymer comprising three or four monomers of the structural formulas (1), (2), (3), (4):
  • Figure US20150189743A1-20150702-C00002
  • wherein two of the three or four monomers are structures (1) and (2); wherein R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties; wherein R2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties; wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties; wherein R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties; and wherein m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or p is zero.
  • A third aspect of the present invention is a method, comprising: (a) forming on a substrate, a layer of a photoactive formulation comprising: a photoacid generator; a casting solvent; and a silsesquioxane polymer; (b) patternwise exposing the layer with ultraviolet light to generate an exposed layer; (c) baking the exposed layer to cross-link the silsesquioxane polymer in regions of the exposed layer exposed to the ultraviolet light to generate a baked layer; (d) developing the baked layer to remove portions of the baked layer not exposed to the ultraviolet light to form a first trench in a developed layer; (e) curing the developed layer to further cross-link the silsesquioxane polymer and form a patterned cured layer including the first trench; and (f) filling the first trench in the patterned cured layer with an electrically conductive material.
  • A fourth aspect of the present invention is a structure, comprising: a cross-linked layer of a silsesquioxane polymer or a silsesquioxane polymer on a substrate; a trench in the cross-linked layer; an electrically conductive material filling the trench and contacting the substrate in a bottom of the trench; and wherein the silsesquioxane polymer comprises three or four monomers of the structural formulas (1), (2), (3), (4):
  • Figure US20150189743A1-20150702-C00003
  • wherein two of the three or four monomers are structures (1) and (2); wherein R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties; wherein R2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties; wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties; wherein R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties; and wherein m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or p is zero.
  • These and other aspects of the invention are described below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The features of the invention are set forth in the appended claims. The invention itself, however, will be best understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein:
  • FIGS. 1A through 1C illustrate steps in a method of forming single or dual-damascene wires using a photo-patternable dielectric material according to embodiments of the present invention;
  • FIGS. 2A and 2B illustrate steps in a method of forming single damascene wires in a photo-patternable dielectric material according to embodiments of the present invention;
  • FIGS. 3A through 3E illustrate steps in a method of forming dual-damascene wires in a photo-patternable dielectric material according to embodiments of the present invention;
  • FIG. 4 is a flowchart describing a method of forming single-and dual damascene wires in dielectric material formed using a negative tone photo-patternable dielectric formulation according to embodiments of the present invention;
  • FIG. 5 is a set of transmittance vs. wavenumber infrared spectra for samples generated from a negative tone photo-patternable dielectric formulation according to embodiments of the present invention at different processing steps; and
  • FIGS. 6 and 7 are scanning electron microscope photographs of dielectric structures formed using a negative tone photo-patternable dielectric formulation according to embodiments of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention describes silsesquioxane polymers, which in a first example are terpolymers and in a second example are silsesquioxane quadpolymers that may be mixed with one or more photoacid generators, an optional casting solvent and one or more optional additives to form a negative tone photo-patternable dielectric formulation. The silsesquioxane polymers in the embodiments of the present invention may be linear polymers, branched polymers, caged polymers or combinations of thereof. The silsesquioxane polymers of embodiments of the present invention are preferably aqueous base soluble. Patternwise exposure of a layer of the formulation directly forms a cross-linked patterned dielectric layer (without the use of photoresist based lithography or etching of the dielectric layer) after development. After curing to further cross-link the patterned dielectric layer a low dielectric constant (k) patterned dielectric layer is produced. A low-k material is defined as a material having a dielectric constant of about 3.0 or less. The silsesquioxane polymers of the present invention may preferably contain silanol endgroups with silyl ethers and silyl alcohols preferred (and may contain monomers having silanol moieties with silyl ethers and silyl alcohols preferred) which cause cross-linking via condensation polymerization in the presence of acid released by the photoacid generator after exposure to light (heat increases the efficiency of the polymerization). Cross-linking enables the formation of chemical bonds, which can withstand standard thermal curing and subsequent curing conditions such as ultraviolet (UV)-thermal treatment. The silsesquioxane polymers of the present invention preferably contain at least one monomer having a vinyl or an acetylenic moiety which further crosslinks the polymer during the curing process. The additional crosslinking provided by reacting the vinyl or acetylenic moiety improves the mechanical properties of the material which is highly desirable for creating robust low-k materials.
  • The silsesquioxane polymers of the present invention are particularly useful in forming damascene and dual-damascene wires without the use of a photoresist since they can be patterned directly.
  • A damascene process is one in which a dielectric layer having wire trenches or via openings extending through a dielectric layer is formed, an electrical conductor of sufficient thickness to fill the trenches is deposited in the trenches and on a top surface of the dielectric, and a chemical-mechanical-polish (CMP) process is performed to remove excess conductor and make the surface of the conductor co-planar with the surface of the dielectric layer to form damascene wires (or damascene vias). When only a trench and a wire (or a via opening and a via) are formed the process is called single-damascene.
  • A via-first dual-damascene process (according to embodiments of the present invention) is one in which a first dielectric layer having via openings extending through the first dielectric layer are formed followed by formation of a second dielectric layer having trenches extending through the second dielectric layer and intersecting the trenches in the first dielectric layer. All via openings are intersected by integral wire trenches above, but not all trenches need intersect a via opening. An electrical conductor of sufficient thickness to fill the trenches and via openings is deposited on a top surface of the dielectric and a CMP process is performed to make the surface of the conductor in the trench co-planar with the surface the dielectric layer to form dual-damascene wires and dual-damascene wires having integral dual-damascene vias.
  • In silsesquioxane polymers according to embodiments of the present invention, R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties; R2 is a selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties; R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties; and R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties. Preferred R1 moieties are selected from the group consisting of methyl, ethyl, propyl, isopropyl, cyclohexyl, and norbornyl groups. A preferred R2 moiety is a vinyl group. A preferred R3 moiety is an ethyl group. A preferred R4 moiety is a hydroxyl group.
  • In one example, the silsesquioxane polymers of the present invention comprise three or four monomers of the structural formulas (1), (2), (3) (4):
  • Figure US20150189743A1-20150702-C00004
  • wherein R1 is a carbon containing group for controlling polymer dissolution in aqueous base; R2 is a vinyl or acetylenic moiety for cross-linking during post exposure baking (described infra); R3 is a C1-C18 hydrocarbon moiety and structural formula (3) is a bridge monomer (allows branched polymers); and R4 is a hydroxyl, alkoxy, silyloxy, or a silanol moiety for cross-linking during curing (described infra), and m, n, o, and p represent the mole percent (mol %) of repeating units. Mol % is mol-fraction times 100. 10 mol % is thus 0.1 mol fraction. 10 mol % indicates that there is 1 mole of monomer in each 10 moles of polymer. The silsesquioxane polymers of the embodiments of the present invention have hydroxyl, alkoxy, silyloxy or silanol endgroups.
  • In one example, silsesquioxane polymers according to the present invention comprise monomers of structural formulas (1), (2) and (3) with m+n+o equal to or greater than about 40 mol %, with equal to or greater than about 75% preferred, equal to or greater than about 95% more preferred, and equal to or greater than about 99% still more preferred. In one example, silsesquioxane polymers according to the present invention comprise monomers of structural formulas (1), (2) and (4) with m+n+p equal to or greater than about 40 mol %, with equal to or greater than about 75% preferred, equal to or greater than about 95% more preferred, and equal to or greater than about 99% still more preferred. In one example polymers according to the present invention comprise monomers of structural formulas (1), (2), (3) and (4) with m+n+o+p equal or greater than about 40 mol %, with equal to or greater than about 75% preferred, equal to or greater than about 95% more preferred, and equal to or greater than about 99% still more preferred.
  • In one example, for silsesquioxane polymers, m is between about 30 mol % and about 90 mol %, n is between 1 mol % and about 30 mol %, o is between about 0 mol % and about 10 mol % and p is between about 0 mol % and about 20 mol % of the final polymer composition, where 0 mol % indicates the monomer is not present in the polymer. When a monomer of structure (3) is present in the polymer, o has a minimum value of about 0.5 mol %. When a monomer of structural formula (4) is present in the polymer, p has a minimum value of about 0.5 mol %.
  • In one example, the silsesquioxane polymers of the present invention consist essentially of three or four monomers of the structural formulas (1), (2), (3) (4):
  • Figure US20150189743A1-20150702-C00005
  • wherein R1 is a carbon containing group for controlling polymer dissolution in aqueous base; R2 is a vinyl or acetylenic moiety for cross-linking during post exposure baking (described infra); R3 is a C1-C18 hydrocarbon moiety and structural formula (3) is a bridge monomer (allows branched polymers); and R4 is a hydroxyl, alkoxy, silyloxy, or a silanol moiety for cross-linking during curing (described infra), and m+n+o+p is equal to about 100 mol %.
  • In a preferred first silsesquioxane terpolymer, consisting essentially of monomers of structural formulas (1), (2) and (3) of the invention, R1 is a methyl moiety and m is between about 70 mol % and about 80 mol %, R2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %, and R3 is an ethyl moiety and o is between about 0.5 mol % and about 6 mol %.
  • In a preferred second silsesquioxane terpolymer, consisting essentially of monomers of structural formulas (1), (2) and (4) of the invention, R1 is a methyl moiety and m is between about 70 mol % and about 80 mol %, R2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %, and R4 is a hydroxyl moiety and p is between about 2 mol % and about 10 mol %.
  • In a preferred silsesquioxane quadpolymer, consisting essentially of monomers of structural formulas (1), (2), (3) and (4), R1 is a methyl moiety and m is between about 70 mol % and about 80 mol %, R2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %, R3 is an ethyl moiety and o is between about 0.5 mol % and about 6 mol %, and R4 is a hydroxyl moiety and p is between about 2 mol % and about 10 mol %.
  • In one example, the silsesquioxane polymers of the embodiments of the present invention have a weight-averaged molecular weight between about 400 Daltons and about 500,000 Daltons. In one example, the silsesquioxane polymers of the embodiments of the present invention have a weight-averaged molecular weight between about 1,500 Daltons and about 20,000 Daltons.
  • Negative tone photo-patternable dielectric formulations according to embodiments of the of the present invention include the silsesquioxane terpolymers and silsesquioxane quadpolymers of combinations of monomers (1), (2), (3) and (4) discussed supra, a photoacid generator (PAG), and a casting solvent. Negative tone photo-patternable dielectric formulations according to embodiments of the present invention may optionally include one or more additives such as organic bases, cross-linking agents and additive polymers.
  • Examples of PAGs include, but are not limited to, triphenylsulfonium nonaflate, co(trifluoro-methylsulfonyloxy)-bicyclo[2.2.1]hept-5-ene-2,3-dicarboximide (MDT), N-hydroxy-naphthalimide (DDSN), onium salts, aromatic diazonium salts, sulfonium salts, diaryliodonium salts, and sulfonic acid esters of N-hydroxyamides, imides, or combinations thereof.
  • Examples of casting solvents include, but are limited to, ethoxyethylpropionate (EEP), a combination of EEP and γ-butyrolactone, propylene-glycol monomethylether acetate (PGMEA) propylene-glycol monomethylether alcohol, propyleneglycol monopropyl alcohol, propyleneglycol monopropyl acetate, ethyl lactate, or combinations thereof.
  • The organic base may be any suitable organic base known in the photoresist art. Examples of organic bases include, but are not limited to, tetraalkylammonium hydroxides, cetyltrimethylammonium hydroxide, 1,8-diaminonaphthalene, and combinations thereof. The negative tone photo-patternable dielectric formulations of the embodiments of the present invention are not limited to any specific selection of organic base.
  • Examples of cross-linking agents include, but are not limited to, methylphenyltetramethoxymethyl glycouril(methylphenyl POWDERLINK), tetramethoxymethyl glycouril, methylpropyltetramethoxymethyl glycouril, and 2,6-bis(hydroxymethyl)-p-cresol.
  • An example of a polymer additive is the silsesquioxanes polymer having the structural formula:
  • Figure US20150189743A1-20150702-C00006
  • wherein R5 is selected from the group consisting of alkyl, cycloalkyl and aryl moieties and s is an integer between about 10 and about 1000. Many polymers of structural formula (5) are commercially available, for example, from Dow Corning, Shin-Etsu, or JSR Corporation.
  • In one example, the silsesquioxane polymer additive possesses silanol end groups, but may also include halosilane, acetoxysilane, silylamine, and alkoxysilane endgroups. In a preferred embodiment of the present invention the additive polymer is a silsesquioxane polymer LKD-2015 (JSR Corporation) that contains silanol end groups.
  • The additive polymer comprises between about 1% by weight to about 99% by weight of all polymers of the negative tone photo-patternable dielectric formulations, with between about 20% by weight and 80% by weight preferred, and between about 30% by weight and 60% by weight more preferred.
  • FIGs. 1A through 1C illustrate steps in a method of forming single or dual-damascene wires using a photo-patternable dielectric material according to embodiments of the present invention. In FIG. 1A, a photo-patternable dielectric layer 105 is formed on a substrate 100. Photo-patternable dielectric layer 105 is formed by spin coating, spraying or dip coating substrate 100 with a negative tone photo-patternable dielectric formulation according to embodiments of the present invention described supra. If a negative tone photo-patternable dielectric formulation includes an optional casting solvent, after applying the negative tone photo-patternable dielectric formulation a pre-exposure bake at a temperature between about 80° C. and about 120° C. with about 110° C. preferred is performed to drive out the casting solvent and form photo-patternable dielectric layer 105. In one example, substrate 100 includes devices such as field effect transistors, bipolar transistors, diodes, resistors, capacitors and inductors as well as contacts and damascene and/or dual-damascene wires (which wires may be formed using embodiments of the present invention or conventional processes).
  • In FIG. 1B, photo-patternable dielectric layer 105 is patternwise exposed to UV light through a mask 110. Mask 110 comprises a transparent or semi-transparent (to the wavelength of the UV light being used) substrate 115 having an opaque or semi-opaque to the wavelength of the UV light being used) image 120. More light passes through substrate 115 than through the combination of substrate 115 and image 120. One image 120 is illustrated, but there are typically hundreds of thousands to millions of such images present on a mask used to form integrated circuits. Upon exposure to the UV light, a pattern of unexposed regions 125 and exposed regions 130 is formed in photo-patternable dielectric layer 105. In one example, the UV light has a wavelength of about 248 nm. In one example, the UV light has a wavelength of about 193 nm.
  • In FIG. 1C, a post exposure bake followed by a develop process followed by a curing process is performed to form a patterned dielectric layer 135 having an opening 140 therein. A top surface 142 of substrate 140 is exposed in the bottom of opening 140. In one example, the post-exposure bake is performed at a temperature between about 35° C. and about 200° C. with a temperature between about 80° C. and about 120°C. preferred. The patternwise UV exposure causes the photoacid generator(s) in photo-patternable dielectric layer 105 (see FIG. 1B) to generate acid which cross-links the polymer through the hydroxyl, alkoxy, silyloxy or silanol endgroups and, if present, the R4 group of the structural formula (4) monomers in regions 130 (see FIG. 1B) making the polymer insoluble in basic developer. The post exposure bake enhances this cross-linking process. Suitable developers include organic or aqueous bases with aqueous basic developers preferred. In one example the developer is an aqueous solution of tetramethylammonium hydroxide. In one example, the curing process is a bake at a temperature of about 400° C. or higher. In one example, the curing process is a UV exposure using light of a wavelength between about 50 nm and about 300 nm. In one example, the curing process includes simultaneous exposure to UV light at a wavelength between about 50 nm and about 300 nm and heating to about 400° C. or higher. The curing process cross-links the polymer through the R2 group of the structural formula (2) monomers in regions 130.
  • FIGS. 2A and 2B illustrate steps in a method of forming single damascene wires in a photo-patternable dielectric material according to embodiments of the present invention. FIG. 2A continues from FIG. 1C.
  • In FIG. 2A, a layer 145 of electrically conductive material is formed on the top surface of patterned dielectric layer 135 and the top surface 142 of substrate 100 exposed in opening 140. Layer 145 completely fills opening 140. In one example, layer 145 comprises one or more layers of metal. In one example, layer 145 comprises a conformal layer of tantalum nitride in contact with patterned dielectric layer 135 (including the sidewalls of opening 140) and substrate 100, a conformal layer of tantalum on the tantalum nitride layer, and a copper layer (i.e., core) on the tantalum layer.
  • In FIG. 2B, planarization process (e.g., a chemical-mechanical-polish (CMP)) is performed so a top surface 147 of patterned dielectric layer 135 is coplanar with a top surface 148 of single-damascene wire (or contact) 150. Wire 150 may electrically contact a device (e.g., a gate electrode of an FET) or another wire of a lower wiring level in substrate 100.
  • FIGS. 3A through 3C illustrate steps in a method of forming dual-damascene wires in a photo-patternable dielectric material according to embodiments of the present invention. FIG. 3A continues from FIG. 1C.
  • In FIG. 3A, a photo-patternable dielectric layer 155 is formed on a patterned dielectric layer 135 filling opening 140. Photo-patternable dielectric layer 155 is formed by spin coating, spraying or dip coating substrate 100 with a negative tone photo-patternable dielectric formulation according to embodiments of the present invention described supra. If a negative tone photo-patternable dielectric formulation includes a optional casting solvent, after applying the negative tone photo-patternable dielectric formulation a pre-exposure bake (e.g., at a temperature between about 80° C. and about 120° C. with about 110° C. preferred) is performed to drive out the casting solvent and form photo-patternable dielectric layer 155.
  • In FIG. 3B, photo-patternable dielectric layer 155 is patternwise exposed to ultraviolet (UV) light through a mask 160. Mask 160 comprises a transparent or semi-transparent (to the wavelength of the UV light being used) substrate 165 having an opaque or semi-opaque (to the wavelength of the UV light being used) image 160. More light passes through substrate 165 than through the combination of substrate 165 and image 170. One image 160 is illustrated, but there are typically hundreds of thousands to millions of such images present on a mask used to form integrated circuits. Upon exposure to the UV light, a pattern of unexposed regions 175A and exposed regions 175B is formed in photo-patternable dielectric layer 155. In one example, the UV light has a wavelength of about 248 nm. In one example, the UV light has a wavelength of about 193 nm.
  • In FIG. 3C, a post exposure bake followed by a develop process followed by a curing process is performed to form a patterned dielectric layer 180 having an opening 185 therein. Opening 140 in patterned dielectric layer 135 is exposed in the bottom of opening 185. Opening 140 has a width W1 and opening 180 has a width W2 with W2>W1. In one example, the post-exposure bake is performed at a temperature between about 35° C. and about 200° C., with a temperature between about 80° C. and about 120° C. preferred. The patternwise UV exposure causes the photoacid generator(s) in photo-patternable dielectric layer 155 (see FIG. 3B) to generate acid which cross-links the polymer through the hydroxyl, alkoxy, silyloxy or silanol endgroups and, if present, the R4 group of structural formula (4) monomers in regions 180 (see FIG. 3B) making the polymer insoluble in basic developer. The post exposure bake enhances this cross-linking process. In one example, the curing process is a bake at a temperature of about 400° C. or higher. In one example, the curing process is a UV exposure. In one example, the curing process includes a combination of exposure to UV light and heating to about 400° C. or higher. In combination, the UV exposure and heating may be performed separately or simultaneously. The curing process cross-links the polymer through the R2 group of the structural formula (2) monomers in regions 180.
  • In FIG. 3D, a layer 190 of electrically conductive material is formed on the top surface of patterned dielectric layer 180, exposed surfaces of patterned dielectric layer 135, and the top surface 142 of substrate 100 exposed in opening 140. Layer 190 completely fills openings 140 and 185. In one example, layer 190 comprises one or more layers of metal. In one example, layer 190 comprises a conformal layer of tantalum nitride in contact with patterned dielectric layers 135 and 180 (including the sidewalls of openings 140 and 180 and the top surface of patterned dielectric that was exposed in opening 185 in FIG. 3C) and substrate 100, a conformal layer of tantalum on the tantalum nitride layer, and a copper layer (i.e., core) on the tantalum layer.
  • In FIG. 3E, a planarization process (e.g., a CMP) is performed so a top surface 187 of patterned dielectric layer 180 is coplanar with a top surface 188 of a dual-damascene wire 195. Wire 195 may electrically contact another wire of a lower wiring level in substrate 100.
  • FIG. 4 is a flowchart describing a method of forming single-and dual damascene wires in dielectric material formed using a negative tone photo-patternable dielectric formulation according to embodiments of the present invention. In step 200, a negative tone photo-patternable dielectric formulation according to embodiments of the present invention is applied to form a photo-patternable dielectric layer on a substrate (e.g., an integrated circuit undergoing fabrication) as illustrated in FIG. 1A and described supra. In step 205, the photo-patternable dielectric layer is patternwise exposed as illustrated in FIG. 1B and described supra. In step 210, a post exposure bake is performed, in step 215 the exposed photo-patternable dielectric layer is developed, and in step 220, the developed photo-patternable dielectric layer is cured to form a patterned dielectric layer as illustrated in FIG. 1C and described supra.
  • In step 225, it is decided if the wire to be formed is to be a single-damascene wire or a dual-damascene wire. If a single-damascene wire is to be formed the method proceeds to step 230.
  • In step 230, an electrically conductive layer as illustrated in FIG. 2A and described supra is formed and in step 235 a planarization process as illustrated in FIG. 2B and described supra is performed to complete fabrication of a single-damascene wire.
  • Returning to step 225, if a dual-damascene wire is to be formed the method proceeds to step 240. In step 240, a negative tone photo-patternable dielectric formulation according to embodiments of the present invention is applied to form a photo-patternable dielectric layer on a substrate (e.g., an integrated circuit undergoing fabrication) as illustrated in FIG. 3A and described supra. In step 245, the photo-patternable dielectric layer is patternwise exposed as illustrated in FIG. 3B and described supra. In step 250, a post exposure bake is performed, in step 255 the exposed photo-patternable dielectric layer is developed, and in step 260, the developed photo-patternable dielectric layer is cured to form a patterned dielectric layer as illustrated in FIG. 3C and described supra. In step 265, an electrically conductive layer as illustrated in FIG. 3D and described supra is formed and in step 270 a planarization process as illustrated in FIG. 3E and described supra is performed to complete fabrication of a dual-damascene wire.
  • FIG. 5 is a set of transmittance vs. wavenumber infrared spectra for samples generated from a negative tone photo-patternable dielectric formulation according to embodiments of the present invention at different processing steps. In FIG. 5, the upper spectrum (furthest from the wavenumber scale) is of a negative tone photo-patternable dielectric formulation as spun applied. The middle spectrum is after a thermal cure only. The lower spectrum is after a combination UV and thermal cure. The peaks at about 1500 cm−1 the pair of peaks around 3000 cm−1 show the C═C and ═C—H bands respectively of the R2 group of the structural formula (2) monomer. The 1500 cm−1 peak and the first peak of the pair at 3000 cm−1 diminish as cross-linking increases.
  • FIGS. 6 and 7 are scanning electron microscope photographs of dielectric structures formed using a negative tone photo-patternable dielectric formulation according to embodiments of the present invention. A negative tone photo-patternable dielectric formulation was prepared by mixing a 20 wt % solution of poly(methylsilsesquioxane-co-vinylsilsesquioxane-co-tetraethoxysilane) and 2 wt % of triphenylsulfonium nonaflate in PGMEA, and 0.4 wt % of an organic base. The resulting low-k formulation was filtered through a 0.2 μm filter. The low-k composition was spin coated onto an 8 inch silicon wafer and pre-exposure baked at 110° C. for 60 s, patternwise exposed to 248 nm DUV light on an ASML (0.63 NA, ⅝ annular) DUV stepper, and post exposure baked at 110° C. for 60 seconds This was followed by a 30 second puddle development step with aqueous 0.26 N tetramethyl ammonium hydroxide (TMAH) developer to resolve 0.190 μm mask line and space features. FIG. 6 shows the structural formula of trenches generated with an 8 mJ exposure and FIG. 7 shows the structural formula of trenches generated with an 11 mJ exposure.
  • EXAMPLES
  • The following examples provide further description of the present invention. The invention is not limited to the details of the examples. Where appropriate, the following techniques and equipment were utilized in the Examples: 1H and 13C NMR spectra were obtained at room temperature on an Avance 400 spectrometer. Quantitative 13C NMR was run at room temperature in acetone-d6 in an inverse-gated 1H-decoupled mode using Cr(acac)3 as a relaxation agent on an Avance 400 spectrometer. Thermo-gravimetric analysis (TGA) was performed at a heating rate of 5° C./min in N2 on a TA Instrument Hi-Res TGA 2950 Thermogravimetric Analyzer. Differential scanning calorimetry (DSC) was performed at a heating rate of 10° C./min on a TA Instruments DSC 2920 modulated differential scanning calorimeter. Molecular weights were measured in tetrahydrofuran (THF) on a Waters Model 150 chromatograph relative to polystyrene standards. IR spectra were recorded on a Nicolet 510 FT-IR spectrometer on a film cast on a KBr plate. Film thickness was measured on a Tencor alpha-step 2000. A quartz crystal microbalance (QCM) was used to study the dissolution kinetics of the resist films in an aqueous tetramethylammonium hydroxide (TMAH) solution (CD-26).
  • When terpolymers and quadpolymers according to the present invention are synthesized using triethoxymethylsilane, the monomer of structural formula (1) is generated with R1 being —CH3 and the monomer may be called methylsilsdesquioxane. When terpolymers and quadpolymers according to the present invention are synthesized using triethoxyvinylsilane, the monomer of structural formula (2) is generated with R2 being —CH═CH2 and the monomer may be called vinylsilsesquioxane. When terpolymers and quadpolymers according to the present invention are synthesized using bis-trimethoxysilyl ethane, the monomer of structural formula (3) is generated with R3 being —CH2—CH2— and the monomer may be called bis-silsequioxylethane. When terpolymers and quadpolymers according to the present invention are synthesized using tertaethoxysilane, the monomer of structural formula (4) is generated with R4 being O—CH2—CH3 and the monomer may be called tetraethoxysilane.
  • Example 1 Synthesis of Poly[(methylsilsesquioxane-co-vinylsilsesquioxane-co-tetraethoxysilane)]
  • A 250 milliliter (ml) three neck round-bottom flask equipped with a thermocouple thermometer, magnetic stirrer, condenser with nitrogen inlet, and a temperature controlled heating mantle was charged with a mixture of triethoxymethylsilane (42.12 grams, 0.24 moles), triethoxyvinylsilane (6.25 g, 0.03 mole), tetraethoxysilane (5.71 grams, 0.03 moles), 54.7 grams of methyl isobutyl ketone and 21 ml of a 1.75% solution of oxalic acid in water. The mixture was heated with stirring under nitrogen. The reaction mixture was initially inhomogeneous, but after 10 minutes at reflux became homogeneous with a reflux temperature of 80° C. The reflux was continued for a total of 7 hours. The mixture was cooled to room temperature and diluted with 50 ml of ethyl acetate. This mixture was extracted with six 50 ml portions of deionized water (final water extract was neutral to pH paper). The organic layer was evaporated to yield 22.50 grams of a hard foam after evacuation at high vacuum and room temperature for 24 hours. Inverse gated 13C NMR in acetone in the presence of chromium acetylacetonate gave a ratio of vinyl carbons to methyl carbons of 2:8.
  • Example 2 Synthesis of Poly[(methylsilsesquioxane-co-vinylsilsesquioxane-co-bis-silsesquioxylethane-co-tetraethoxysilane)]
  • A 250 ml three neck round-bottom flask equipped with a thermocouple thermometer, magnetic stirrer, condenser with nitrogen inlet, and a temperature controlled heating mantle was charged with a mixture of triethoxymethylsilane (49.92 grams, 0.28 moles), triethoxyvinylsilane (3.81 g, 0.02 mole), Tetraethoxysilane (8.33 grams, 0.04 moles), bis-trimethoxysilyl ethane (5.41 grams, 0.02 mole), 67.5 grams of methyl isobutyl ketone and 25.2 ml of a 1.75% solution of oxalic acid in water. The mixture was heated with stirring under nitrogen. The reaction mixture was initially inhomogeneous, but after 10 minutes at reflux became homogeneous with a reflux temperature of 79.3° C. The reflux was continued for a total of 7 hours. The mixture was cooled to room temperature and diluted with 150 ml of ethyl acetate. This mixture was extracted with two 150 ml portions of deionized water and filtered through a medium glass frit to remove traces of in-solubles. The filtered solution was washed with four additional 150 ml portions of deionized water (final water extract was neutral to pH paper). The organic layer was evaporated to yield 27.2 grams of a hard foam after evacuation at high vacuum and room temperature for 24 hours. The molar ratio of the monomers (1), (2), (3) and (4) was 14:1:1:2.
  • Example 3 Synthesis of Poly[(methylsilsesquioxane-co-vinylsilsesquioxane-co-bis-silsesquioxylethane-co-tetraethoxysilane)]
  • A 250 ml three neck round-bottom flask equipped with a thermocouple thermometer, magnetic stirrer, condenser with nitrogen inlet, and a temperature controlled heating mantle was charged with a mixture of triethoxymethylsilane (46.36 grams, 0.26 moles), triethoxyvinylsilane (7.61 g, 0.04 mole), Tetraethoxysilane (8.33 grams, 0.04 moles), bis-trimethoxysilyl ethane (5.41 grams, 0.02 mole), 67.5 grams of methyl isobutyl ketone and 25.2 ml of a 1.75% solution of oxalic acid in water. The mixture was heated with stirring under nitrogen. The reaction mixture was initially inhomogeneous, but after 10 minutes at reflux became homogeneous with a reflux temperature of 79.4° C. The reflux was continued for a total of 7 hours. The mixture was cooled to room temperature and diluted with 150 ml of ethyl acetate. This mixture was extracted with six 150 ml portions of deionized water (final water extract was neutral to pH paper). The organic layer was evaporated to yield 30.07 grams of a hard foam after evacuation at high vacuum and room temperature for 24 hours. The molar ratio of the monomers (1), (2), (3) and (4) was 13:2:2:1.
  • Example 4 Synthesis of Poly[(methylsilsesquioxane-co-vinylsilsesquioxane-co-bis-silsesquioxylethane)]
  • Methyltriethoxysilane (53.49 grams, 0.3 mole), vinyltriethoxysilane (20.76 grams, 0.109 mole), bis(triethoxysilane)ethane (7.38 grams, 0.026 mole), and tetra orthosilicate (11.36 grams, 0.055 mole) were mixed together with 4-methyl-2-pentanone (77.78 ml) in a three-necked 500 milliliter round-bottom flask. 1.75 wt % oxalic acid solution (25.2 grams) was added to the above solution at 60° C. resulting in an exothermic reaction. The temperature of the reaction mixture was brought down to 70° C., and thereafter the reaction mixture was stirred at 78.8° C. for 6 hours. To extract the polymer, ethyl acetate (150 ml) was added to the reaction mixture at room temperature and the solution was washed (7-8 times) with distilled water. Evaporating the solvent under reduced pressure afforded the polymer (27 gram).
  • Example 5 Nanoindentation Measurements
  • The Young's modulus for the cured materials was determined by nanoindentation. Films of a control poly(metylsilsesquoxane-co-bis-silsesquioxylethane) and two poly(methylsilsesquioxane-co-vinylsilsesquioxane-co-bis-silsesquioxylethane-co-tetraethoxysilane) were spin applied onto a silicon wafers and then post-applied baked at 110° C. for 1 min, exposed to 248 nm light, post-exposure baked at 110° C. for 1 min, and then UV-thermally cured at 400° C. The mole proportions of monomers of the poly(metylsilsesquoxane-co-bis-silsesquioxylethane) was 15:1 and the measured modulus was 5.4 GPa. The mole proportions of monomers of the first poly (methylsilsesquioxane-co-vinylsilsesquioxane-co-bis-silsesquioxylethane-co-tetraethoxysilane) was 13.5:1.5:1 and the measured modulus was 9.9 GPa. The mole ratios of monomers of the second poly (methylsilsesquioxane-co-vinylsilsesquioxane-co-bis-silsesquioxylethane-co-tetraethoxysilane) was 13:2:1 and the measured modulus was 10.89 GPa.
  • Example 6 Photo-Patterning
  • A patternable low-k composition was formulated with 20 wt % solution of Methylsilsesquioxane-co-Vinylsilsesquioxane-co-TEOS and 2 wt % of triphenylsulfonium nonaflate in PGMEA, and 0.4 parts of an organic base. The resulting low-k formulation was filtered through a 0.2 μm filter. The low-k composition was spin coated onto an 8 inch silicon wafer and pre-exposure baked at 110° C. for 60 seconds, patternwise exposed to 248 nm DUV light on an ASML (0.63 NA, ⅝ annular) DUV stepper, and post exposure baked at 110° C. for 60 seconds. This was followed by a 30 seconds puddle development step with 0.26 N TMAH developer to resolve 0.190 μm line and space features.
  • Thus the embodiments of the present invention provides patternable dielectric materials, photo-sensitive formulations containing patternable dielectric materials, methods of using photo-sensitive formulations containing patternable dielectric materials in the fabrication of integrated circuits, and integrated circuit structures comprising patternable dielectric materials. The methods according to embodiments of the present invention use less materials and require less steps than conventional methods.
  • The description of the embodiments of the present invention is given above for the understanding of the present invention. It will be understood that the invention is not limited to the particular embodiments described herein, but is capable of various modifications, rearrangements and substitutions as will now become apparent to those skilled in the art without departing from the scope of the invention. Therefore, it is intended that the following claims cover all such modifications and changes as fall within the true spirit and scope of the invention.

Claims (20)

What is claimed is:
1. A structure, comprising:
a cross-linked layer of a silsesquioxane polymer or a silsesquioxane polymer on a substrate;
a trench in said cross-linked layer;
an electrically conductive material filling said trench and contacting said substrate in a bottom of said trench; and
a silsesquioxane polymer, wherein said silsesquioxane polymer includes at least one monomer of the structure:
Figure US20150189743A1-20150702-C00007
where wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties.
2. The structure of claim 1, wherein said additional silsesquioxane polymer comprises three or four monomers of the structural formulas (1), (2), (3), (4):
Figure US20150189743A1-20150702-C00008
wherein two of said three or four monomers are structures (1) and (2);
wherein R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties;
wherein R2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties;
wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties;
wherein R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties; and
wherein m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or p is zero.
3. The structure of claim 1, wherein said silsesquioxane polymer comprises three or four monomers of the structural formulas (1), (2), (3), (4):
Figure US20150189743A1-20150702-C00009
wherein two of said three or four monomers are structures (1) and (2);
wherein R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties;
wherein R2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties;
wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties;
wherein R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties; and
wherein m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or p is zero.
4. The structure of claim 1, wherein said silsesquioxane polymer comprises four monomers of the structural formulas (1), (2), (3) and (4):
Figure US20150189743A1-20150702-C00010
wherein two of said three or four monomers are structures (1) and (2);
wherein R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties;
wherein R2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties;
wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties;
wherein R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties; and
wherein m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or p is zero.
5. The structure of claim 1, wherein said silsesquioxane polymer consists essentially of monomers of structural formulas (1), (2) and (3), R1 is a methyl moiety and m is between about 70 mol % and about 80 mol %, R2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %, and R3 is an ethyl moiety and o is between about 0.5 mol % and about 6 mol %.
6. The structure of claim 1, wherein said silsesquioxane polymer consists essentially of monomers of structural formulas (1), (2) and (4), R1 is a methyl moiety and m is between about 70 mol % and about 80 mol %, R2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %, and R4 is a hydroxyl moiety and p is between about 2 mol % and about 10 mol %.
7. The structure of claim 1, wherein said silsesquioxane polymer consists essentially of monomers of structural formulas (1), (2), (3) and (4), R1 is a methyl moiety and m is between about 70 mol % and about 80 mol %, R2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %, R3 is an ethylene moiety and o is between about 0.5 mol % and about 6 mol %, and R4 is a hydroxyl moiety and p is between about 2 mol % and about 10 mol %.
8. The structure of claim 1, wherein said cross-linked layer has a dielectric constant of about 3.0 or less.
9. The structure of claim 1, further including:
an additional cross-linked layer of an additional silsesquioxane on said cross-linked layer;
an additional trench in said additional cross-linked layer, a top of said trench open to a bottom of said additional trench; and
said electrically conductive material additionally filling said additional trench.
10. The structure of claim 9, wherein said additional silsesquioxane polymer comprises three or four monomers of the structural formulas (1), (2), (3), (4):
Figure US20150189743A1-20150702-C00011
wherein two of said three or four monomers are structures (1) and (2);
wherein R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties;
wherein R2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties;
wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties;
wherein R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties; and
wherein m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or p is zero.
11. The structure of claim 9, wherein said additional silsesquioxane polymer comprises four monomers of the structural formulas (1), (2), (3), (4):
Figure US20150189743A1-20150702-C00012
wherein two of said three or four monomers are structures (1) and (2);
wherein R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties;
wherein R2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties;
wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties;
wherein R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties; and
wherein m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or p is zero.
12. A structure, comprising:
a cross-linked layer of a silsesquioxane polymer or a silsesquioxane polymer on a substrate;
a trench in said cross-linked layer;
an electrically conductive material filling said trench and contacting said substrate in a bottom of said trench; and
wherein said silsesquioxane polymer comprises three or four monomers of the structural formulas (1), (2), (3), (4):
Figure US20150189743A1-20150702-C00013
wherein two of said three or four monomers are structures (1) and (2);
wherein R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties;
wherein R2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties;
wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties;
wherein R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties; and
wherein m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or p is zero.
13. The structure of claim 12, further including:
an additional cross-linked layer of an additional silsesquioxane on said cross-linked layer;
an additional trench in said additional cross-linked layer, a top of said trench open to a bottom of said additional trench; and
said electrically conductive material additionally filling said additional trench.
14. The structure of claim 13, wherein said additional silsesquioxane polymer comprises three or four monomers of the structural formulas (1), (2), (3), (4):
Figure US20150189743A1-20150702-C00014
wherein two of said three or four monomers are structures (1) and (2);
wherein R1 is selected from the group consisting of linear alkyl, branched alkyl, cycloalkyl, aromatic, arene and ester moieties;
wherein R2 is selected from the group consisting of vinyl, substituted-vinyl, acetylenic, substituted acetylenic and nitrile moieties;
wherein R3 is selected from the group consisting of linear alkyl, branched alkyl and cycloalkyl moieties;
wherein R4 is selected from the group consisting of linear alkoxy, branched alkoxy, cycloalkoxy, acetoxys, hydroxyl, silyloxy and silanol moieties; and
wherein m, n, o, and p represent the mole percent (mol %) of repeating units with m+n+o+p equal to or greater than about 40 mol % and wherein when only three monomers are present either o or p is zero.
15. The structure of claim 12, wherein said cross-linked layer has a dielectric constant of about 3.0 or less.
16. The structure of claim 12, wherein said silsesquioxane polymer consists essentially of monomers of structural formulas (1), (2) and (3), R1 is a methyl moiety and m is between about 70 mol % and about 80 mol %, R2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %, and R3 is an ethyl moiety and o is between about 0.5 mol % and about 6 mol %.
17. The structure of claim 12, wherein said silsesquioxane polymer consists essentially of monomers of structural formulas (1), (2) and (4), R1 is a methyl moiety and m is between about 70 mol % and about 80 mol %, R2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %, and R4 is a hydroxyl moiety and p is between about 2 mol % and about 10 mol %.
18. The structure of claim 12, wherein said silsesquioxane polymer consists essentially of monomers of structural formulas (1), (2), (3) and (4), R1 is a methyl moiety and m is between about 70 mol % and about 80 mol %, R2 is a vinyl moiety and n is between about 3 mol % and about 13 mol %, R3 is an ethylene moiety and o is between about 0.5 mol % and about 6 mol %, and R4 is a hydroxyl moiety and p is between about 2 mol % and about 10 mol %.
19. The structure of claim 12, wherein said cross-linked layer further includes:
an additive silsesquioxane polymer of structure (5):
Figure US20150189743A1-20150702-C00015
wherein R5 is selected from the group consisting of alkyl, cycloalkyl and aryl moieties; and
wherein s is an integer between about 10 and about 1000.
20. The structure of claim 12, wherein said silsesquioxane polymer comprises four monomers of the structural formulas (1), (2), (3), (4).
US14/644,416 2009-08-31 2015-03-11 Photo-patternable dielectric materials and formulations and methods of use Abandoned US20150189743A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/644,416 US20150189743A1 (en) 2009-08-31 2015-03-11 Photo-patternable dielectric materials and formulations and methods of use

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/550,683 US8431670B2 (en) 2009-08-31 2009-08-31 Photo-patternable dielectric materials and formulations and methods of use
US13/861,452 US9012587B2 (en) 2009-08-31 2013-04-12 Photo-patternable dielectric materials and formulations and methods of use
US14/644,416 US20150189743A1 (en) 2009-08-31 2015-03-11 Photo-patternable dielectric materials and formulations and methods of use

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/861,452 Division US9012587B2 (en) 2009-08-31 2013-04-12 Photo-patternable dielectric materials and formulations and methods of use

Publications (1)

Publication Number Publication Date
US20150189743A1 true US20150189743A1 (en) 2015-07-02

Family

ID=43086166

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/550,683 Expired - Fee Related US8431670B2 (en) 2009-08-31 2009-08-31 Photo-patternable dielectric materials and formulations and methods of use
US13/861,452 Expired - Fee Related US9012587B2 (en) 2009-08-31 2013-04-12 Photo-patternable dielectric materials and formulations and methods of use
US14/644,416 Abandoned US20150189743A1 (en) 2009-08-31 2015-03-11 Photo-patternable dielectric materials and formulations and methods of use

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/550,683 Expired - Fee Related US8431670B2 (en) 2009-08-31 2009-08-31 Photo-patternable dielectric materials and formulations and methods of use
US13/861,452 Expired - Fee Related US9012587B2 (en) 2009-08-31 2013-04-12 Photo-patternable dielectric materials and formulations and methods of use

Country Status (7)

Country Link
US (3) US8431670B2 (en)
JP (1) JP5623529B2 (en)
CN (1) CN102482533B (en)
DE (1) DE112010003502B4 (en)
GB (1) GB2485283B (en)
TW (1) TW201120143A (en)
WO (1) WO2011057832A1 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201030469A (en) * 2008-12-25 2010-08-16 Jsr Corp Negative-tone radiation-sensitive composition, cured pattern forming method, and cured pattern
US8431670B2 (en) 2009-08-31 2013-04-30 International Business Machines Corporation Photo-patternable dielectric materials and formulations and methods of use
US8871425B2 (en) 2012-02-09 2014-10-28 Az Electronic Materials (Luxembourg) S.A.R.L. Low dielectric photoimageable compositions and electronic devices made therefrom
US20140178698A1 (en) 2012-12-21 2014-06-26 3M Innovative Properties Company Curable silsesquioxane polymers, compositions, articles, and methods
WO2014099699A1 (en) * 2012-12-21 2014-06-26 3M Innovative Properties Company Curable silsesquioxane polymers, compositions, articles, and methods
TWI551951B (en) * 2014-05-07 2016-10-01 奇美實業股份有限公司 Photosensitive composition, protecting film, and element having the protecting film
US9761817B2 (en) * 2015-03-13 2017-09-12 Corning Incorporated Photo-patternable gate dielectrics for OFET
KR101998228B1 (en) * 2016-11-22 2019-07-09 삼성에스디아이 주식회사 Photosensitive resin composition, cured film prepared therefrom, and device incorporating cured film
US10947412B2 (en) 2017-12-19 2021-03-16 Honeywell International Inc. Crack-resistant silicon-based planarizing compositions, methods and films
CN109233294B (en) * 2018-08-28 2020-04-24 淮阴工学院 Organic silicon micro-porous ultralow dielectric film and preparation method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060024980A1 (en) * 2004-07-23 2006-02-02 Jsr Corporation Silica-based film, method of forming the same, composition for forming insulating film for semiconductor device, interconnect structure, and semiconductor device

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6210856B1 (en) * 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6936537B2 (en) * 2001-06-19 2005-08-30 The Boc Group, Inc. Methods for forming low-k dielectric films
JPWO2003066750A1 (en) 2002-02-06 2005-06-02 旭化成株式会社 Coating composition for producing insulating thin film
US7217492B2 (en) * 2002-12-25 2007-05-15 Jsr Corporation Onium salt compound and radiation-sensitive resin composition
US7041748B2 (en) * 2003-01-08 2006-05-09 International Business Machines Corporation Patternable low dielectric constant materials and their use in ULSI interconnection
JP2004300311A (en) * 2003-03-31 2004-10-28 Fuji Photo Film Co Ltd Coating composition for forming silica film and method for forming silica film
DE10330022A1 (en) * 2003-07-03 2005-01-20 Degussa Ag Process for the preparation of Iow-k dielectric films
JP2005133040A (en) * 2003-10-31 2005-05-26 Jsr Corp Film-forming composition, silica-based film, method for forming the same and laminated film
JP2006178466A (en) 2004-12-22 2006-07-06 Rohm & Haas Electronic Materials Llc Method for forming optical dry-film and optical device with dry-film
CN101296999A (en) * 2005-10-28 2008-10-29 东丽株式会社 Siloxane resin composition and method for producing same
US7423108B2 (en) * 2005-12-16 2008-09-09 Bausch & Lomb Incorporated High refractive-index siloxy-containing monomers and polymers, and ophthalmic devices comprising such polymers
WO2007072750A1 (en) * 2005-12-22 2007-06-28 Catalysts & Chemicals Industries Co., Ltd. Coating liquid for forming low dielectric constant amorphous silica coating film and low dielectric constant amorphous silica coating film obtained from such coating liquid
US7468330B2 (en) * 2006-04-05 2008-12-23 International Business Machines Corporation Imprint process using polyhedral oligomeric silsesquioxane based imprint materials
KR101423058B1 (en) * 2006-10-12 2014-07-25 닛산 가가쿠 고교 가부시키 가이샤 Method for Manufacturing Semiconductor Device Using Quadruple-Layer Laminate
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
TWI452069B (en) * 2007-11-06 2014-09-11 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
US20090142694A1 (en) * 2007-11-30 2009-06-04 Braggone Oy Siloxane polymer compositions and methods of using the same
JP2009160826A (en) * 2008-01-08 2009-07-23 Jsr Corp Laminate, its manufacturing method and semiconductor device
JP2009227910A (en) * 2008-03-25 2009-10-08 Jsr Corp Composition for forming insulating film, silica film, and method for forming it
JP5353407B2 (en) * 2009-04-22 2013-11-27 Jsr株式会社 Negative radiation sensitive composition, cured pattern forming method, and cured pattern
JP5568892B2 (en) * 2009-05-01 2014-08-13 Jsr株式会社 Negative radiation sensitive composition, cured pattern forming method, and cured pattern
US8431670B2 (en) 2009-08-31 2013-04-30 International Business Machines Corporation Photo-patternable dielectric materials and formulations and methods of use

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060024980A1 (en) * 2004-07-23 2006-02-02 Jsr Corporation Silica-based film, method of forming the same, composition for forming insulating film for semiconductor device, interconnect structure, and semiconductor device

Also Published As

Publication number Publication date
CN102482533A (en) 2012-05-30
GB2485283B (en) 2014-11-26
JP5623529B2 (en) 2014-11-12
US20130292163A1 (en) 2013-11-07
DE112010003502T5 (en) 2013-03-14
WO2011057832A1 (en) 2011-05-19
TW201120143A (en) 2011-06-16
JP2013503220A (en) 2013-01-31
US8431670B2 (en) 2013-04-30
US20110048787A1 (en) 2011-03-03
DE112010003502B4 (en) 2019-02-07
GB201119451D0 (en) 2011-12-21
CN102482533B (en) 2014-07-09
US9012587B2 (en) 2015-04-21
GB2485283A (en) 2012-05-09

Similar Documents

Publication Publication Date Title
US8946371B2 (en) Photo-patternable dielectric materials curable to porous dielectric materials, formulations, precursors and methods of use thereof
US9012587B2 (en) Photo-patternable dielectric materials and formulations and methods of use
US7867689B2 (en) Method of use for photopatternable dielectric materials for BEOL applications
US7919225B2 (en) Photopatternable dielectric materials for BEOL applications and methods for use
US8642246B2 (en) Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US7714079B2 (en) Patternable low dielectric constant materials and their use in ULSI interconnection
JP4421566B2 (en) Hard mask composition for photoresist underlayer film and method of manufacturing semiconductor integrated circuit device using the same
US8026293B2 (en) Polyhedral oligomeric silsesquioxane based imprint materials and imprint process using polyhedral oligomeric silsesquioxane based imprint materials
TWI669353B (en) Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
US8029971B2 (en) Photopatternable dielectric materials for BEOL applications and methods for use
US8470516B2 (en) Method of forming a relief pattern by e-beam lithography using chemical amplification, and derived articles
KR100713231B1 (en) Hardmask composition coated under photoresist and process of producing integrated circuit devices using thereof
EP3928348A1 (en) Spin-on compositions comprising an inorganic oxide component and an alkynyloxy substituted spin-on carbon component useful as hard masks and filling materials with improved shelf life
KR100725793B1 (en) Hardmask composition coated under photoresist and process of producing integrated circuit devices using thereof
KR100938445B1 (en) Gap-fill composition and method of forming interconnection line for semiconductor device
KR100725794B1 (en) Hardmask composition coated under photoresist and process of producing integrated circuit devices using thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ALLEN, ROBERT D.;BROCK, PHILLIP J.;DAVIS, BLAKE W.;AND OTHERS;SIGNING DATES FROM 20130401 TO 20130405;REEL/FRAME:035137/0001

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC COMPANY, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036328/0809

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: GLOBALFOUNDRIES U.S.2 LLC, NEW YORK

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE RECEIVING PARTY DATA PREVIOUSLY RECORDED AT REEL: 036328 FRAME: 0809. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGMENT;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036920/0338

Effective date: 20150629

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117