JP5108038B2 - ダイナミック電圧スケーリングシステム - Google Patents

ダイナミック電圧スケーリングシステム Download PDF

Info

Publication number
JP5108038B2
JP5108038B2 JP2010023992A JP2010023992A JP5108038B2 JP 5108038 B2 JP5108038 B2 JP 5108038B2 JP 2010023992 A JP2010023992 A JP 2010023992A JP 2010023992 A JP2010023992 A JP 2010023992A JP 5108038 B2 JP5108038 B2 JP 5108038B2
Authority
JP
Japan
Prior art keywords
delay
critical path
edc
cell
voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2010023992A
Other languages
English (en)
Other versions
JP2010160800A (ja
Inventor
エリック・リー・ヘンダーソン
マイケル・ドロップ
トーシーフ・カジ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of JP2010160800A publication Critical patent/JP2010160800A/ja
Application granted granted Critical
Publication of JP5108038B2 publication Critical patent/JP5108038B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Sources (AREA)
  • Microcomputers (AREA)
  • Dc-Dc Converters (AREA)
  • Control Of Voltage And Current In General (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

この発明は、一般に電力の分野に関し、特に電子部品の電力消費の分野に関する。
内部電源からの引き出しを最小限にすることは、例えば、パーソナルデジタルアシスタンス(PDAs)、携帯電話装置、パーソナルラップトップコンピューター等のような電子装置が、 外部電源につながれていない拡張された期間動作することを可能にする。
電力消費を低減するための1つの方法論は、そのような装置内のマイクロプロセッサーコアが常にピーク性能レベルで動作する必要が無いことを前提とする。より低いレベルの性能が許容されているなら、マイクロプロセッサーコアのクロック周波数は低下してもよい。それに相当するものとして、そのクロック周波数をサポートするためのコアに対する最小電源電圧も低下してもよい。従って、ダイナミック電圧スケーリング(DVS)は、コアにかかる計算負荷が変化するときはいつでも、マイクロプロセッサーに供給される電圧を調節するように設計された方法論である。
いくつかの過去のDVSシステムは、コアのクリティカルパスの重複に基づいていた。
デジタル信号処理システムにおいて、用語「クリティカルパス」は、任意の2つの記憶素子または任意の2つの遅延素子の間の最長のパスを指す。組合せ論理回路において、クリティカルパスは入力と出力との間の最大遅延である。
過去のDVSシステムの1つの例では、フィードバックループ内で構成された自励発振器を用いてクリティカルパスをモデル化する。電源電圧は自励発振器に供給される。自励発振器は動作周波数に容易に変換されてもよいクロック信号を出力する。動作周波数は所望の周波数と比較されて誤差値を発生してもよい。次に、誤差値を用いてキャパシタへの電荷の転送を決定する。キャパシタは新しい電源電圧を発生するために使用される。新しい電源電圧は自励発振器にフィードバックしてループをクローズしてもよい。従って、新しいクロック周波数が要求される場合は常に、電源電圧は調節されてもよい。リニアレギュレータとバックコンバータのような代替部品は所望の結果を達成するために、自励発振器と共に使用されてもよい。
しかしながら、DVSシステムは、実際のクリティカルパス自体の測定ではなく、クリティカルパスモデルの測定に依存するので上述したDVSシステムは最適ではない。
上述した必要性に対処するための方法と装置がここに提示される。ここに提示される新しいDVSシステムは、重複モデルの測定値を取得することよりもむしろ、クリティカルパスの生データ測定値を取得することにより電源電圧調節の精度を増加させる。1つの観点において、マイクロプロセッサーコア内で生じる活動情報およびクリティカルパス遅延情報を検出するための監視ブロックと、監視ブロックから活動情報およびクリティカルパス遅延情報を読み、活動情報およびクリティカルパス遅延情報を処理し、活動情報とクリティカルパス遅延情報を処理した結果に従ってマイクロプロセッサーコアに供給される電圧を調節する制御ブロックを備えたダイナミック電圧スケーリングシステムが提供される。
他の観点において、端末レジスタ入力信号、端末レジスタ出力信号および制御信号を受信するように構成された埋め込み遅延チェッカー(EDC)セルが提供される。EDCは、複数の遅延素子と、複数の遅延素子に接続されたマルチプレクサであって、前記マルチプレクサは、制御値に従って端末レジスタ入力信号のパス内に配置するために遅延素子のサブセットを選択するように構成される、マルチプレクサと、マルチプレクサの出力を記憶するためのレジスタと、マルチプレクサの記憶された出力と端末レジスタ出力信号との間の論理差を検出するように構成された論理素子とを備える。
他の観点において、処理コアの電圧をダイナミックにスケーリングするための方法が提供される。この方法は、処理コア内の活動を直接検知することと、処理コアのクリティカルパスに関連する遅延情報を決定することと、検知された活動と遅延情報を用いて処理コアの電圧をスケーリングすることとを備える。
他の観点において、処理コアの電圧をダイナミックにスケーリングする装置が提供される。この装置は、処理コア内の活動を直接検知する手段と、処理コアのクリティカルパスに関連する遅延情報を決定する手段と、検知された活動および遅延情報を用いて処理コアの電圧をスケーリングする手段とを備える。
図1は、埋め込み遅延チェック(EDC)セルおよびスレーブリング発振器(SRO)セルを用いたダイナミックスケーリング(DVS)システムのブロック図である。 図2はクリティカルパスモニター(CPM)ブロックのブロック図である。 図3は、EDCセル用の可能な構造のブロック図である。 図4Aは、コアに供給された電圧を調節するためにダイナミック電圧スケーリングコントローラー(DVSC)ブロックにより使用されるヒステリシスチャートの一例である。 図4Bは電圧対周波数のプロットである。この場合しきい値曲線は、処理コアが与えられた周波数で動作することができる最小電源電圧を表す。 図5は、SROセルのための可能な構造のブロック図である。
ダイナミック電圧スケーリング(DVS)システムを実施するための種々の方法と装置はここに提示される。一実施形態において、埋込み遅延チェッカー(EDC)セルを用いてマイクロプロセッサーコア内の実施の活動とクリティカルパスの遅延を測定する。クリティカルパスの遅延は、コアに対する電圧をダイナミックに変更するための基礎である。
他の実施形態において、スレーブリング発振器(SRO)セルは、マイクロプロセッサーコアに隣接して配置され、EDCセルと共に使用されてDVSシステムに冗長度を与える。
図1は、EDCセルおよびSROセルを使用してもよいDVSシステムの一実施形態の機能ブロック図である。DVSシステム100は、クリティカルパスモニター(CPM)ブロック110、ダイナミック電圧スケーリングコントローラー(DVSC)ブロック120、電圧レギュレーターブロック130を備える。CPMブロック110は、マイクロプロセッサーコア140内の活動を捕獲し処理するためのものである。DVSCブロック120は、CPM110の出力を読んで処理し、コアに対する電圧を調節するためのものである。また、DVSCブロック120は、CPM部品内のパラメーターを検知し、システム内にヒステリシスを形成するために、それに応じてパラメーターを調整してもよい。電圧レギュレーターブロック130は、DVSCブロック120からの指示に従って、段階的にコアに電圧を供給するためのものである。
図2は、マイクロプロセッサーコア140内に位置するEDCセル200a−200d、マイクロプロセッサーコアに隣接して位置するSROセル210a、210b、コア140内に位置するSROセル210c、クリティカルパス活動(CPA)レジスタ220およびパス/フェイルレジスタ220を含むCPMブロック110のブロック図である。実例の容易さの目的のために、4つ(4)のみのEDCセル、3つ(3)のみのSROセル、および1つ(1)のみの結合されたCPAおよびパス/フェイルレジスタがこのCPMブロック説明図に記載されている。当業者は、さまざまな数のそのようなセルが必要以上の実験なしに実施されてもよいことを理解するであろう。
一般に、EDCセル200は、マイクロプロセッサーコア内の活動とクリティカルパスの遅延を直接検知するためのものである。活動情報は、遅延情報を制限するためにDVSシステム100により使用される。遅延情報は同様にコアに対する電源電圧を上げるかまたは下げるかどうかを決定するために使用される。EDCセル200は、クリティカルパスの端末レジスタに入力する入力信号を受信するように、また端末レジスタを出る出力信号を受信するように位置する。「端末レジスタ」は、コアクロックに対する信号パスの終端点である。最適な性能のために、EDCセル200は、ルーチン遅延を最小にするためにクリティカルパス領域付近に位置しなければならない。あるいは、EDCセル200は、EDCセル200がクリティカルパス領域から離れて位置するならルーチン遅延を補償するように設計してもよい。CPAレジスタ220は、パスの以前の論理状態をパスの現在の論理状態と比較することによりクリティカルパス上の活動を決定する。ここに使用されるように、「活動」は、1から0または0から1への論理的変化である。
図3は、EDCセル200の内部構造のブロック図である。さまざまな遅延素子310a−310dが信号CP_Dのパスに選択的に追加される。信号CP_Dはまた端末レジスタ300に入力する入力信号である。信号CP_Dのパスに配置される遅延素子の数は制御信号DLYにより調節される。制御信号DLYはDVSCブロック120(図示せず)に由来する。制御信号DLYはマルチプレクサ320で受信される。マルチプレクサ320は、信号CP_Dのパス内に存在するであろう様々な遅延素子310a−310dを選択するように構成される。マルチプレクサ320の出力は、EDCレジスタ330に記憶される。XORゲート340は、EDCレジスタ330の内容と端末レジスタ300の内容との間の論理差を決定するために使用されてもよい。端末レジスタ300の内容は信号CP_Qにより運ばれる。従って、XORゲート340によるパス/フェイルビットDC_PF出力は、端末レジスタ300の出力CP_QとEDCレジスタ330の出力との間に論理差が存在するときのみアクティブである。
信号CP_QおよびDC_PFはEDCセル200の外部であってDVSCブロック(図示せず)に運ばれる。DVSCブロックは、これらの信号内に含まれる情報を解釈し、コアに供給される電圧を制御し、EDCセル200内のパラメーターを制御する。
上記記載において固有であるEDCセルの暗黙の機能性がある。機能は、炭鉱中のカナリアのそれである。EDCセルは、各EDCセル内の合計遅延が各EDCセルにより監視されるクリティカルパスより大きいことを保証するように設計してもよい。従って、コアに対する電圧が極端に低いなら、EDCは、クリティカルパスの最小動作電圧に到達する前に最初にフェイルするであろう。すなわち、パス/フェイルビットDC_PFは、クリティカルパス領域の最小動作電圧に到達する前に変化するであろう。
EDCセル内で遅延を制御すること
実施形態の1つの観点において、DVSCブロックは、システムヒステリシスのための境界領域に従ってEDCセル内の遅延を増加させるまたは減少させる。一般観念は、EDCセル内の遅延量は、回路活動が生じた後でDC_PFビットからの特定の応答を導き出すために調節されるということである。記憶されたCP_Qビットと現在のCP_Qビットにより示されるように、回路活動は、以前の論理状態と現在の論理状態との間の比較により示される。EDCセルの可変の性質により、システムは、DC_PFビットから特定の応答を導き出すために通常動作の過程においてEDCセル内の遅延素子の数を調節してもよい。従って、遅延素子の数は、各検知されたクリティカルパスのための動作しきい値を決定するために、DVSCにより増加または減少するように調節してもよい。従って図4に一例として提示されるようなヒステリシスチャートを形成し、異なる境界領域内にイベントが生じるときはいつもコアに供給される電圧を上げるかまたは下げるかどうかを決定するために使用することができる。
図4は異なる電圧の場合に時間に対するクリティカルパス回路遅延をプロットする。しきい値DLYMおよびDLYHは、EDCセルへのDLY入力に割り当てられた値を表し、コア内の変化する条件に応答してダイナミックに変化される。マージン遅延線とも呼ばれるDLYMしきい値は、測定されたクリティカルパスの前にEDCセルが失敗することを強要するためにシステムが課するマージンを表す。ヒステリシス遅延線とも呼ばれるDLYHしきい値は、コアの電源電圧に影響を及ぼすことなく遅延量が変動してもよいより低いレンジを表す。
追加された遅延が非常に高いまたは非常に低いという決定はシステムにより使用され電圧を増加するかまたは減少するかを決定してもよい。DLYMしきい値より上の領域では、コアに対する電圧は上げなければならない。DLYMしきい値とDLYHしきい値の間の領域において、電圧は変わらないように許容されている。DLYHしきい値より下の領域では、電圧は低下してもよい。
上記の実施形態の他の観点において、DVSCブロックは、CP_QビットとEDCレジスタの出力との間の論理差の存在に従ってマイクロプロセッサーコアに供給される電圧を増加または減少させる。CP_Qビットと、EDCレジスタの出力との間に論理差が存在するときDC_PFビットはアクティブになる。図3の実施形態は、論理差の存在を決定するためのXORゲートを有するように図解されるが、過度の実験なしに同じ目的のために他のロジックを使用してもよい。
回路活動の過程においてDC_PFビットがアクティブになり、追加された遅延の数がマージン遅延線に近づくなら、DVSCブロックは、これらの条件を、コアに供給された電圧を上げなければならないことを意味するものとして解釈してもよい。反対に、回路活動の過程においてDC_PFビットが非アクティブのままであり、追加された遅延の数がヒステリシス遅延線に近づくなら、DVSCブロックこれらの条件を、コアに供給される電圧を低下させなければならないことを意味するものとして解釈してもよい。
従って、マイクロプロセッサーコアの直接測定とEDCセル内の遅延の試験を用いてコアに供給される電圧をダイナミックに調節してもよい。
粒度
実施形態の他の観点において、EDCセルは、EDCセルの検知レンジを増加するために異なるサイズの多数の遅延を用いて設計してもよい。例えば、値Tがコアの最もクリティカルなパスの遅延であり、コアの最もクリティカルなパスの遅延がコアの最大動作周波数を決定するなら、EDCコア内の各個々の遅延素子は、所望の粒度を生成するために値Tのパーセンテージとして選択してもよい。例えば、各遅延素子は、より微細な粒度に対してTの5%である遅延サイズを備えてもよいし、または遅延素子は、より粗い粒度の場合Tの10%である遅延サイズを備えてもよい。別の例において、各遅延素子は、それぞれEDCセル内の異なる遅延サイズを含んでもよい。
遅延サイズはシステム制約または好みに従って設定してもよい。1つのシステム制約は、コアに対する供給電圧は、電圧対周波数のプロット上の所定のしきい値曲線以下に決して低下しないということである。そのような曲線は、典型的にshmooプロットと呼ばれる。図4Bに図解されたshmooプロットにおいて、しきい値曲線は、コアが与えられた周波数で動作することができる最小電源電圧を示す。文字指示「P」を含んでいる領域はパス領域を示す。そこでは電源電圧は失敗の恐れなしに変更されてもよい。文字指示「P」のない領域は不良領域を示す。そこではマイクロプロセッサーコアは適切に作動しない。
EDCセルに関連して、しきい値電圧レベルは、所望の動作電圧とエラーのための所定量のマージンを備えなければならない。ここに使用されるように、所望の動作電圧は、最もクリティカルパスに失敗を生ぜずにコアに供給されてもよい最も低い可能電圧である。
DVSシステム内の冗長度
コアに活動があるとき、EDCセルは使用できるが、コアに活動がないときEDCセルは使用できない。従って、EDCセルに加えてまたはEDCセルの代わりに、スレーブリング発振器(SRO)セルもDVSシステムにより使用し電源電圧を調節してもよい。上述したように、他のDVSシステムは、電圧を動作周波数に変換するためにフィードバックループにおいて自励リング発振器を使用する。従って、自励リング発振器は、従来技術において使用され、クリティカルパス領域の電圧を複製する。ここに記述されたリング発振器は、クリティカルパス領域のクロック速度の複製のために使用される。次に、それはマイクロプロセッサーコア内の非活動期間に電源電圧を調節するために使用される。
図5はSROセル210のブロック図である。SROセルは、クロック信号DVS_CLKを受信する。クロック信号DVS_CLKは、クリティカルパスのレプリカント(replicant)510の出力と一緒にSROレジスタ500に入力される。レプリカント510は、マルチプレクサ530に接続された遅延素子520a−520dを備える。マルチプレクサ530の出力は、レプリカント510からSROレジスタ500への入力である。また、マルチプレクサ530の出力は、EDCセル540への入力でもある。RROレジスタ500の出力は、自己反転遅延素子520aおよびEDCセル540に接続される。DVSCブロック(図示せず)からの制御線はマルチプレクサ530に入力され、与えられた動作に対して遅延素子の数を調節するために使用される。実例を容易にするために、マルチプレクサ530の出力は、RO_Dと呼ばれ、SROレジスタ500の出力は、RO_Qと呼ばれる。SROレジスタ500から遅延素子520a−520dを通り、マルチプレクサ530を通り、SROレジスタ500に戻るパスは、リング発振器構成を作成することに留意する必要がある。
しかしながら、図5において上述したリング発振器構成は、SROレジスタに接続されているので、自由振動は無い。リング発振器は、レジスタに供給されるクロック信号DVS_CLKに対して「スレーブ」となる。リング発振器に自己反転遅延素子があるので、クロックサイクル毎にSROレジスタにおいて代替地が受信されなければならない。値が替わらないなら、クロック信号DVS_CLKは、リング発振器のオープンループ応答RO_Dよりも高速に走っている。
一実施形態において、EDCセルは、マイクロプロセッサーコアの全体にわたって戦略的に配置される。SROセルは、コアの熱特性がどのように回路パス遅延の性能に影響を及ぼすかを決定するためにマイクロプロセッサーコアに隣接して配置してもよい。また、SROセルはマイクロプロセッサーコア内に配置してもよい。EDCセルは、コア内に活動があるときのみ動作するので、コアが非アクティブのとき冗長システムとしてSROセルを用いてもよい。このようにして、DVSCは、コアが非アクティブであってもコアに対する電圧を制御してもよい。
ここに開示されている実施形態に関連して説明された多様な例示的な論理ブロック、モジュール及び回路は、汎用プロセッサー、デジタル信号プロセッサー(DSP)、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、または他のプログラマブルロジックデバイス、離散ゲートまたはトランジスタ論理、離散ハードウェア構成要素、あるいはここに説明される機能を実行するように設計されたその任意の組み合わせをもって実現または実行されてよい。汎用プロセッサーは、マイクロプロセッサーであってよいが、代替策ではプロセッサーは、任意の従来のプロセッサー、コントローラー、マイクロコントローラーまたは状態機械であってよい。プロセッサーは、例えばDSPとマイクロプロセッサーの組み合わせ、複数のマイクロプロセッサー、DSPコアと連動する1台または複数台のマイクロプロセッサー、あるいは任意の他のこのような構成など計算装置の組み合わせとして実現されてもよい。ここに開示された実施形態に関連して説明された方法またはアルゴリズムのステップは、ハードウェア内、プロセッサーによって実行されるソフトウェアモジュール内、あるいは2つの組み合わせの中で直接的に具現化されてよい。ソフトウェアモジュールはRAMメモリ、フラッシュメモリ、ROMメモリ、EPROMメモリ、EEPROMメモリ、レジスタ、ハードディスク、取り外し可能ディスク、CD-ROM、または技術的に既知である任意の他の形式の記憶媒体に常駐してよい。例示的な記憶媒体は、プロセッサーが記憶媒体から情報を読み取り、記憶媒体に情報を書き込むことができるようにプロセッサーに結合される。代替策では、記憶媒体はプロセッサーに一体化してよい。プロセッサー及び記憶媒体はASICに常駐してよい。ASICはユーザー端末内に常駐してもよい。代替策では、プロセッサー及び記憶媒体はユーザー端末内に別々の構成要素として常駐してよい。
開示された実施形態の上述の記載は、当業者がこの発明を製作しまたは使用することが可能に提供される。これらの実施形態への様々な変更は当業者に容易に明白になるであろう。そして、ここに定義された総括的な原理は、この発明の精神から逸脱することなく他の実施形態に適用されてもよい。従って、この発明はここに示された実施形態に限定することを意図したものではなく、ここに開示された原理および新規な特徴と一致するもっとも広い範囲が許容されるべきである。

Claims (5)

  1. マイクロプロセッサーコア内で生じるクリティカルパスの活動情報およびクリティカルパス遅延情報を検知して出力するために、前記クリティカルパスの端末レジスタ入力信号と、前記クリティカルパスの端末レジスタ出力信号と、制御信号を受信するように構成された埋め込み遅延チェッカ(EDC)セルにおいて、前記EDCセルは、
    複数の遅延素子と、
    前記複数の遅延素子に接続されたマルチプレクサと、ここにおいて、前記マルチプレクサは、前記制御信号に従って前記端末レジスタ入力信号のパス(path)に配置するために遅延素子のサブセットを選択するように構成される、
    前記マルチプレクサの出力を記憶するためのレジスタと、
    前記クリティカルパスの活動情報としての前記端末レジスタ出力信号と、前記マルチプレクサの記憶された出力との間の論理差を前記クリティカルパス遅延情報として検出するように構成された論理素子と、
    を具備する、EDCセル。
  2. 前記クリティカルパスの最小動作電圧に到達する前に前記EDCセルが機能しなくなるように、前記EDCセルに対応する合計遅延は前記クリティカルパスより大きい、請求項1のEDCセル。
  3. 前記活動情報およびクリティカルパス遅延情報は、システムヒステリシスに関する境界領域に従って前記マイクロプロセッサーコアの電圧をスケールするようにさらに動作可能である制御ブロックに出力される、請求項1のEDCセル。
  4. 前記システムヒステリシスに関する境界領域は、異なる電圧に関する、ある時間にわたるクリティカルパス回路遅延のプロット上の低ヒステリシス(lower hysteresis)遅延線とアッパーマージン(upper margin)遅延線とを具備し、前記制御信号は、前記低ヒステリシス遅延線とアッパーマージン遅延線とに基づいて前記電圧を調節するように動作可能である、請求項3のEDCセル。
  5. 前記マルチプレクサの出力に対応する付加された遅延が前記マージン遅延線より上の領域に相当するなら前記マイクロプロセッサーコアへの電圧を上げるように動作可能であり、
    前記付加された遅延が前記ヒステリシス遅延線より下の領域に相当するなら前記マイクロプロセッサーコアへの電圧を下げるように動作可能である、請求項4のEDCセル。
JP2010023992A 2004-05-05 2010-02-05 ダイナミック電圧スケーリングシステム Expired - Fee Related JP5108038B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/840,635 2004-05-05
US10/840,635 US7437580B2 (en) 2004-05-05 2004-05-05 Dynamic voltage scaling system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007511686A Division JP4494464B2 (ja) 2004-05-05 2005-05-05 ダイナミック電圧スケーリングシステム

Publications (2)

Publication Number Publication Date
JP2010160800A JP2010160800A (ja) 2010-07-22
JP5108038B2 true JP5108038B2 (ja) 2012-12-26

Family

ID=35240735

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2007511686A Active JP4494464B2 (ja) 2004-05-05 2005-05-05 ダイナミック電圧スケーリングシステム
JP2010023993A Expired - Fee Related JP5301478B2 (ja) 2004-05-05 2010-02-05 ダイナミック電圧スケーリングシステム
JP2010023992A Expired - Fee Related JP5108038B2 (ja) 2004-05-05 2010-02-05 ダイナミック電圧スケーリングシステム

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2007511686A Active JP4494464B2 (ja) 2004-05-05 2005-05-05 ダイナミック電圧スケーリングシステム
JP2010023993A Expired - Fee Related JP5301478B2 (ja) 2004-05-05 2010-02-05 ダイナミック電圧スケーリングシステム

Country Status (7)

Country Link
US (1) US7437580B2 (ja)
EP (1) EP1745345A2 (ja)
JP (3) JP4494464B2 (ja)
CA (1) CA2565811A1 (ja)
RU (1) RU2324969C1 (ja)
TW (1) TWI368851B (ja)
WO (1) WO2005107428A2 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7437580B2 (en) * 2004-05-05 2008-10-14 Qualcomm Incorporated Dynamic voltage scaling system
US20060038622A1 (en) * 2004-08-17 2006-02-23 Vyungchon Choe Voltage scaling using material-based reference model
US20070136615A1 (en) * 2005-12-08 2007-06-14 Electronics And Telecommunications Research Institute System and method for reducing power used to execute application program
CN100346306C (zh) * 2006-01-06 2007-10-31 浙江大学 基于动态调频技术的节能编译方法
DE102006014037A1 (de) * 2006-03-27 2007-10-11 Infineon Technologies Ag Integrierter Schaltkreis und Verfahren zum Ermitteln eines Betriebsbereichs eines integrierten Schaltkreises
US7486060B1 (en) 2006-03-30 2009-02-03 Western Digital Technologies, Inc. Switching voltage regulator comprising a cycle comparator for dynamic voltage scaling
US7551383B1 (en) 2006-06-28 2009-06-23 Western Digital Technologies, Inc. Adjusting voltage delivered to disk drive circuitry based on a selected zone
US20080116964A1 (en) * 2006-11-22 2008-05-22 Kent Kernahan Apparatus and method for controlling the propagation delay of a circuit by controlling the voltage applied to the circuit
US20080184047A1 (en) * 2007-01-25 2008-07-31 Goeltzenleuchter Courtney D System and method for implementing a quiet operating mode in a computing system
US8725488B2 (en) 2007-07-26 2014-05-13 Qualcomm Incorporated Method and apparatus for adaptive voltage scaling based on instruction usage
US7716615B2 (en) * 2007-08-31 2010-05-11 International Business Machines Corporation Redundant critical path circuits to meet performance requirement
US7733189B1 (en) 2007-09-14 2010-06-08 Western Digital Technologies, Inc. Oscillator comprising foldover detection
US8085020B1 (en) * 2008-06-13 2011-12-27 Western Digital Technologies, Inc. Switching voltage regulator employing dynamic voltage scaling with hysteretic comparator
US8495342B2 (en) * 2008-12-16 2013-07-23 International Business Machines Corporation Configuring plural cores to perform an instruction having a multi-core characteristic
TW201122753A (en) * 2009-12-29 2011-07-01 Ind Tech Res Inst Voltage scaling systems
US8671413B2 (en) * 2010-01-11 2014-03-11 Qualcomm Incorporated System and method of dynamic clock and voltage scaling for workload based power management of a wireless mobile device
US8695008B2 (en) * 2011-04-05 2014-04-08 Qualcomm Incorporated Method and system for dynamically controlling power to multiple cores in a multicore processor of a portable computing device
CN102411395B (zh) * 2011-08-08 2014-02-05 东南大学 一种基于片上监测和电压预测的动态电压调节系统
EP2662791A1 (en) 2012-05-11 2013-11-13 Stichting IMEC Nederland A method and apparatus for monitoring timing of cricital paths
US9323320B2 (en) * 2012-05-18 2016-04-26 Mediatek Singapore Pte. Ltd. Weighted control in a voltage scaling system
RU2538289C2 (ru) * 2012-11-30 2015-01-10 Закрытое акционерное общество "РСК Технологии" Способ оценки энергопотребления вычислителя
TWI528723B (zh) 2013-12-27 2016-04-01 財團法人工業技術研究院 應用特徵化路徑電路的動態調整電路及產生特徵化路徑電路的方法
CN104135256B (zh) * 2014-07-29 2017-02-15 东南大学 一种带自校准功能的延时采样电路
US9231591B1 (en) * 2014-12-12 2016-01-05 Xilinx, Inc. Dynamic voltage scaling in programmable integrated circuits
US10060974B2 (en) 2014-12-18 2018-08-28 Globalfoundries Inc. Electrical circuit odometer sensor array
US10476490B2 (en) * 2016-10-18 2019-11-12 Taiwan Semiconductor Manufacturing Company Limited Selectable delay buffers and logic cells for dynamic voltage scaling in ultra low voltage designs
KR20210028794A (ko) 2019-09-04 2021-03-15 삼성전자주식회사 반도체 장치 및 반도체 장치의 자원 사용 예측 방법
US11428749B2 (en) 2019-11-28 2022-08-30 Hamilton Sundstrand Corporation Power supply monitoring with variable thresholds for variable voltage rails
US11150716B2 (en) * 2020-02-05 2021-10-19 International Business Machines Corporation Dynamically optimizing margins of a processor
FR3114188B1 (fr) * 2020-09-11 2024-01-12 Dolphin Design Polarisation adaptative de substrat utilisant des détecteurs de marge

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5781784A (en) * 1992-07-09 1998-07-14 Zilog, Inc. Dynamic power management of solid state memories
JPH086681A (ja) * 1994-04-18 1996-01-12 Hitachi Ltd 省電力制御システム
US5745375A (en) * 1995-09-29 1998-04-28 Intel Corporation Apparatus and method for controlling power usage
FR2752312B1 (fr) * 1996-08-07 1998-10-30 Motorola Semiconducteurs Procede et circuit permettant d'ajuster dynamiquement la tension d'alimentation et, ou bien, la frequence du signal d'horloge dans un circuit numerique
US6657634B1 (en) * 1999-02-25 2003-12-02 Ati International Srl Dynamic graphics and/or video memory power reducing circuit and method
JP2001036008A (ja) * 1999-07-23 2001-02-09 Toshiba Corp 半導体集積回路
JP2001326327A (ja) * 2000-05-12 2001-11-22 Mitsubishi Electric Corp 半導体集積回路
JP3757757B2 (ja) * 2000-05-18 2006-03-22 株式会社日立製作所 リード優先メモリシステム
JP3438135B2 (ja) * 2000-05-19 2003-08-18 富士通株式会社 情報機器及び省電力モード切替方法及び省電力モード切替プログラムを格納した記録媒体
JP3522209B2 (ja) * 2000-09-29 2004-04-26 松下電器産業株式会社 最適電圧調整回路
JP2002312058A (ja) * 2001-04-11 2002-10-25 Mitsubishi Electric Corp 半導体集積回路
JP3478284B2 (ja) * 2001-08-10 2003-12-15 ソニー株式会社 半導体装置
JP3607238B2 (ja) * 2001-10-22 2005-01-05 株式会社東芝 Ofdm信号受信システム
US7061292B2 (en) * 2001-11-09 2006-06-13 The Regents Of The University Of Colorado Adaptive voltage regulator for powered digital devices
FI116702B (fi) * 2001-12-20 2006-01-31 Nokia Corp Dynaaminen tehonsäätö integroiduissa piireissä
US6985025B1 (en) * 2002-01-19 2006-01-10 National Semiconductor Corporation System for adjusting a power supply level of a digital processing component and method of operating the same
US6868503B1 (en) * 2002-01-19 2005-03-15 National Semiconductor Corporation Adaptive voltage scaling digital processing component and method of operating the same
US7024568B2 (en) * 2002-09-06 2006-04-04 National Semiconductor Corporation Method and system for providing self-calibration for adaptively adjusting a power supply voltage in a digital processing system
JP4322808B2 (ja) * 2002-09-20 2009-09-02 エヌエックスピー ビー ヴィ 遅延の予測に基づく適応データ処理スキーム
JP3736518B2 (ja) * 2002-11-26 2006-01-18 ソニー株式会社 半導体装置
US7120804B2 (en) * 2002-12-23 2006-10-10 Intel Corporation Method and apparatus for reducing power consumption through dynamic control of supply voltage and body bias including maintaining a substantially constant operating frequency
US7148755B2 (en) * 2003-08-26 2006-12-12 Hewlett-Packard Development Company, L.P. System and method to adjust voltage
US6927605B2 (en) * 2003-11-07 2005-08-09 Hewlett-Packard Development Company, L.P. System and method for dynamically varying a clock signal
US7770034B2 (en) * 2003-12-16 2010-08-03 Intel Corporation Performance monitoring based dynamic voltage and frequency scaling
US7437580B2 (en) * 2004-05-05 2008-10-14 Qualcomm Incorporated Dynamic voltage scaling system

Also Published As

Publication number Publication date
TW200619970A (en) 2006-06-16
US7437580B2 (en) 2008-10-14
EP1745345A2 (en) 2007-01-24
JP5301478B2 (ja) 2013-09-25
JP4494464B2 (ja) 2010-06-30
WO2005107428A3 (en) 2006-04-13
JP2007536650A (ja) 2007-12-13
CA2565811A1 (en) 2005-11-17
JP2010160800A (ja) 2010-07-22
TWI368851B (en) 2012-07-21
JP2010160801A (ja) 2010-07-22
US20050251700A1 (en) 2005-11-10
WO2005107428A2 (en) 2005-11-17
RU2324969C1 (ru) 2008-05-20

Similar Documents

Publication Publication Date Title
JP5108038B2 (ja) ダイナミック電圧スケーリングシステム
JP5638110B2 (ja) 熱制御装置及び方法
US8085020B1 (en) Switching voltage regulator employing dynamic voltage scaling with hysteretic comparator
US8912778B1 (en) Switching voltage regulator employing current pre-adjust based on power mode
US7840823B2 (en) Processor system for varying clock frequency and voltage in response to a comparison of instruction execution rate to a reference value
CN108475101A (zh) 数字欠压检测和控制的方法和装置
JP2009522688A (ja) 独立周波数及び/又は電圧で集積回路の構成要素を動作させるシステムならびに方法
KR20170110669A (ko) 저전압 검출 및 성능 스로틀링
US9086712B2 (en) Device and method for compensating for voltage drops
KR20140060137A (ko) 반도체 집적 회로 및 그것의 동작 방법, 반도체 집적 회로의 타이밍 검증 방법 및 테스트 방법
US7817488B2 (en) Load balancing by using clock gears
US8937511B2 (en) Frequency scaling of variable speed systems for fast response and power reduction
US20050273742A1 (en) Integrated circuit with dynamically controlled voltage supply
CN117642710A (zh) 用于响应于电压下降在超频期间启用时钟拉伸的系统和方法
US9021324B2 (en) Calibration arrangement
TW200937179A (en) Self-configurable multi-regulator ASIC core power delivery technical field
US6999354B2 (en) Dynamically adaptable memory
USRE48100E1 (en) Method and system for power management
US20230307939A1 (en) Auxiliary power circuit, electronic device, and method for operating auxiliary power circuit
US7123033B1 (en) Method and an apparatus to detect low voltage
US10539971B2 (en) Adaptive voltage scaling circuitry
US7847610B2 (en) Semiconductor device, information processing apparatus and power supply voltage variation suppressing method
TWI394037B (zh) System power monitoring device and method thereof
CN114371772A (zh) 一种高低温自适应上电时序的方法,装置、设备

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120528

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120531

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120904

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121004

R150 Certificate of patent or registration of utility model

Ref document number: 5108038

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151012

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees