JP4825060B2 - 露光方法 - Google Patents

露光方法 Download PDF

Info

Publication number
JP4825060B2
JP4825060B2 JP2006164137A JP2006164137A JP4825060B2 JP 4825060 B2 JP4825060 B2 JP 4825060B2 JP 2006164137 A JP2006164137 A JP 2006164137A JP 2006164137 A JP2006164137 A JP 2006164137A JP 4825060 B2 JP4825060 B2 JP 4825060B2
Authority
JP
Japan
Prior art keywords
pattern
hole
exposure
mask
wiring groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006164137A
Other languages
English (en)
Other versions
JP2007335543A5 (ja
JP2007335543A (ja
Inventor
文利 杉本
清 小沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2006164137A priority Critical patent/JP4825060B2/ja
Priority to US11/595,917 priority patent/US7678693B2/en
Publication of JP2007335543A publication Critical patent/JP2007335543A/ja
Publication of JP2007335543A5 publication Critical patent/JP2007335543A5/ja
Application granted granted Critical
Publication of JP4825060B2 publication Critical patent/JP4825060B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/945Special, e.g. metal

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

本発明は、半導体装置、特に、フォトリソグラフィ加工して製造される半導体装置、フォトリソグラフィ工程で用いられる露光用マスクおよびそのパターンの補正方法に関する。
近年、半導体デバイスの高速化,高密度化の要求に答えるため,半導体基板上に非常に小さいサイズのデバイスパターンの形成が必要とされている。
半導体デバイスの微細化は、光リソグラフィに用いられる露光装置の光源波長を短波長化することにより実現されている。現在では、半導体デバイスの設計ルールは100nm以下レベルにまで達している。この値は、光リソグラフィの工程でのマスクパターン転写に必要な露光装置の光源波長よりも短い。例えば、光源として使用されるフッ化アルゴン(ArF)エキシマレーザの波長は193nmである。
この場合には、光リソグラフィによりマスク上のパターンを基板上に転写する際に、転写されるパターン寸法が解像限界を超えている。このために回折等による光近接効果の影響が大きくなり、基板に形成されたパターンの形状が変化し、マスクパターンから転写されるべきパターンの寸法と基板上に実際に転写されたパターンの寸法とに差が生じていた。そこで、この差を補正する手段として、光近接効果の補正方法(OPC = Optical Proximity Correction)が提案されている。
OPCとは、マスクパターンを基板上に転写した際に生じるパターンの変形(パターンが細くまたは太くなる)とは逆に、予めマスクパターンを部分的に変更し(太く、または、細くしておく)、あるいはダミーパターンを配する等の方法により、基板上に転写したパターンの形状や寸法の変化を補正するパターン転写技術である。
図1A、1B、2A、および2Bにより、OPCの例を説明する。図1A、2Aは、それぞれレチクル(R1、R2)上のマスクパターンを示している。また、図1B、2Bは、それぞれレチクルR1、R2上のマスクパターンを半導体基板(W1、W2)に転写したパターンを示している。なお、半導体基板をウェーハともいう。
例えば、図1Aには、配列したマスクパターン1が示され、図1Bには、マスクパターン1を用いて基板上に転写したパターン2が示されている。この例では、マスクパターン1は、多数の矩形の配列である。
図1Aのマスクパターン1においては、斜線部分が遮光部、白抜きは透過部である。透過部を通過する光により基板上のレジストに転写パターン2が形成される。マスクパターン1を構成する矩形配列のうち、配列内部(配列外周部から中央方向に進入した位置)のパターン3と配列外周部のパターン4では光近接効果が異なるため、それぞれの転写パターン5、6の寸法が異なるという問題がある。この差を補正するために、OPCが適用される。
例えば、寸法差をなくすために、矩形配列の周辺部付近のパターンを図2Aのパターン7に示すように、図1のパターン4よりも大きくしていた。その結果、基板上に転写された転写パターンの寸法差をなくしていた(図2Bの8)。このように、OPCでは、マスクパターンサイズを変えることにより、透過部の光量を調節することで、基板上の転写パターンサイズを制御している。
一方、高密度化した半導体基板の高速化を図るために、配線の層間絶縁膜にいわゆるlow−K膜を呼ばれる低誘電率材料が使用されている。その目的は、低誘電率材料を使用することで配線容量を低減し、結果として配線を高速化することである。
低誘電率材料としては、従来から、SiOC等が知られている。また、最近では、さらに誘電率を下げるために、ポーラスシリカ(多孔性のシリカ(珪素酸化物))と呼ばれる多孔質の絶縁膜が使用されている。このような絶縁膜は転写パターンの形成に用いられるレジストとのエッチング選択比が少ないため、シリコン窒化膜といった膜をエッチングマスクとして使用し、エッチングが行われる。
このような窒素含有絶縁膜は、レジスト除去工程(アッシング工程)でlow−K膜がアッシングガスにさらされると誘電率が上昇するという問題を回避するために使用されることもある。その他にも、デュアルダマシン構造を形成するための中間エッチングストッパ層として、あるいは、CMP(化学的機械的研磨:Chemical Mechanical Planarization)工程でのキャップ層としても、窒素含有絶縁膜が使用される。なお、デュアルダマシン構造は、溝部およびホール双方を含むダマシン構造という意味である。また、ダマシン構造は、配線が溝に埋め込まれた構造をいう。
Cu等を配線材料として使用する際に、デュアルダマシン構造が使用されている。デュアルダマシン構造では、配線パターンとそれを上下で結ぶホールパターンとが同時に形成される。デュアルダマシン構造ではCuを埋め込むホールパターン層と配線パターン層を同時に形成した後に、さらにCuをメッキ法で埋め込んだ後、CMP法により、Cuを平坦化することを特徴としている。なお、以上の技術に関連して、以下の特許文献1が知られている。
特開2005−64226号公報
デュアルダマシン構造においては、フォトリソグラフィ工程およびエッチング工程でホール層を形成後に、フォトリソグラフィ工程およびエッチング工程でパターン配線層を形成する。そして、ホール層と配線層に、同時にCu等を埋め込む。しかしながらその際に、OPCにより補正されたマスクを用いて、所望寸法のパターンを転写したとしても、密に配列したホールパターンの外周部に繋がる配線パターンや、孤立ホールに繋がる配線パターンでレジストの寸法変動、あるいは、溶解不良が発生するという問題がある。
本発明は、このような従来の問題点を解決するためになされた。すなわち、本発明の目的は、ホール層形成後のパターン形成において、パターン寸法の変動、およびレジストパターンの溶解不良を低減することにある。
本発明は前記課題を解決するために、以下の手段を採用した。すなわち、本発明は、半導体装置の基板にホールが加工された後に実行される露光方法であり、露光によってマスク上のパターンを前記ホールの上層に転写し、配線溝を形成する露光工程を有し、前記ホール直上の配線溝または前記ホール近傍の配線溝を露光する露光量が、前記ホール直上から離間した位置の配線溝を露光する露光量よりも多い露光方法である。
本発明によれば、下層にホールがある場合のパターン形成において、そのホール密度に応じて露光量を制御することによって、ホール密度に起因したパターンの変動およびレジ
ストパターンの溶解不良を抑制できる。
本発明によれば、ホール層形成後のパターン形成において、パターン寸法の変動、およびレジストパターンの溶解不良を低減することができる。
以下、図面を参照して本発明を実施するための最良の形態(以下、実施形態という)に係る露光用マスクおよびそのマスクを用いた半導体装置の製造方法について説明する。以下の実施形態の構成は例示であり、本発明は実施形態の構成に限定されない。
<発明の骨子>
従来方法でダマシン構造による配線構造を形成する一連のプロセスを図3A−3Jを用いて以下に説明する。
(1)半導体基板101上にエッチングストッパ121を挟むようにポーラスシリカ膜でできた層間膜102を500nm形成する。なお、層間膜102は、無機シロキサンまたは有機シロキサンであってもよい。
次に、層間膜102の上にエッチング時にマスクとなる薄膜(一般的にハードマスクと称する。ここでは、マスク薄膜と呼ぶ。)103をシリコン窒化膜で150nm形成する(図3A)。なお、ハードマスク層であるマスク薄膜103は、少なくともSiO、SiC、SiOC、SiOCNまたはSiN膜で形成すればよい。
(2)ノボラック樹脂等からなる有機系の反射防止膜104を80nmと有機系のポジ型化学増幅型のレジスト105を250nm塗布する(図3B)。
(3)ホールパターンを露光・現像し、レジストパターン106が形成される(図3C)。図3Cは、図2Bのホールパターン8上を通る線分A0−A1を半導体基板に投影した位置において基板に垂直な方向に切断し、図2Bの矢印方向に対応する方向から見た断面を表している。
(4)レジストパターン106をマスクにして、フルオロカーボンのエッチングガス(図示せず)を用いて反射防止膜104をエッチングする(図3D)。
(5)さらに、フルオロカーボンに酸素を添加したエッチングガス(図示せず)を用いてマスク薄膜103をエッチングする(図3E)。
(6)窒素あるいはアルゴンを用いたアッシングによりレジスト105および反射防止膜104を除去する(図3F)。
(7)こうしてマスク薄膜103に形成されたパターンをマスクとして、フルオロカーボンに酸素、一酸化炭素を添加したエッチングガス(図示せず)を用いて層間膜102をエッチングする(図3G)。エッチングストッパ121までエッチングし、ホール層107が形成される。
(8)ノボラック樹脂等からなる有機系の反射防止膜104を80nmと有機系のポジ型化学増幅型のレジスト105を250nm塗布する(図3H)。
(9)配線パターン(図示せず)を露光・現像してレジストパターン109を形成する。しかし、その際に本来溶解すべきレジスト105のうち、レジストパターン109の部分が残存して、開口不良110が生じることがある(図3I参照)。この開口不良110はレジストポイゾニングと呼ばれ、これが発生するとエッチングで所望の配線パターンが形成できなくなる。レジストポイゾニングが生じると、半導体装置の歩留まり低下や信頼性低下を生じるという問題がある。
レジストポイゾニングを防ぐための手段として、反射防止膜(バークともいう)の下部に埋め込み層を塗布することが行われているが、この方法では工程数が増加するため、プロセスの複雑化やコスト増を生じるといった問題点がある。あるいは、半導体基板上の孤
立したパターン(ビア)近傍にダミーパターンを形成する方法が知られている。しかし、この方法では、個々の半導体基板上に実際にダミーパターンを生成する必要があり、かつ、密に配列したパターンで発生するレジストポゾニングを防ぐことができない。
上記問題点の解決に当たり、レジスポイゾニングの発生メカニズムを図3Jを参照して説明する。配線パターン(図示せず)を露光すると、レジスト105において光が当たった部分に潜像111ができる。レジスト105は化学増幅型であるため、潜像111のところに酸発生剤によりプロトン(H+)(図示せず)が発生している。ついで該レジスト105を加熱すると、プロトン(H+)がレジスト中に含まれる溶解抑止剤を分解する。これにより、レジスト105がアルカリ性の現像液に可溶となり、パターンが形成される。
ところが、層間絶縁膜102にポーラスシリカ等が使用されていると、そのポーラス部分のホール層(107)をエッチングした際のガスあるいは反応生成物が塩基性物質(図示せず。例えばアミン系の物質である)として取り込まれていることがある。その塩基性物質は滲出してプロトン(H+)と反応して、プロトン(H+)を消失させる。そのため、潜像111にあるプロトン(H+)の存在量が減少し、レジストの溶解が不十分となる。その結果、図3Iに示した開口不良110が発生する。
また、エッチング用のマスク薄膜103として使用している窒化膜をエッチングした際は、窒化膜中のNの存在により、塩基性の反応生成物が窒化膜中および窒化膜に近い層に多量に発生して残存する。このため、その塩基性の反応生成物がH+と反応して、開口不良110を引き起こす。また、エッチングストッパ121にNが含まれていた場合も、開口不良110を引き起こす。
密に配列したパターンの外周部や孤立パターンでは、塩基性物質が集まりやすいので、密に配列したパターンの外周部や孤立パターンで特に開口不良が発生しやすい。図3H−図3Iでは、レジスト105の下に反射防止膜104が形成されている。しかし、反射防止膜104もレジスト105同様に有機膜であるため、発生した塩基性物質を容易に通過させる。
本実施形態では、開口不良の発生しやすいパターン部でのプロトン(H+)発生量を増加させ、塩基性物質が発生してもレジストポイゾニングを防ぎ、開口不良の発生を抑止する露光工程を説明する。
プロトン(H+)の発生量は光量および露光後の過熱に依存する。そこで、開口不良の発生しやすいパターン部の光量を増やすためにフォトリソグラフィ工程で使用するマスクパターンに対し、OPC以外の補正を加える。あるいは、露光後に局所的に加熱してもよい。なお、露光後に特定部分を局所的に加熱すると、プロトン(H+)の発生について実効的には、その部分の露光量を多くしたのと同様の効果がある。
<ホールが密に配列した場合の実施例>
本発明にしたがい、デュアルダマシン構造を作製する工程を以下に示す。
露光装置として開口率;0.80のレンズを有したArFエキシマレーザ(波長;193nm)を光源とする、縮小率1/4倍(マスクパターン寸法:転写した結像面上パターン寸法=4:1)の縮小投影系を使用した。ここでは、100nmサイズのパターン形成結果を例示する。本実施形態で提示する寸法は結像面上パターン寸法である。また、波長は、193nmに限定されるものではない。例えば、波長が250nmよりも短い紫外線による露光において、本実施形態の工程を適用できる。
図4に、ホールマスク9の構成を示す。図5に配線マスク10の構成を示す。図6に、デュアルダマシン構造の例を示す。ここでは、図4のホールマスク9および図5の配線マスク10を用いて図6のデュアルダマシン構造を作製する実施例を示す。
なお、図4、図5では、理解の容易のため、前述したOPCによるマスクパターンサイズの変更分は、省略して表示されている。図6は図4の線分B0−B1および図5の線分C0−C1が半導体基板に投影された位置で、基板に垂直な方向に切断し、矢印方向に対応する方向から見た断面図である。線分B0−B1と線分C0−C1は重なり合う関係にある。
図6において、112は半導体基板、113は層間膜、114はホールマスク9を用いて転写・エッチングして形成されたホール層、115は反射防止膜、116はレジスト、117は該配線マスク10を転写して形成された配線パターン、118はレジストポイゾニングで発生した開口不良を示す。なお、エッチングマスクおよびエッチングストッパの図示は、省略されている。
すでに、従来例で示したように、従来のホールマスク9、従来の配線マスク10を使用してデュアルダマシン構造を形成すると、図6の配線パターン117部分のうち、ホール層114が下部に存在する領域に開口不良118が発生している。そこで、図5に示された配線マスク10に対し、本発明にしたがい配線マスクとして以下のように改良したマスクを使用し、開口不良118の発生を防ぐ。
図7は、本実施例で使用する改良マスクの例である。図7の改良マスクでは、ホールが存在する部分の配線パターンサイズを大きくして、露光量が補正されている(符号11の配線パターン参照)。なお、ホールが存在しない部分の配線パターンは、符号11Aで示されている。このように、図7のマスクでは、ホール直上の配線パターン11または前記ホール近傍の配線パターンを露光する露光量が、前記ホール直上から離間した位置の配線パターン11Aを露光する露光量よりも多い。
このような補正は、OPCによって寸法を変更する前のパターンに適用してもよい。また、図7の補正は、OPCによって寸法を変更した後のパターンに適用してもよい。サイズの変更量は所望の転写パターン寸法の1〜30%が望ましい。
図8に示すように、ホールが密に配列している場合は、ホール配列の外側部分に位置する配線パターンのサイズのみ変更(露光量を増加)してもよい(符号12の配線パターン参照)。なお、ホールが存在しない部分の配線パターンは、符号12Aで示されている。また、ホール配列の内側部分に位置する配線パターンは、符号12Bで示されている。このように、ホール配列の外側部分の配線パターン12は、配線パターン12Aおよび12Bよりも太く形成されている。
図9に示すように、配線の片側下層のみにホールがある場合は、サイズの変更箇所を限定してもよい(符号13の配線パターン参照)。すなわち、配線パターン13のうち、ホールが存在する部分(配線13の左側部分)が太く形成され、ホールが存在しない部分(配線13の右側部分)が細く形成されている。
図10では、ホールが存在する部分の配線の近傍、離間した位置にレジストが解像しない程度の補助パターン14(開口)が形成されている。また、補助パターンの形状は、多角形、例えば、矩形でよい。図10の例では、補助パターンは、配線パターンに略平行する配線パターンよりも幅の狭い細線である。該補助パターンサイズ(線幅)はウェー上で70nm以下が望ましい。図10のマスクでは、このような補助パターン14によって、
ホールが存在する部分近傍を露光する際の露光量を増加させている。
図11では、ホールが存在しない部分の配線の内部にレジストが解像しない程度の寸法の補助パターン15が形成されている。補助パターンサイズは半導体基板上で70nm以下が望ましい。また、補助パターンの形状は、多角形、例えば、矩形でよい。このような補助パターン15によって、ホールが存在しない部分近傍を露光する際の露光量を減少させている。
図12では、ホールが存在しない部分の配線パターン近傍の遮光部16の透過率T16とホールが存在する部分の配線パターン近傍の遮光部17の透過率T17を変えている。したがって、図12のマスクは、配線パターンである透過部と、2種類の透過率の遮光部とを含み、トリトーンマスクを形成している。
透過率T16は4〜10%が望ましく、透過率T17は6〜12%が望ましい。透過率T16は0%とし、透過率T17は4〜12%としてももちろん構わない。
図15では、ホール直上部201の紫外線透過率T201が他の箇所の紫外線透過率T200よりも高く設定されている。例えば、ホール直上部201の紫外線透過率T201は、100%、一方、他の箇所の紫外線透過率T200は、90−99%が望ましい。したがって、図15のマスクは、2種類の透過率の配線パターン(透過部)と、遮光部とを含み、トリトーンマスクを形成している。
図16は、ホール直上部の露光量を増やすためのパターン202を有するマスクを用いて、2重露光する際のマスクを示している。2重露光工程では、配線パターンを露光する被転写パターンを有する第1のマスクと、少なくともホール直上部分の配線溝を露光するパターン近傍に、露光した際に前記基板上に転写パターンが形成されない寸法の開口を有する第2のマスクを使用する。図16は、その第2のマスクの例である。パターン202の寸法は、所望のホールの寸法の50%以下が望ましい。パターン202の形状は、矩形等の多角形である。
なお、第1マスク、あるいは、第2のマスクとして、100%遮光するパターン(例えば、クロム層)と、透過部とを有するマスクに代えて、100パーセント未満の遮光特性を有するハーフトーンマスクを使用してもよい。
図7−図12に示された配線マスクを使用することで、図13に示すように、開口不良のない、配線パターン119を転写・形成することができた。図13は図7−図12の線分D0−D1が投影される位置で半導体基板を表面方向から下層方向に切断し、矢印に対応する方向から見た断面図である。図13では、半導体基板112上に、エッチングストッパ121と、エッチングストッパ121に至るまでホールが貫通された低誘電率の層間膜113(例えば、ポーラスシリカ)と、ホールを形成するためのハードマスク層であるマスク薄膜103と、反射防止膜115上に形成されたレジストの配線パターン119が示されている。
ついで、エッチングを行い、Cu等の金属(ハッチング部分120)を埋め込んで、デュアルダマシン構造を形成することができた(図14)。図14の構造では、デュアルダマシン構造120中のホールは、図3G−3Jと同様、ポーラスシリカ等の低誘電率膜である層間膜と、少なくとも、ハードマスク、エッチングストッパ層を貫通する。
以上のような本実施形態のハードマスク層(マスク薄膜103)は、少なくともSiO、SiC、SiOC、SiOCNまたはSiN膜で形成すればよい。また、層間膜113
は、無機シロキサンまたは有機シロキサンであってもよい。
もちろん上記方法を2つ以上組み合わせて使用しても構わない。すなわち、
(1)図7に示したホールが存在する部分の配線パターンサイズを大きくしたマスクパターン、
(2)図8に示したホール配列の外側部分に位置する配線パターンのサイズのみ変更したマスクパターン、
(3)図9に示したようなサイズの変更箇所を配線の片側(ホール存在箇所)に限定したマスクパターン、
(4)図10に示したような解像しない程度の補助パターン14が形成されているマスクパターン、
(5)図11に示したようなホールが存在しない配線の内部にレジストが解像しない程度の補助パターン15が形成されたマスクパターン、
(6)図12に示したようなホールが存在しない部分の配線パターン近傍の遮光部16の透過率T16とホールが存在する部分の配線パターン近傍の遮光部17の透過率T17を変えたマスクパターン、
(7)図16に示すような2重露光用のマスクパターン、のうち2以上のパターンの組合せ、あるいは、2重露光を適用してパターンを形成すればよい。このようにして、ホールから離間した位置での配線パターンの露光量に比べて、ホール直上またはその近傍の配線パターンの露光量を相対的に増加させることができる。したがって、ホールの形成時に下地に取り込まれた塩基性物質によって化学増幅型レジスト中のプロトン(H+)が消失される現象を抑制でき、パターンサイズの変動、あるいは、パターンの開口不良を低減できる。
以上の工程において、マスクとして、100%遮光するパターン(例えば、クロム層)と、透過部とを有するマスクに代えて、100パーセント未満の遮光特性を有するハーフトーンマスクを使用してもよい。
<孤立ホールの場合の実施例>
図17−27に孤立ホールが存在する場合に、本発明を適用した実施例を示す。図17は、ホール層を露光するマスク9を示している。マスク9は、ホールパターンの箇所以外は、遮光層(ハッチング箇所、例えば、クロムである)で被覆されている。図18は、図17のマスク9によって形成されるホール層の上層に形成されるべき配線層を露光するマスク10を示している。マスク10は、配線パターンの箇所以外は、遮光層(ハッチング箇所、例えば、クロムである)で被覆されている。
図19は、孤立パターンの場合のレジストポイゾニングの例を示している。図19は、マスク9およびマスク10によってパターン形成した半導体基板の断面図である。この断面図は、図17の線分E0−E1および図18の線分F0−F1が半導体基板に投影された位置で切った断面である。図4、5の場合と同様、線分E0−E1と線分F0−F1は重なり合う関係にある。
図19では、マスク9で露光、現像およびエッチングによって、層間膜114にホール114Aを形成した後、反射防止膜115およびポジ型化学増幅型のレジスト116を塗付し、マスク10による露光、および現像した状態を示している。図17に示したようなマスクによって、孤立のホールパターンが半導体基板上に形成されている場合、その上層のポジ型化学増幅型のレジスト116を現像すると、ホール114Aの直上で本来溶解すべきレジストのうちレジスト116の部分118が残存する場合がある。
図20は、本実施例で使用する改良マスクの例である。図20の改良マスクでは、孤立
ホールが存在する部分(ホール直上付近)の配線パターンサイズを大きくして、露光量が補正されている(符号31の配線箇パターン参照)。すなわち、図20の改良マスクでは、孤立ホール直上を露光する部分に、配線パターンよりも線幅の大きい矩形パターンが付加され、突起形状が付加されている。これにより、孤立ホール直上の配線パターン露光時の露光量が増加する。
このような補正は、OPCによって寸法を変更する前のパターンに適用してもよい。また、図20の補正は、OPCによって寸法を変更した後のパターンに適用してもよい。
図21では、孤立ホールが存在する部分の配線の近傍にレジストが解像しない程度の補助パターン34が形成され、露光量が補正されている。
図22では、孤立ホールが存在しない部分(孤立ホール直上以外の部分)の配線の内部にレジストが解像しない程度の補助パターン35が形成され、露光量が低減されている。一方、孤立ホールが存在する部分の配線内部には、補助パターン35が形成されていない。このような構成によって、配線パターンのうち、ホールが存在しない部分の露光量よりも、ホールが存在する部分の露光量を相対的に増加させ、露光量が調整されている。
図23では、孤立ホールが存在しない部分の配線パターン近傍の遮光部36の透過率T36と孤立ホールが存在する部分の配線パターン近傍の遮光部37の透過率T37を変えている。孤立ホールが存在しない部分の透過率T36よりも、孤立ホールが存在する部分の透過率T37を高くすることで、露光量が調整される。したがって、図23のマスクは、配線パターンである透過部と、2種類の透過率の遮光部とを含み、トリトーンマスクを形成している。
図24では、孤立ホール直上部231の紫外線透過率T231が他の箇所の紫外線透過率T200よりも高く設定されている。したがって、図24のマスクは、2種類の透過率の配線パターン(透過部)と、遮光部とを含み、トリトーンマスクを形成している。
図25は、孤立ホール直上部の露光量を増やすためのパターン202を有するマスクを用いて、2重露光する際のマスクを示している。パターン202の形状は、矩形等の多角形である。
なお、本実施例において、エッチングマスクやエッチングストッパは必ずしも必要ではなく、プロセス条件の最適化により、それらを省いたデュアルダマシン構造に適用しても構わない。
図26に、図20から図25のマスクによって得られるポジ型化学増幅型のレジスト116のパターン形状を示す。図20−図25に示された配線マスクを使用することで、図26に示すように、開口不良のない、配線パターンを転写することができた。図26は、図20−図25の線分D0−D1が投影される位置で半導体基板を表面方向から下層方向に切断し、図20−図25の矢印に対応する方向から見た断面図である。
ついで、エッチングを行い、Cu等の金属(ハッチング部分120)を埋め込んで、デュアルダマシン構造を形成することができた(図27)。本実施形態のデュアルダマシン構造120中のホールは、図13と同様、ポーラスシリカ等の低誘電率膜である層間膜と、少なくとも、ハードマスク層、エッチングストッパとを貫通する(図27では、ハードマスク層およびエッチングストッパは省略されている)。
なお、図13、14の場合と同様、ハードマスク層は、少なくともSiO、SiC、S
iOC、SiOCNまたはSiN膜で形成すればよい。また、層間膜は、無機シロキサンまたは有機シロキサンであってもよい。
もちろん上記方法を2つ以上組み合わせて使用しても構わない。
<加熱によるプロトン発生量の制御>
上記手順では、ホール直上またはその近傍の配線部分の露光量が大きくなるように、マスク上の配線のパターンサイズ(線幅)を変更した。また、ホール配列の外側部分上層の配線部分の露光量が大きくなるように、マスク上の配線のパターンサイズ(線幅)を変更した。また、配線パターンの近傍に補助パターンを設けて露光量を制御した。あるいは、マスクの配線部分、あるいはマスクの遮光部分の光の透過量を変更した。
このような手順に代えて、あるいは、このような露光量の制御とともに、配線マスク10による露光後に、例えば、レーザー等を用いて、ホール層114の存在する領域(図5の18の点線で囲まれた領域)のみを加熱してもよい。化学増幅型のレジストでは、加熱することによって、露光量を増加した場合と同様の効果があるからである。
図28に、加熱によってプロトンの発生を制御する半導体装置製造プロセスを示す。このプロセスでは、ホール層の上層に配線パターンを形成する際のプロトン(H+)の生成量を制御する。したがって、この工程では、まず、半導体基板上にホールパターンが形成されることを前提とする(S1)。
次に、半導体基板上に、化学増幅型のレジストを塗付し、マスク10(図5参照)によって配線層を露光する(S2)。
次に、半導体基板を加熱する(S3)。半導体基板の加熱は、化学増幅型のレジストにおける露光量の不足を補うためである。この加熱は、例えば、半導体基板をホットプレート上に搭載して加熱することによる。加熱は、例えば摂氏100度から200度の範囲で、半導体基板全体を加熱する。
次に、ホール直上部分およびその近傍を放射線によって加熱する。加熱は、波長が例えば250nmより長い光による。このような加熱では、ホール直上部分およびその近傍にだけ放射線を投影するマスクを使用すればよい。
次に、レジストを現像する(S5)。そして、レジストをマスクとしてエッチングし半導体基板上の配線層パターンを形成する(S6)。さらに、レジストを除去する(S7)。さらに、ホールおよび配線層に金属(Cu等)を埋め込むことによってデュアルダマシン構造が形成される。この場合に、デュアルダマシン構造中のホールは、図13と同様、ポーラスシリカ等の低誘電率膜である層間膜と、少なくとも、ハードマスク層、エッチングストッパとを貫通する。
また、図13、図14の場合と同様、ハードマスク層は、少なくともSiO、SiC、SiOC、SiOCNまたはSiN膜で形成すればよい。また、層間膜は、無機シロキサンまたは有機シロキサンであってもよい。
なお、以上の工程を図7−27に示した工程と組み合わせてもよい。
<放射線による溶解抑止剤の分解>
図28の半導体装置製造プロセスでは、露光後に、例えば、レーザー等を用いて、ホール層114の存在する領域(図5の18)のみを加熱した。このような手順に代えて、あ
るいは、上記局所的な加熱とともに、ホールパターン形成後に、化学増幅型レジスト中のプロトン(H+)の発生を抑止する物質(以下、溶解阻止物質(例えば、アミン等の塩基性物質)を放射線照射により分解してもよい。すなわち、レジスト塗付前に、事前に化学増幅型レジストの溶解抑止物質を除去しておけばよい。
図29に、放射線による溶解抑止物質の分解工程を含む半導体装置の製造プロセスを示す。この製造プロセスでは、半導体基板上にホールパターンの形成後(S1)、基板に放射線を照射する(S1A)。放射線は、波長が250nmより短い紫外線であることが望ましい。アミン系の塩基性物質を分解するためである。このような紫外線は、例えば、水素を含むプラズマから放出させることができる。
その後の工程は、通常の半導体プロセスと同様である。すなわち、化学増幅型レジストの塗付・露光(S2)、基板全体の加熱(S3)、レジストの現像(S5)、エッチング(S6)、およびレジストの除去(S7)が実施される。さらに、ホールおよび配線層に金属(Cu等)を埋め込むことによってデュアルダマシン構造が形成される。この場合に、デュアルダマシン構造中のホールは、図13、あるいは、図28で示した工程と同様、ポーラスシリカ等の低誘電率膜である層間膜と、少なくとも、ハードマスク層、エッチングストッパとを貫通する。
また、図13、図14の場合と同様、ハードマスク層は、少なくともSiO、SiC、SiOC、SiOCNまたはSiN膜で形成すればよい。また、層間膜は、無機シロキサンまたは有機シロキサンであってもよい。
また、このような工程と上記図7−28のような露光量制御あるいは加熱制御とを組み合わせて実施してもよい。
<実施形態の効果>
以上の通り、本発明に係る実施形態による工程では、ホールから離間した位置での配線パターンの露光量に比べて、ホール直上またはその近傍の配線パターンの露光量を相対的に増加させることができる。また、ホールから離間した位置での配線パターンに比べて、ホール直上またはその近傍の配線パターンを加熱させることができる。また、ホールの形成時に下地に取り込まれた塩基性物質を分解できる。その結果、本工程では、レジストポゾニングを防ぎ、開口不良のないデュアルダマシン構造を精度よく形成できる。
孤立ホールのみならず、密ホール配列の周辺部分で、レジストポイゾニング対策がされる。本実施形態の手順では、解像限界以下の補助パターンを利用するため、実際には、ダミーホールが形成されない。
また、本実施形態の工程およびその工程で使用するマスクは、従来のOPCの設計手法を適用し、その後、ポゾニング対策の補正パターンのみを加えればよいため、新たな設計の負担は小さい。2重露光を適用する場合には、マスクを1枚余分に準備する必要があるが、単純なパターンで対応することができる。
<その他>
本実施形態は、以下の態様(付記と呼ぶ)を開示する。これらの態様の2以上を組み合わせて構成してもよい。
(付記1)
半導体装置の基板にホールが加工された後に実行される露光方法であり、
露光によってマスク上のパターンを前記ホールの上層に転写し、配線溝を形成する露光工程を有し、
前記ホール直上の配線溝または前記ホール近傍の配線溝を露光する露光量が、前記ホール直上から離間した位置の配線溝を露光する露光量よりも多い露光方法。(1)
(付記2)
付記1において、前記露光工程では、前記配線溝を露光するパターンに露光量を調整する補助パターンが付加されたマスクが用いられる露光方法。(2)
(付記3)
付記2において、前記ホールの密度が所定値より高い密ホール領域上層の配線溝に付加される補助パターンは、露光した際に前記基板上に転写パターンが形成されない寸法の、前記配線溝を露光するパターンと分離された開口である露光方法。(3)
(付記4)
付記2において、前記ホールの密度が所定値より高い密ホール領域上層の配線溝に付加される補助パターンは、露光した際に前記基板上に転写パターンが形成されない寸法の、前記配線溝を露光するパターンからの突起である露光方法。(4)
(付記5)
付記2から4のいずれかにおいて、前記ホールの密度が所定値より低い粗ホール領域で前記ホール直上の配線溝に付加される補助パターンは、露光した際に前記基板上に転写パターンが形成されない寸法で、かつ、前記配線溝を露光するパターンと分離された開口、または、露光した際に前記基板上に転写パターンが形成されない寸法で、かつ、前記配線溝を露光するパターンからの突起である、露光方法。(5)
(付記6)
付記2において、前記補助パターンは、前記配線溝を露光するパターン内部に設けられ、露光した際に前記基板上に転写パターンが形成されない寸法の多角形である露光方法。(6)
(付記7)
付記1から6のいずれかにおいて、前記露光工程で用いられるマスクはハーフトーンマスクである露光方法。
(付記8)
付記1において、前記露光工程では、前記ホール直上の配線溝またはその近傍の配線溝を露光する被転写パターン部分の光透過率が、前記ホール直上から離間した位置の配線溝を露光する被転写パターン部分の光透過率よりも高い露光用マスクが用いられる露光方法。(7)
(付記9)
付記8において、前記露光工程で用いられるマスクはトリトーンマスクである露光方法。
(付記10)
付記1において、前記露光工程では、一の露光箇所を2度繰り返して露光する2重露光工程を含む露光方法。(8)
(付記11)
付記10において、前記2重露光工程は、前記配線溝を露光する被転写パターンを有する第1のマスクと、少なくともホール直上部分の配線溝を露光するパターン近傍に、露光した際に前記基板上に転写パターンが形成されない寸法の開口を有する第2のマスクを用いる露光方法。
(付記12)
付記11において、露光マスクはハーフトーンマスクである露光方法。
(付記13)
付記1において、前記ホール直上の配線溝またはその近傍領域の露光量は、その配線溝または近傍領域下層のビアホールの密度に応じて設定され、前記密度が第1の密度より高い領域での露光量は、前記密度が前記第1の密度よりも低い領域での露光量よりも大きい露光方法。(9)
(付記14)
付記1において、露光用光源波長は約250nmよりも短い露光方法。
(付記15)
付記1において、前記ホールは、空孔の存在によって誘電率が低下した絶縁膜と、少なくともハードマスク層、エッチングストッパ層とを貫通する露光方法。
(付記16)
付記15において、絶縁膜は、無機シロキサンまたは有機シロキサンである露光方法。(付記17)
付記15において、ハードマスク層は少なくともSiO、SiC、SiOC、SiOCNまたはSiN膜からなる露光方法。
(付記18)
半導体装置の基板にホールが加工された後に化学増幅型のレジストに配線溝のパターンを露光する工程と、
摂氏100度から200度の温度で前記基板を加熱する工程と、
前記ホールの直上で配線溝のパターンが露光されたレジスト部分をさらに加熱する工程を有するレジストパターンの形成方法。(10)
(付記19)
付記18において、少なくともホール直上部分の加熱は、波長が約250nmよりも長い光によるレジストパターンの形成方法。
(付記20)
ホールを含む半導体装置の製造方法であり、
半導体装置の基板にホールが加工された後に放射線を照射する工程と、
放射線の照射後に化学増幅型のレジストを塗付する工程とを有する半導体装置の製造方法。
(付記21)
付記20において、放射線は、波長が約250nmよりも短い紫外線である半導体装置の製造方法。
(付記22)
付記20において、放射線は、水素を含むガスのプラズマから放射される半導体装置の製造方法。
(付記23)
ホールを含む半導体装置の製造方法であり、
半導体装置の基板にホールが加工された後に前記基板を摂氏100度から400度にて加熱するとともに放射線を照射する工程と、
前記加熱および放射線の照射後に化学増幅型のレジストを塗付する工程とを有する半導体装置の製造方法。
(付記24)
付記20または23において、前記ホールは、空孔の存在によって誘電率が低下した絶縁膜と、少なくともハードマスク層、エッチングストッパ層とを貫通している半導体装置の製造方法。
(付記25)
付記24において、絶縁膜は、無機シロキサンまたは有機シロキサンである半導体装置の製造方法。
(付記26)
付記24において、ハードマスク層は少なくともSiO、SiC、SiOC、SiOCNまたはSiN膜からなる半導体装置の製造方法。
元図となるマスクパターンを示す図である。 図1Aのマスクパターンを転写したパターンを示す図である。 従来の方法で補正したマスクパターンを示す図である。 図2Aのマスクパターンを転写したパターンを示す図である。 従来のデュアルダマシン構造の作製方法を示した図(その1)である。 従来のデュアルダマシン構造の作製方法を示した図(その1)である。 従来のデュアルダマシン構造の作製方法を示した図(その1)である。 従来のデュアルダマシン構造の作製方法を示した図(その1)である。 従来のデュアルダマシン構造の作製方法を示した図(その1)である。 従来のデュアルダマシン構造の作製方法を示した図(その1)である。 従来のデュアルダマシン構造の作製方法を示した図(その1)である。 従来のデュアルダマシン構造の作製方法を示した図(その1)である。 従来のデュアルダマシン構造の作製方法を示した図(その1)である。 従来のデュアルダマシン構造の作製方法を示した図(その1)である。 実施例で使用するホールのマスクパターンを示した図である。 実施例で使用する従来の配線のマスクパターンを示した図である。 図4、図5のマスクパターンを使用して得られるデュアルダマシン構造を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 は本発明による配線のマスクパターン補正方法を示した図である。 は本発明による配線のマスクパターン補正方法を示した図である。 は本発明によるマスクパターンを使用して得られる配線パターンを示した図である。 本発明によるマスクパターンを使用して得られるデュアルダマシン構造を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 実施例で使用するホールのマスクパターンを示した図である。 実施例で使用する従来の配線のマスクパターンを示した図である。 図17、図18のマスクパターンを使用して得られるデュアルダマシン構造を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 本発明による配線のマスクパターン補正方法を示した図である。 本発明によるマスクパターンを使用して得られるレジストのパターン形状を示した図である。 は本発明によるマスクパターンを使用して得られるデュアルダマシン構造を示した図である。 加熱によってプロトンの発生を制御する半導体装置製造プロセスを示す図である。 放射線による溶解抑止物質の分解工程を含む半導体装置の製造プロセスを示す図である。
符号の説明
9、10 マスク (マスクパターン)
11、12、13 配線パターン
14、15 補助パターン
101 半導体基板
102 層間膜
103 マスク薄膜(ハードマスク層)
121 エッチングストッパ
116 レジスト
117 配線パターン
118 開口不良
119 配線パターン

Claims (10)

  1. 半導体装置の基板にホールが加工された後に実行される露光方法であり、
    露光によってマスク上のパターンを前記ホールの上層に転写し、配線溝を形成する露光工程を有し、
    前記ホール直上の配線溝または前記ホール近傍の配線溝のレジストパターンを形成する露光量が、前記ホール直上から離間した位置の配線溝のレジストパターンを形成する露光量よりも多い露光方法。
  2. 請求項1において、前記露光工程では、前記配線溝を露光するパターンに露光量を調整する補助パターンが付加されたマスクが用いられる露光方法。
  3. 請求項2において、前記ホールの密度が所定値より高い密ホール領域上層の配線溝に付加される補助パターンは、露光した際に前記基板上に転写パターンが形成されない寸法の、前記配線溝を露光するパターンと分離された開口である露光方法。
  4. 請求項2において、前記ホールの密度が所定値より高い密ホール領域上層の配線溝に付加される補助パターンは、露光した際に前記基板上に転写パターンが形成されない寸法の、前記配線溝を露光するパターンからの突起である露光方法。
  5. 請求項2から4のいずれかにおいて、前記ホールの密度が所定値より低い粗ホール領域で前記ホール直上の配線溝に付加される補助パターンは、露光した際に前記基板上に転写パターンが形成されない寸法で、かつ、前記配線溝を露光するパターンと分離された開口、または、露光した際に前記基板上に転写パターンが形成されない寸法で、かつ、前記配線溝を露光するパターンからの突起である、露光方法。
  6. 請求項2において、前記補助パターンは、前記配線溝を露光するパターン内部に設けられ、露光した際に前記基板上に転写パターンが形成されない寸法の多角形である露光方法。
  7. 請求項1において、前記露光工程では、前記ホール直上の配線溝またはその近傍の配線
    溝を露光する被転写パターン部分の光透過率が、前記ホール直上から離間した位置の配線溝を露光する被転写パターン部分の光透過率よりも高い露光用マスクが用いられる露光方法。
  8. 請求項1において、前記露光工程では、一の露光箇所を2度繰り返して露光する2重露光工程を含む露光方法。
  9. 請求項1において、前記ホール直上の配線溝またはその近傍領域の露光量は、その配線溝または近傍領域下層のビアホールの密度に応じて設定され、前記密度が第1の密度より高い領域での露光量は、前記密度が前記第1の密度よりも低い領域での露光量よりも大きい露光方法。
  10. 半導体装置の基板にホールが加工された後に化学増幅型のレジストにレジストパターンを形成する露光量で配線溝のパターンを露光する工程と、
    摂氏100度から200度の温度で前記基板を加熱する工程と、
    前記ホールの直上で配線溝のレジストパターンを形成する露光量で露光されたレジスト部分をさらに加熱する工程を有するレジストパターンの形成方法。
JP2006164137A 2006-06-14 2006-06-14 露光方法 Expired - Fee Related JP4825060B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2006164137A JP4825060B2 (ja) 2006-06-14 2006-06-14 露光方法
US11/595,917 US7678693B2 (en) 2006-06-14 2006-11-13 Exposure method for upper layer of hole of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006164137A JP4825060B2 (ja) 2006-06-14 2006-06-14 露光方法

Publications (3)

Publication Number Publication Date
JP2007335543A JP2007335543A (ja) 2007-12-27
JP2007335543A5 JP2007335543A5 (ja) 2009-04-23
JP4825060B2 true JP4825060B2 (ja) 2011-11-30

Family

ID=38934742

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006164137A Expired - Fee Related JP4825060B2 (ja) 2006-06-14 2006-06-14 露光方法

Country Status (2)

Country Link
US (1) US7678693B2 (ja)
JP (1) JP4825060B2 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7099200B2 (ja) * 2018-09-04 2022-07-12 凸版印刷株式会社 フォトマスク、及びそれを用いたカラーフィルタの製造方法
CN110707044B (zh) * 2018-09-27 2022-03-29 联华电子股份有限公司 形成半导体装置布局的方法
KR20200102620A (ko) * 2019-02-21 2020-09-01 삼성디스플레이 주식회사 감광성 수지 조성물, 이를 이용한 표시 장치 및 표시 장치의 제조 방법
KR20220019187A (ko) * 2020-08-07 2022-02-16 삼성디스플레이 주식회사 방열 부재 및 이를 포함하는 표시 장치

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100190762B1 (ko) * 1995-03-24 1999-06-01 김영환 사입사용 노광마스크
JP2002083758A (ja) * 2000-09-07 2002-03-22 Pioneer Electronic Corp 露光装置
US6686300B2 (en) * 2000-12-27 2004-02-03 Texas Instruments Incorporated Sub-critical-dimension integrated circuit features
JP2002217092A (ja) * 2001-01-22 2002-08-02 Nec Corp レジストパターンの形成方法および半導体装置の製造方法
US6569580B2 (en) * 2001-03-13 2003-05-27 Diverging Technologies, Inc. Binary and phase-shift photomasks
JP2002280285A (ja) * 2001-03-16 2002-09-27 Murata Mfg Co Ltd レジストパターンの形成方法
US6806021B2 (en) * 2001-04-02 2004-10-19 Kabushiki Kaisha Toshiba Method for forming a pattern and method of manufacturing semiconductor device
JP2005064226A (ja) * 2003-08-12 2005-03-10 Renesas Technology Corp 配線構造
US7015133B2 (en) * 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
JP2006126463A (ja) * 2004-10-28 2006-05-18 Fuji Photo Film Co Ltd 露光方法および装置
JP2007134597A (ja) * 2005-11-11 2007-05-31 Fujitsu Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
US7678693B2 (en) 2010-03-16
JP2007335543A (ja) 2007-12-27
US20080032437A1 (en) 2008-02-07

Similar Documents

Publication Publication Date Title
US7759025B2 (en) Half-tone type phase-shifting mask and method for manufacturing the same
TWI536426B (zh) 半導體積體電路之製造方法
US20050250330A1 (en) Method utilizing compensation features in semiconductor processing
US7659040B2 (en) Exposure mask and method of manufacturing the same, and semiconductor device manufacturing method
US20100009273A1 (en) Mask and method for manufacturing the same
US20090075181A1 (en) Method for Fabricating Photomask
JP4825060B2 (ja) 露光方法
JP2003255510A (ja) 電子装置の製造方法
JP4641799B2 (ja) 半導体装置の製造方法
JP2009076677A (ja) 半導体装置の製造方法及びフォトマスクの設計方法
US9316901B2 (en) Method for forming patterns
US7838179B2 (en) Method for fabricating photo mask
JP5169575B2 (ja) フォトマスクパターンの作成方法
JP2013232576A (ja) 半導体装置の製造方法および半導体装置
US20090053620A1 (en) Blank Mask and Method for Fabricating Photomask Using the Same
KR20030052956A (ko) 위상 시프트 마스크의 제조 방법, 위상 시프트 마스크 및장치
JP2007123342A (ja) 半導体装置の製造方法。
KR100752180B1 (ko) 반도체 소자의 콘택홀 형성방법
JP2000021978A (ja) フォトマスクおよびパターン形成方法
JP2008098203A (ja) 膜のパターニング方法及び露光用マスク
JP2007193368A (ja) 電子装置の製造方法
KR100755077B1 (ko) 포토 마스크의 패턴 결함 수정 방법
JP2009080381A (ja) フォトマスク、及び電子装置の製造方法
KR100853461B1 (ko) 아르곤플로라이드 광원을 이용한 반도체 소자의 패턴형성방법
KR19990074927A (ko) 계단진 측벽을 가지는 반도체 장치의 포토레지스트 패턴을 형성하는 방법

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090305

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090305

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110810

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110830

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110909

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140916

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees