JP4789323B2 - プログラム可能な処理パラメータを備えたウエハ処理リアクタシステム及びその操作方法 - Google Patents

プログラム可能な処理パラメータを備えたウエハ処理リアクタシステム及びその操作方法 Download PDF

Info

Publication number
JP4789323B2
JP4789323B2 JP2000570391A JP2000570391A JP4789323B2 JP 4789323 B2 JP4789323 B2 JP 4789323B2 JP 2000570391 A JP2000570391 A JP 2000570391A JP 2000570391 A JP2000570391 A JP 2000570391A JP 4789323 B2 JP4789323 B2 JP 4789323B2
Authority
JP
Japan
Prior art keywords
value
controller
parameter
processing
recipe
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000570391A
Other languages
English (en)
Other versions
JP2002525842A (ja
Inventor
ダグラス, ヴィ. プットナム−パイト,
タミ, ジェイ. トレイシー,
カーティス, エム. オタグロ,
ドナルド, ダブリュー. デイヴィッドソン,
リディア, ジェイ. ヤング,
イェ パーク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002525842A publication Critical patent/JP2002525842A/ja
Application granted granted Critical
Publication of JP4789323B2 publication Critical patent/JP4789323B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32096Batch, recipe configuration for flexible batch control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
【発明の簡単な説明】
本発明は、一般に、ウエハ処理リアクタシステムに関し、より具体的には、選択された処理パラメータの傾斜制御(ramping control)を有するリアクタシステム、及び、ウエハ処理にあたってのダイナミック環境の反復可能な制御を与える操作方法に関する。
【0002】
【発明の背景】
半導体製造においては、半導体基板上に膜を堆積するために、ウエハ処理法が使われる。通常は、化学気相堆積(CVD)法が使われており、最近では、小さなデバイス特徴部にわたって膜を堆積するために、プラズマ及び高密度プラズマCVD(HDP−CVD)リアクタ及び方法が使われている。プラズマリアクタは、プラズマエッチングにも使われ得る。例えば、プラズマリアクタ内での処理においては、通常、ウエハをプラズマチャンバの中へ移動し、ウエハを処理シーケンスに晒し、そしてウエハをチャンバの外へ移動することが必要とされる。処理チャンバの条件は、ウエハ運搬中とウエハ処理中で異なる。具体的には、プラズマ、RF電力、ガス流量、及び真空圧力の条件が影響を受ける。全体的なシーケンスが中断されずにウエハ上に望ましい結果が生産されることは、条件の精巧なバランスにより確保されており、こうした条件は、これらのバランスを維持するために制御される。慎重なバランスが必要とされる条件には、処理ガス流量、真空圧力、プラズマRF電力、チャックRF電力、チャッククランピング及びウエハ背面のガス圧力等(一まとめにして、「処理パラメータ」又は「パラメータ」と呼ばれる)が挙げられる。これらの条件は、膜の質、ストレス、組成、エッチング等及び生産性に影響する。これらの条件のバランスがくずれると、プラズマの損失、劣悪な膜質、及び頻繁なウエハ破壊が引き起こされる。
【0003】
HPD−CVD技術は、比較的低温(400℃以下)において、半導体構造内に見られる狭く深いギャップを充填することができる点で有効である。通常、ギャップ幅は0.35μm以下であり、アスペクト比(高さと幅の比)は、2:1よりも大きい。ギャップが充填される間その中央を開けておくように、遅めの速度で膜材料の堆積とスパッタリングを同時に行うことにより、ギャップは、空隙無しに充填される。プラズマ条件、ウエハの取り付け条件及びそのバイアス条件によって影響されるウエハ温度は、こうした堆積及びスパッタリング速度に大きく影響する。
【0004】
通常、ウエハ処理リアクタ及びその処理は、コンピュータシステムの制御下にある。例えば、HDP−CVDリアクタ制御システムにおいては、ユーザーが、リアクタ及びそのサブシステム内の処理パラメータモジュール(例えば、RF及びDC電力モジュール、真空システム部品、ガス質量流量コントローラ等)を用いて、特定の処理パラメータを定義することにより、HDP−CVD処理のステップ又は状態を定義することができる。このようなステップのシーケンスがレシピとみなされる。レシピが実行されると、処理パラメータは、パラメータモジュールを介して、個々のステップごとにそれぞれの設定に従ってオン及びオフにされる。通常、プロセスパラメータは、処理チャンバ内の電気的な条件、ガス、圧力を表し、望ましい膜を生産するためにバランスが必要とされるものである。しかしながら、このようなシステムにおいては、パラメータ値への変化は、レシピステップの間隔間でステップ様に変化されるだけである。図2は、代表的な従来技術のレシピシーケンスであり、Nレシピステップからなるものを例示する。図2は、レシピシーケンス内において、二つのパラメータ、A及びB、に対する値のステップ変化を示す。従来技術においては、ユーザーが、個々の処理パラメータについてそれぞれの設定値で、個々に固定された時間長ステップを考案する。それぞれの設定値を変化させるには、新しいステップが必要とされ、それぞれのステップは、指定された所用時間だけ作動する。換言すれば、レシピステップは、固定された時間間隔のみで実行され、全ての設定値がステップの初めにセットされて次のステップが実行されるまで変わらない。
【0005】
特定のステップにおいては、処理パラメータの値を、レシピ時間ステップにわたって突然にというよりも徐々に変化させること、又は、パラメータの値を、レシピ時間ステップよりも速く且つ徐々に変化させること、又は、レシピ時間ステップとは異なる時間ステップであり、恐らくレシピ時間ステップよりも短い時間ステップ又は長い時間ステップを有する幾つかのパラメータを同時に変化させることが望まれる。
【0006】
現在の市販用レシピソフトウェアでは、レシピ時間ステップと同じか又はそれよりも短い時間ステップにわたって、徐々にパラメータの変化を供給することができない。よって、リアクタ環境の制御を維持し、反復可能な処理結果を確保すべく、数秒よりも短い傾斜時間内にスムーズな移行が要求されるHDP−CVD等の利用に対しては、他の解決策が見つけ出されなければならない。
【0007】
【発明の目的及び概要】
本発明の一般的な目的は、ウエハを処理するためのダイナミック環境の反復制御が達成される、改良されたウエハ処理リアクタシステムを供給することである。
【0008】
また、本発明は、パラメータ値に対する変化を指定された時間間隔にわたって徐々に制御するように適合されたウエハ処理リアクタシステムを供給することを目的とする。
【0009】
さらに、本発明は、リアクタ内の1つ以上のパラメータについて、スムーズで同時的且つ独立した制御を許容する、コントローラと方法を供給し、リアクタの処理特性及びウエハの膜特性の制御を可能にすることを目的とする。
【0010】
またさらに、本発明は、コントローラを含むウエハ処理リアクタであって、処理シーケンス又はレシピにおける全ステップ数が最小化され、ユーザーのために複雑さを減少させたウエハ処理リアクタを供給することを目的とする。
【0011】
さらに、本発明は、最適な膜質を達成させるために、処理パラメータの微妙な調整及び柔軟性を供給する、ウエハ処理リアクタを供給することを目的とする。
【0012】
よって、本発明では、コントローラがレシピステップに応答し、且つリアクタ内の複数の処理パラメータを制御するウエハ処理システムであって、各ステップは所用時間Trecipeを有しており、上記コントローラが、Trecipeよりも短い複数の時間間隔Tにおいて前記処理パラメータの少なくとも1パラメータの値を変えるために制御信号を供給するよう適合しており、それによって、処理パラメータの値に所用時間Trecipeにわたって実質的にスムーズな傾斜を与えることができる、コントローラを備えたシステムを供給する。
【0013】
【好適な実施形態の説明】
本発明をより良く理解するために、図1にウエハ処理システムを示す。この例示的な実施形態においては、ウエハ処理システムは、プラズマリアクタであり、具体的には、高密度プラズマCVDリアクタである。なお、ウエハ処理にあたってダイナミック環境の反復制御が望まれるウエハ処理システムであれば、いかなるタイプのものであっても本発明と一緒に利用され得る。図1において、リアクタは、プラズマチャンバ10及び処理チャンバ11を含んでいる。チャンバ10及びチャンバ11は、スロットルバルブ12aを介してポート12により0−20mTorrの範囲の真空度に排気される。プラズマチャンバ10の周りにはコイル13が配列されており、該コイルは、RF電力により起動されるとチャンバ内のガスをプラズマ状態に励起する。プラズマを発生させるためには、従来技術において知られる種々のコイル配列を使うことができる。プロセスチャンバ11の中に配置された支持体17(チャック又は静電チャックと時には呼ばれる)上には、表面が上方を向くようにして基板16が置かれている。支持体17をバイアスするためのRFバイアス電力は、RF発生器19により伝送ライン21を介して支持体17に印加される。ウエハを支持するためには、従来技術において知られる機械的支持体17又は静電的支持体を使うことができる。支持方法としては、通常、支持体と基板との間に圧縮ガス(普通はヘリウム)を供給し、基板から支持体への熱の移動を可能にする手段が挙げられる。ヘリウムガスは、質量流量コントローラ20及びバルブ21を介して供給される。
【0014】
図1において、ガスは、チャンバ内の幾つかの異なる場所のどこから注入されてもよい。ガスは、ガス入口ライン22によりチャンバの上部から注入されるか、又は、プラズマ源の下方であって基板の上方に配置された環状ガスインジェクタ34により注入されてもよい。質量流量コントローラ25、26及びガス入口ライン内のバルブ25aによって示されるように、又は、質量流量コントローラ31a、31b及びガス入口ライン33bによって示されるように、ガスは、チャンバに入る前に予め混合されていてもよい。或いは、質量流量コントローラ32を参考にして示されているように、ガスは、単一種として配達されてもよい。ここで、質量流量コントローラ32は、ガス入口ライン33aを介して単一ガスを運ぶものである。
【0015】
通常、処理ガスは、ガス入口ライン33a、33bにより処理チャンバ11内へ、及び/又は、ガス入口ライン22を介してプラズマチャンバ10内へ導入される。コイル13は、RF電力が印加されるとガスを解離しイオン化させる。ガスの望ましい流量速度は、それぞれのガスの質量流量コントローラ25、26、31a、31b及び32によって制御される。
【0016】
例示的な実施形態においては、チャンバの周りに配列されたコイル13により、RFエネルギーがRF発生器28からチャンバ10内へ供給される。一般に、RFエネルギーの周波数は、13.56MHzであり、この周波数は、市販用標準である。このような構成においては、第一のガス流によって導入された気体状分子のうちのある確率が解離し、イオン化された原子を含む反応種が形成され、プラズマチャンバ10内でプラズマが起こされる。好適な実施形態においては、高密度プラズマと呼ばれる1011イオン/cm3よりも高いイオン密度が達成される。プラズマ内には、他の種に比べて非常に高いエネルギーを持つ電子が含まれている。高い電子エネルギーにより、堆積又はエッチングに利用される反応種の解離密度が増大する。本明細書においてはHDP−CVDリアクタが記載されているが、本発明が種々のリアクタタイプと共に実践されてもよいことは理解されるべきである。これらのリアクタタイプには、エッチングリアクタ、CVDリアクタ等がある。例えば、堆積種を供給するために、気体状化学物質の第二のガス流が導入される。これらの堆積ガスは、質量流量コントローラ31a、31b、及び/又は32の手段によって、選択された望ましい速度で導入される。これらのガスは、処理チャンバ11に入る前にガス入口ライン33bで混合され、及び/又は、ガス入口33aを介して直接運ばれる。ガスインジェクタ34は、受け取ったガス流を分配するために、処理チャンバの中で基板の付近に置かれている。ガスインジェクタ34は、その周りに均等に置かれた複数の分配穴(図示せず)を含んでいる。この分配穴を通して、基板の表面付近に実質的に均等に処理ガスが分配される。処理ガスは、プラズマチャンバ10から処理チャンバ11へ入ってくるプラズマによって解離され活性化される。気体状化学物質は、この解離した活性状態において、基板16の表面上に層を形成するために反応するので、この層の組成は、気体状化学物質によって決定される。以上説明したように、リアクタは、多数のパラメータを有するダイナミック環境を収容している。ウエハ処理の望ましい結果を創作するためには、これらの全パラメータのバランスとタイミングを調節することが必要である。
【0017】
HDP−CVD技術は、比較的低温において、半導体構造物内に見出される狭く深いギャップを充填することを可能にするが、通常、ギャップは、0.35μm以下であり、2:1よりも大きいアスペクト比(高さと幅の比)を持つ。図2は、ギャップを持つ代表的な構造物を示す。完全にギャップを充填するためには、ギャップの底部が充填されるまで、ギャップの上部の開口部から材料が取り除かれるように保つことが重要である。そのための1つの方法としては、少量の材料を堆積した後過剰分をスパッタ(エッチング)し、そして再び、より多くの材料を堆積してスパッタするということをギャップが充填されるまで繰り返す。リアクタのプラズマ密度に制限(例えば、1010イオン/cm3未満)がある場合には、通常、この交互シーケンスが使われる。
【0018】
高密度プラズマツールの場合には、プラズマ密度が十分に高く(1011イオン/cm3超過)、堆積とスパッタリングを同時に支持することができる。つまり、膜を堆積するための堆積ガスが、堆積される膜をスパッタエッチングするスパッタエッチングガスと同時に存在する。スパッタ電力、ガス流量及び真空圧力を制御することによって、堆積速度及びスパッタリング速度を制御することができ、従来技術の装置に比べてスムーズに、且つ、より一層迅速にギャップを充填することができる。一般に、ギャップを完全に充填するためには、ギャップが充填するに連れてスパッタ速度と堆積速度とを変える必要がある。初期の段階においては、ギャップが充填される直前に比べスパッタ速度を速くする必要がある。さらに、ギャップにわたってトップコート膜を造るには、実質的には単なる堆積ステップ(つまり、ほんのわずかなスパッタリング成分)のみが要求される。スパッタ速度と堆積速度の変化は、ガス流量及び電力設定の調節により支配される。ガス流量及び電力設定は、最良の膜質及びリアクタ内での安定したプラズマを確保するためには、できるだけスムーズに調節されなければならない。
【0019】
また、堆積速度はウエハの温度によって非常に影響され易い。例えば、二酸化ケイ素の堆積速度は、1℃の温度変化当たり約10Å変化するか、又は影響を受ける。よって、約6000Åの膜厚を持つ従来の二酸化ケイ素膜が全ウエハ表面にわたって3%以内の均一性に維持されるためには、ウエハ温度変化が18℃(6000×0.03(1/10 ℃)=18℃)を越えないように維持さればならない。ウエハ温度は、プラズマ電力、ガスの組成、ウエハクランプ力、スパッタ(バイアス)電力、及びウエハ背面のガス圧力によって影響される。ガス流量、真空圧力、スパッタ電力と同様にこれらの要素を制御している装置又はパラメータモジュールは、堆積/スパッタ処理において必要とされ、時間と強度とにおいて互いに調整されるべきである。高品質の膜を反復して大量に作るためには、これらのパラメータの制御は、自動化されるべきである。すなわち、リアクタ内にダイナミック環境の反復可能な制御を与えてウエハを処理し、そのウエハ上に高品質の膜を形成することが非常に望ましい。
【0020】
リアクタシステム内における処理制御は、一般に、市販のソフトウェアにより達成される。このソフトウェアは、処理チャンバ及びそのサブシステムにおける個々のステップ又は状態を、ユーザーに定義させる。ユーザーは、これらのステップをお互いに関連させることによって、ガス、RF及びDC電力モジュール、真空システム要素等のシーケンスを定義したレシピを造る。レシピが実行されると、パラメータモジュールを介して、各々の個々のステップにおける設定に基づき、種々のパラメータがそれぞれにオン/オフされる。数々のパラメータは、それぞれのステップにおいて変わる。しかし、ユーザーは、市販の制御ソフトウェア及び従来技術のシステムを用いて、時間にわたってパラメータ値を変えるためには、レシピステップ間隔と一斉のステップ変化をさせるしかない。一般に、レシピステップ間隔の所用時間(Trecipe)は、1秒以上のオーダーである。このことは、ユーザーがパラメータ値を漸次変化させることを望む場合、ユーザーは、レシピステップよりも短くない時間ステップの変化によって制限される。パラメータ変化がこのような粗いステップ変化であってもよければ、ユーザーは、レシピを実行するためには多くのレシピステップが必要になることを知る。ユーザーは、複数のパラメータを同時に変化させることができるが、レシピ時間ステップよりも短くない時間ステップに制限されるので、多くのレシピステップが必要とされる。多くのレシピステップを統御し且つ追跡することは、困難である。
【0021】
一般に、質量流量コントローラ、RF及びDC発生器、圧力バルブ、ポンプ等及びこれらの組み合わせ(一まとめにして、「パラメータモジュール」又は「処理パラメータモジュール」と呼ばれる)は、処理レシピを作動させる制御コンピュータから特定の設定値がこれらのユニットに送られることによって制御される。パラメータ値は、選択された処理パラメータであり、例えば、質量流量速度(sccm)又は電力値(W)等である。それぞれのレシピステップにおいて、例えば個々のガス、電力等のパラメータ又は処理パラメータの幾つでもが、この時間間隔内で変えられる。しかし、この時間間隔は、膜の堆積速度、許容可能な膜厚、及びプラズマ安定性反応時間に比べると、比較的粗い。設定値の変化は、レシピ時間ステップの長さによって制限される。よって、設定値の変化は、時間間隔ごとに数秒のオーダーで起こる。このような変化の結果として、階段のように見えるグラフが得られる。図3に、二つの気体状パラメータであるA及びBについての、非常に大きなステップを有するグラフが示されている。このような制限により、ウエハ処理リアクタ内での環境的な条件を制御することが非常に困難になる。ウエハ処理リアクタ内での結果は、条件の慎重なバランスとタイミングとに非常に依存する。
【0022】
発明者らは、ある利用においては、レシピ時間ステップ(Trecipe)とは異なる時間枠の中で、パラメータ値を、漸次に且つ/又は同時に変えることが望ましいことを見出した。漸次変化とは、ゼロではない指定された時間窓にわたる、開始値から終了値へのパラメータ値の変化を意味する。TstartからTendにわたって、XstartからXendへの変化があると、望ましい変化は下記式によって表される。
(Xend−Xstart)/(Tend−Tstart)=ΔX/ΔT
ここで、ΔTは、レシピ時間ステップとは異なっていてよい。デバイスがコンピュータ制御下に置かれるコントロールデザインにおいては、パラメータ値変化及び望ましい時間間隔を不連続なステップ変化に変えることにより、時間にわたって漸次に変化が起こされる。この不連続なステップ変化は、望ましいパラメータ値の全体的な変化及び望ましい時間ステップの全体的な変化よりも小さい。具体的には、下記式で表される。
【0023】
【式1】
Figure 0004789323
又は
(Xend−Xstart) = mΔx
及び
【式2】
Figure 0004789323
又は
(Tend−Tstart)=nΔt
よって、
(Xend−Xstart) / (Tend−Tstart)=ΔX/ΔT=(m/n)(Δx/Δt)=k(Δx/Δt)
ここで、kは、時間ΔTにわたり完全な変化ΔXをさせるための、サイズがΔx及びΔtであるステップの数である。
【0024】
kが大きければ(Δx/Δtが小さければ)、開始から終了への望ましいパラメータ値及び時間の変化は、直線に近づく。
【0025】
例えばHDP−CVD等の特定の使用にあたっては、慎重に処理環境の反復制御を達成することが必要である。処理環境の安定性は、多くのパラメータの複雑なバランスによって決定される。このような場合、パラメータ値の変化は、漸次的である必要がある。パラメータ値の変化は、時間間隔にわたって漸次的であり、該時間間隔は、市販のソフトウェアで利用できるレシピ時間ステップよりも短い(又はおよそ同じである)ことが好ましい。つまり、処理環境のバランスを維持し、且つ、パラメータ値の漸次的でスムーズな変化を確保するには、小さなステップ(ΔtがTrecipeよりも小さい値のΔx/Δt)が利用されなければならず、且つ、利用可能でなければならない。
【0026】
本発明は、数秒のレシピ時間ステップ(Trecipe)の制限を利用して、レシピステップ内で一秒間に多数回、パラメータの設定値を変えることの可能性を加えている。これにより、パラメータ変化は、非常に小さなステップを持つ階段で表されるスムーズな直線に近づき、関心となる時間間隔の長さにわたって、スムーズ又は漸次的な傾斜を持つようになる。例えば、図4は、本発明の傾斜制御について、また、ΔXとΔx及びΔTとΔtの関係についてを例示している。本発明が、システムコントローラとパラメータモジュールとの間に、プログラム可能な電子回路である「スマートデバイス」を利用していることが、本発明が特に利益的な点である。上記のスマートデバイスは、パラメータコントロール内にあってもよい。物理的に回路がどこにあるかに関係なく、ロジック機能は同じである。スマートデバイスは、プログラム可能な電子回路であり、その機能は、システムコントローラからの入力を受け取り、情報処理をし、計算された時間シーケンス内でパラメータモジュールへ信号を出力する。このようなプログラム可能な電子回路は、市販されているプログラム可能なロジックコントローラ(PLC)を含んでいることが好ましい。しかし、高速マイクロプロセッサをベースにするコンピュータ等の他のプログラム可能な電子回路で適当なものを使用してもよい。
【0027】
具体的には、処理チャンバの条件は、質量流量コントローラ(MFC)、電力源、RF電力発生器、真空制御装置等のパラメータモジュールによって設定される。これらのパラメータモジュールは、お互い独立に作動し、通常、単一の出力値を供給するために、システムコントローラから単一の入力を受け取る。例えば、MFCは、10sccmの出力流量を出すように命令され、又は、RF発生器は、5000Wの出力を供給するように命令される。一般に、パラメータモジュールそれ自体には、ある時間にわたって値を変えるよう(出力の「傾斜」が上方か下方か)命令を受け入れ且つ処理する能力がない。パラメータモジュールの新たな入力に対する反応時間は、一般に、制限されるものではない。つまり、60Hzよりも高い周波数で新たな出力を供給するよう、新たな指令がパラメータ制御装置に送られる。入力値の間での時間に関する制限は、通常、市販のパラメータモジュールによって提供されるものにより、一般に、約10ミリ秒である。1ミリ秒よりも速い反応時間を持つパラメータモジュールが市販されており、本発明に利用することもできる。
【0028】
ここでまた図4にもどると、図4には、本発明の幾つか異なる結果が例示されており、パラメータA及びBについての4つのレシピステップが詳細に説明されている。なお、図4はレシピの単なる一部分であって、レシピには、NステップとM個の処理パラメータが含まれていることが理解されるべきである。一般に、パラメータA及びBの時間曲線のセクション200、201及び202は、その値が上方に傾斜しているのに対して、セクション203及び204は、その値が下方に傾斜している。セクション200は、パラメータAが、完全なレシピステップ(ステップ2)にわたって傾斜している例であり、セクション202は、一部のステップ(ステップ3)で傾斜した後、残りのステップでは一定に保たれている。セクション201には、2つのレシピステップ(ステップ1及び2)にわたってのパラメータBの傾斜が示されている。セクション205は、完全なステップ(ステップ3)にわたって一定に保たれているパラメータBを示す。ステップ4においては、セクション204のパラメータAは、セクション203のパラメータBとは異なる速度で下方に傾斜している。さらに、図4は、新たな傾斜条件が導入されるよう新たなレシピステップが即時に始ることを示している。例えば、パラメータAがステップ2、3及び4において状態変化を始め、パラメータBがステップ1及び4において状態変化を始める。レシピ時間ステップの長さは、レシピ時間ステップ間で異なっていてもよい。例えば、ステップ3は、他のステップよりも長い。
【0029】
本発明によれば、図4に示された傾斜プロフィールを達成するために、図5を参照にして示されるコントローラを使用することができる。レシピがユーザーインターフェースコンピュータ36に入力されると、コントローラ37に伝えられる。コントローラ37は、システムコンピュータ38、及びプログラム可能な電子回路39を含んでいる。プログラム可能な電子回路39は、パラメータモジュール40と直接インターフェースを成している。パラメータモジュール40は、ガス質量流量コントローラ(MFCs)、RF発生器及びDC源等の電力源、ポンプ及びバルブ等の真空システム制御装置、等を表している。両方向矢印は、フィードバックと照合に必要な情報の流れが二方向に起こることを示している。システムコンピュータ38は、市販のマイクロプロセッサをベースにしたコンピュータを含んでよい。システムコンピュータ38は、VMEコンピュータであることが好ましい。プログラム可能な電子回路39は、プログラム能力を持つコントローラである電子回路を含んでよい。例えば、高速マイクロプロセッサをベースにしたコンピュータ、プログラム可能なロジックコントローラ(PLC)等が挙げられる。プログラム可能な電子回路39は、市販のPLCであることが好ましい。代替の実施形態においては、プログラム可能な電子回路39は、別のユニットである39の中にあるのではなく、パラメータモジュール40のそれぞれの中にあってもよい。プログラム可能な電子回路が、パラメータモジュール40のそれぞれの一部であれば、システムコンピュータ38は、スマートデバイスを含むようになった個々のパラメータモジュール40のそれぞれに、開始及び終了設定値、傾斜する時間を送り、それに従いパラメータモジュールのそれぞれが応答する。
【0030】
本発明によれば、ユーザーは、コンピュータ36とインターフェースを成す。コンピュータ36は、レシピステップを編集し、ユーザーに開始設定値(Xstart)、終了設定値(Xend)、レシピステップ内で開始設定値から終了設定値へ傾斜する時間(ΔT)を指定させる手段を含んでいる。設定値と時間は、レシピの一部として保存されリアクタ内で実行される。あるレシピステップにおいては、1つ以上の設定値傾斜を同時に有することが可能である。ステップ内での傾斜設定値は、それぞれにユニークな傾斜時間、開始及び終了値(ΔT、Xstart及びXend)を持つ。
【0031】
レシピが実行されるときは、ユーザーインターフェースコンピュータ36からコントローラ37へ傾斜設定値が伝達される。コントローラ37は、システムコンピュータ38及びプログラム可能な電子回路39を使用することにより、傾斜レシピの実行を容易にする。コントローラ37は、ユーザーインターフェースコンピュータ36から受け取った信号を処理し、且つ、処理パラメータモジュール40を制御するための出力制御信号を供給するように適合している。具体的には、システムコンピュータ38は、ユーザーインターフェースコンピュータ36からレシピを受け取り、処理レシピ内のそれぞれのステップを制御して実行させる。システムコンピュータ38は、実行するための傾斜レシピを受け取ると、傾斜率(つまり、(Xstart−Xend)/ΔT)、及び固定された別々の時間ステップに必要とされるステップ数(k)を計算し、設定値と共にこの情報をプログラム可能な電子回路39に伝える。
【0032】
プログラム可能な電子回路39が、選択されたスマートデバイスであると特に有利である。つまり、この回路は、プログラム可能であり、システムコンピュータ38から入力を受け取り、情報を処理し、計算された時間シーケンス内でパラメータモジュール40に信号を出力する。プログラム可能な電子回路39によって設定値及び傾斜率が出されると、システムコンピュータ38がプログラム可能な電子回路39に命令を出し、設定値傾斜の実行を始める。プログラム可能な電子回路39は、パラメータ制御装置が受け入れられる限度で迅速に設定値を変えることができる。一般に、このスピードは、傾斜率の望ましいスロープ(つまり、傾斜のスムーズさ)を供給するように選択される。設定値を変える間の時間は、プログラム可能な電子回路の速さによって制限される。この時間は、通常、1秒よりも短い範囲、更には1ミリ秒よりも短い範囲で変えられる。ΔT時間値は、40ミリ秒であることが通常である。長い時間値を選択することも可能である。時間ΔTは、プログラム可能な電子回路及びパラメータモジュールの作動能力、反応時間を満たし、且つ、ウエハ処理システムを作動させるための傾斜要求性を満たすように選択されることが好ましい。通常、ΔTは、全てのパラメータに使われる単一の固定値であるが、これは必須の要件ではない。
【0033】
システムコンピュータ38は、傾斜の進行をモニターし、変化した設定値及び実際のパラメータについてユーザーインターフェースコンピュータ36へ報告する。実際の望ましい値が範囲外であれば、傾斜中又は傾斜が完了した後に、システムコンピュータ38は、この条件を検出し、警報又は警告をユーザーインターフェースコンピュータ36へ報告する。 警報状態のとき、システムコンピュータ38は、適切な処置を取って傾斜レシピの実行を止め、リアクタを安全で安定した操作状態にする。
【0034】
図5のフローチャートは、本発明を実行するためのコンピュータプログラムを示す。具体的には、ステップ102において、ユーザーが、ユーザーインターフェースコンピューター36にレシピ(例えば、傾斜のために望ましい設定値と時間等の適切な情報)を入力する。ステップ104において、レシピは、コントローラ37の中にあるシステムコンピュータ38に伝えられる。システムコンピュータ38は、そのレシピをステップ106で実行する。ステップ108で質問がされ、レシピステップが実行されると、コンピュータプログラムは、ステップ110で終了する。質問により、レシピステップが完全に終了していないと決定されると、ステップ112で傾斜についての決定がなされる。ステップ112で、レシピステップが傾斜を呼び出すか否かに関して質問がされる。答えがYesであると、プログラムはステップ114に進み、そこで、システムコンピュータ38が傾斜率を計算する。答えがNoであれば、プログラムは、106に戻る。
【0035】
システムコンピュータ38は、ステップ114で傾斜率を計算すると、ステップ116でその傾斜率(Δx/Δt)と設定値(Xstart、Xend)をプログラム可能な電子回路39に送る。そして、プログラム可能な電子回路39が、ステップ118で傾斜を実行する。傾斜は、パラメータ制御装置40が応答できる限りに迅速に変えられる。ステップ120では、増分が実行される。設定値が変わると、プログラムはステップ122を実行し、次に、望ましい設定値(例えばユーザーにより入力された設定値)が実際の設定値(Xactual)と同じかどうかについての質問をする。答えがYesであれば、プログラムは、106に戻りレシピステップの進行を続行する。答えがNoであれば、プログラムは、変化を実行して、その情報をステップ124でシステムコンピュータ38に送る。そして、プログラムは進行して118に戻り、実際の設定値(Xactual)が望ましい設定値(Xend)と同じになるまでこのループは実行され続ける。
【0036】
プログラムは、警報状態が存在するか否かについて評価する。図5をもう一度参照すると、PLCがステップ118で傾斜を実行するときに受け取った情報を基に、ステップ130でシステムコンピュータ比較がなされる。システムコンピュータ38の比較は、システムコンピュータ値が範囲外であるか否かをステップ132で質問することによって実行される。Yesであれば、ステップ134で警報状態になり、ステップ136で機能停止が実行される。Noであれば、プログラムは、ステップ130に戻り、システムコンピュータ比較の実行を続行する。
【0037】
以下は、図6に示される本発明の操作についての例示である。プロセスエンジニアが、あるステップ内において、2つのガスを異なる速度で傾斜させるとする。プロセスエンジニアは、ユーザーインターフェースコンピュータ36に、全体のステップ時間Trecipeが30秒であり、ガスAについては、時間ΔTA=3秒でXstartA=0sccmからXendA=200sccmになるように指定し、ガスBについては、時間ΔTB=10秒でXstartB=0sccmからXendB=500sccmになるように指定する。このデータは、システムコンピュータ38へ伝えられる。システムコンピュータ38から設定値が供給されると、プログラム可能なロジックコントローラ39は、それぞれのガス流A及びBについて、以下の式に従い、ステップの間の傾斜開始設定値を計算する。
【0038】
新設定値=開始設定値+((Xend−Xstart)/ΔT)*0.04*ステップ数ここで、ステップ数は、1、2、3…kである。この例示において、ステップ時間Δtは、40ミリ秒と仮定される。
【0039】
表1は、選択されたステップ数におけるガスA及びBに対する新設定値を提供する。
【0040】
【表1】
Figure 0004789323
【0041】
このシナリオの下では、ガスAは、3秒後に完全な設定値へ到達し、ステップの残りの27秒間は完全な設定値で流れ続ける。一方、ガスBは、ガスAが安定した後も傾斜し続けて10秒でその完全な設定値に到達し、ステップの残りの20秒間は、この流量レベルで維持される。レシピステップの傾斜は30秒続き、この様子は図6に例示されている。
【0042】
図8は、本発明の一実施形態により、二酸化シリコン膜を作るためのギャップ充填レシピの例を示す。レシピは9ステップからなっており、ユーザーによって設定されるパラメータ制御装置40は、A欄に列挙されている。この例示によると、移行値又は傾斜時間を、シラン(SiH4)、アルゴン、及び酸素流速、ウエハ背面ガス圧力(図8において「チャックヘリウム」)、dc電力によって制御されるチャッククランプ電圧(図8において「ESC電圧」及び「ESC傾斜時間」と同定される)、並びにプラズマとチャックバイアスの両方の電力(図8において「チャックRF傾斜時間」及び「プラズマRF傾斜時間」と同定される)に対して設定することができる。図8のスッテプ5を参照すると、シラン、アルゴン及びチャックRF電力(例えば、チャックに印加されたバイアス)の3つの処理パラメータが傾斜される。ステップ5の継続時間は、「処理時間」の列に示されるように9秒である。ステップ5に示されるように、列3では、シランの流速が40sccm(前ステップ4における傾斜率)から90sccmへ傾斜率が3秒で傾斜される(ステップ5の4列参照)。同時に、アルゴンは、120sccmから150sccmへ2秒で傾斜され(ステップ5の6列及び7列に示されている)、スパッタリングを起こさせるためにチャックに印加されたバイアス電力は、ゼロから1700Wのバイアス電力に5秒で傾斜される(ステップ5の14列及び15列に示されている)。ステップ5が終了すると、これらのパラメータは、酸素及びウエハ背面ガス圧力と共に、所用時間が50秒のステップ6において更に上方に傾斜される。
【0043】
発明の傾斜方法が使われないと、ステップ5は、少なくとも6ステップ分長くなる。つまり、ステップ5は、6個のサブステップに分けられ、オペレータは、それぞれのサブステップにおいてそれぞれのサブステップを定義するデータを入力する必要がある。つまり、傾斜率を実行するためには、5個のサブステップがあり、全体としての処理時間が9秒となるように、6個目のサブステップを4秒間保持する。具体的には、最初の2個のステップで、シランの流速は、ターゲット値である90sccmの3分の2まで上昇し、アルゴンは、完全に150sccmになり、 バイアス電力は、ターゲット値である1700Wの5分の2まで上昇する。3番目のサブステップでは、シランがターゲット値に達し、バイアス電圧はさらに5分の1増加する。4番目と5番目のサブステップでは、バイアス電力が完全なターゲット値に達する(この時、他のパラメータ値は一定値にある)。6番目のサブステップは、元のステップ5の所用時間のうちの残りの4秒間、全てのパラメータを一定値に保持する。オペレータは、それぞれのサブステップにおいて、それぞれのパラメータの開始及び終了値を定義する必要がある。本発明の方法が可能でなければ、全体のレシピには、1+1+4+3+6+11+10+4+4=44のステップ(それぞれのステップは少なくとも1秒)がかかる。これは、レシピAの実行にほんの9秒しかかからない本発明との大きな差異である。
【0044】
また、本発明のシステムと方法が使われないと、レシピの長さをを9ステップから44ステップに延長するに加えて、それぞれのパラメータ変化の移行が非常に粗くなる。例えば、図8のステップ5を再び参照すると、3秒間で起こる40sccmから90sccmへのシランガス流量の望ましい変化は、3つのステップにわたって起こり、それぞれのステップでは、ガス流量は、16.6sccm(50sccm/3)である。本発明の実施例では、40ミリ秒の時間ステップを使うことにより0.67sccmの増加ステップとなっており、上記結果は、本発明によって達成されるステップ変化と比較すると非常に粗いステップ変化である。よって、本発明のシステムと方法は、レシピステップの数を最小限にするだけでなく、従来技術に比べて時間にわたってパラメータ値をスムーズに変化させることができることが最も重要な点である。
【0045】
本発明は、幾つかの具体的実施形態を参考にして説明されているが、この説明は本発明の例示であって本発明を制限するものではない。本発明は、添付の請求項によって定義されるが、本発明の真の精神と範囲から離れることなく、当業者によって種々の変形がなされ得る。
【図面の簡単な説明】
本発明の追加的目的及び特徴は、詳細な説明及び添付の請求項に図面が供されることにより、より明瞭になる。
【図1】 本発明に使用することができるウエハ処理リアクタの模式図であり、ある一定の処理パラメータモジュール(例えば、質量流量ガス入力コントローラ、RFプラズマモジュール、RFクランピングチャック電力モジュール、真空システム制御装置)を例示している。
【図2】 HDP−CVD処理におけるギャップ充填を示す模式的図解である。
【図3】 従来技術に従う、二つのパラメータについての代表的なレシピシーケンスを示す図である。
【図4】 本発明の一実施形態に従う、二つのパラメータについての代表的なレシピシーケンスを示す図である。
【図5】 本発明の一実施形態に従う、プログラム可能なコントローラを示す模式図である。
【図6】 本発明の一実施形態に従う、プログラム可能な制御装置のある与えられたシーケンスについて、命令の流れを示す図である。
【図7】 表1に従うレシピシーケンスを示す図である。
【図8】 本発明の一実施形態に従いHDP−CVDリアクタを操作するための、レシピシーケンスの例示的な実施形態を示す表である。
【符号の説明】
10…プラズマチャンバ、11…処理チャンバ、12…ポート、12a…スロットルバルブ、13…コイル、16…基板、17…支持体、19…RF発生器、20…質量流量コントローラ、21…電送ライン、バルブ、22…ガス入口ライン、25…質量流量コントローラ、25a…バルブ、26…質量流量コントローラ、28…RF発生器、31a…質量流量コントローラ、31b…質量流量コントローラ、32…質量流量コントローラ、33a…ガス入口ライン、33b…ガス入口ライン、34…ガスインジェクタ、36…ユーザーインターフェースコンピュータ、37…コントローラ、38…システムコンピュータ、39…プログラム可能な電子回路、40…パラメータモジュール。

Claims (21)

  1. コントローラがレシピステップに応答するタイプの半導体ウエハを処理するウエハ処理システムであって、各レシピは、処理パラメータ値を制御するパラメータ制御装置に制御信号を供給するために所用時間Trecipeを有しており、前記コントローラが、所用時間Trecipe以内に前記処理パラメータ値を変えるパラメータ制御装置に制御信号を供給するように適合しており、前記コントローラが、個々のレシピステップ内で、選択された処理パラメータについて、開始値と、終了値と、前記開始値及び終了値の間の移行を表す時間間隔Tとを選択できるように適合しており、
    前記コントローラが、時間間隔Tの各々で、処理パラメータの少なくとも一つの値をモニタするように適合しており、
    前記コントローラが、モニタされた処理パラメータが設定された範囲外であれば、警告
    を報告するように適合しており、
    前記パラメータ制御装置が、質量流量コントローラ、高周波発生器、dc発生器、圧力バルブ、ポンプ及びそれらのいかなる組み合わせ、からの群より選ばれる、ことを特徴とする、ウエハ処理システム。
  2. コントローラがレシピステップに応答し、且つリアクタ内の複数の処理パラメータを制御するウエハ処理システムであって、各ステップは所用時間Trecipeを有しており、
    前記コントローラが、Trecipeよりも短い複数の時間間隔Tにおいて前記処理パラメータの少なくとも1パラメータの値を変えるために制御信号を供給するよう適合しており、それによって、前記処理パラメータの少なくとも1パラメータの値に、所用時間Trecipeにわたって実質的にスムーズな傾斜を与えているコントローラ、を備え、
    前記コントローラが、個々のレシピステップ内で、選択された処理パラメータについて、開始値と、終了値と、前記開始値及び終了値の間の移行を表す時間間隔Tとを選択できるように適合しており、
    前記コントローラが、時間間隔Tの各々で、処理パラメータの少なくとも一つの値をモニタするように適合しており、
    前記コントローラが、モニタされた処理パラメータが設定された範囲外であれば、警告を報告するように適合しており、
    前記処理パラメータが、質量流量、圧力、dc電力、高周波電力、又はそれらのいかなる組み合わせを含む、システム。
  3. 前記システムが、
    前記値のうちのいずれか1つを受け取るシステムコンピュータであり、傾斜率と、前記値に応答する少なくとも1つの設定値とを産出することにより前記レシピステップを実行する前記システムコンピュータと、
    傾斜率及び少なくとも1つの設定値をシステムコンピュータから受け取るプログラム可能な電子回路であって、前記傾斜率及び設定値に応じて前記パラメータ制御装置へ制御信号を送り、処理パラメータの実質的にスムーズな傾斜制御を与える前記電子回路と、
    を更に備えた、請求項記載のシステム。
  4. システムが、前記開始値、終了値及び時間間隔値のいずれか1つを供給するユーザーインターフェースを更に含んでいる、請求項2記載のシステム。
  5. プログラム可能な電子回路は、パラメータ制御装置が制御信号を受けられる程に迅速に設定値を変えるように適合した、請求項3記載のシステム。
  6. 前記システムコンピュータが、VMEコンピュータである、請求項3記載のシステム。
  7. 前記リアクタが、化学気相堆積(CVD)リアクタである、請求項2記載のシステム。
  8. 前記リアクタが、エッチングリアクタである、請求項2記載のシステム。
  9. コントローラが、処理パラメータのステップ制御を与えるパラメータ制御装置に制御信号を供給するために、レシピステップに応答するタイプの、チャンバ内で半導体ウエハを処理するウエハ処理リアクタシステムであって、前記コントローラが、個々のレシピステップ内で、選択されたパラメータについて、開始値、終了値、及び値間移行を選択できるように適合しており、
    前記レシピの所用時間がTrecipeであり、前記値間移行の所用時間がTrecipeよりも短いTであり、
    前記コントローラが、時間間隔Tの各々で、処理パラメータの少なくとも一つの値をモニタするように適合しており、
    前記コントローラが、モニタされた処理パラメータが設定された範囲外であれば、警告を報告するように適合しており、
    前記パラメータ制御装置が、質量流量コントローラ、高周波発生器、dc発生器、圧力バルブ、ポンプ及びそれらのいかなる組み合わせ、からの群より選ばれる、ことを特徴とする、ウエハ処理リアクタシステム。
  10. コントローラが、
    前記値のうちのいずれか1つを受け取るシステムコンピュータであり、傾斜率及び前記値に答じて少なくとも1つの設定値を産出することにより前記レシピステップを実行する前記システムコンピュータと、
    傾斜率及び少なくとも1つの設定値をシステムコンピュータから受け取るプログラム可能な電子回路であって、前記傾斜率及び設定値に応じて前記パラメータ制御装置へ制御信号を送り、処理パラメータのスムーズなステップ制御を与える前記電子回路と、
    を備えた、請求項記載のシステム。
  11. システムが、前記開始値、終了値及び移行値のいずれか1つを供給するユーザーインターフェースを更に含んでいる、請求項記載のシステム。
  12. プログラム可能な電子回路が、パラメータ制御装置が制御信号を受けられる程に迅速に設定値を変えるように適合した、請求項10記載のシステム。
  13. 前記システムコンピュータが、VMEコンピュータである、請求項10記載のシステム。
  14. 前記リアクタが、化学気相堆積(CVD)リアクタである、請求項記載のシステム。
  15. 前記リアクタが、高密度プラズマ化学気相堆積(HDP CVD)リアクタである、請求項記載のシステム。
  16. 前記プログラム可能な電子回路が、プログラム可能なロジックコントローラである、請求項10記載のシステム。
  17. 前記リアクタが、エッチングリアクタである、請求項記載のシステム。
  18. 半導体ウエハを処理するための処理パラメータを有するウエハ処理リアクタを操作する方法であって、
    所用時間がTrecipeである、少なくとも1つのレシピステップを定義する段階と、
    前記少なくとも1つのレシピステップ内に、前記処理パラメータの少なくとも1つについて、開始値と、終了値と、所用時間TがTrecipeよりも短い値間移行とを供給する段階と、
    個々のレシピステップ内のそれぞれにおいて、前記開始値、終了値及び移行値に応じて傾斜率が計算される、レシピステップを実行する段階と、
    前記傾斜率及び少なくとも前記終了値を含む出力信号を供給する段階と、
    半導体ウエハを処理するために、前記出力信号に応じて前記処理パラメータを操作する段階と、
    時間間隔Tの各々で、処理パラメータの少なくとも一つの値をモニタする段階と、
    モニタされた処理パラメータが設定された範囲外であれば、警告を報告する段階と、を備え
    前記処理パラメータが、質量流量、圧力、dc電力、高周波電力、又はそれらのいかなる組み合わせを含む、操作方法。
  19. 前記処理パラメータが、個々のレシピステップ内で同時に実行される、請求項18記載の方法。
  20. 傾斜率が、個々のレシピステップ内で前記処理パラメータのそれぞれについて変わる、請求項18記載の方法。
  21. プラズマ化学気相堆積リアクタ内で、半導体ウエハの表面上に層を堆積する方法であって、前記リアクタは、ウエハを支持するウエハ支持体、プラズマを発生させるプラズマチャンバ、及びレシピステップを受け取るコントローラを含んでおり、
    前記方法は、
    プラズマを発生させるために前記プラズマチャンバに望ましい設定値の高周波電力を印加する段階と、
    望ましい設定値のdc電力を前記ウエハ支持体に印加することによって、前記ウエハを前記ウエハ支持体にクランプする段階と、
    前記リアクタに、複数のガスを、それぞれに望ましい流速設定値で導入する段階と、
    前記レシピステップに応じて前記ガスの流速、dc電力及び高周波電力を制御する段階であって、前記コントローラが、前記流速、dc電力及び高周波電力設定値のそれぞれについて、個々のレシピステップにおいて、開始値と、終了値と、所用時間Tの前記値間移行とを選択できるように適合しており、前記流速、dc電力及び高周波電力設定値が、半導体ウエハ表面上に実質的に均一な層の堆積を供給するために実質的にスムーズに傾斜している、前記段階と、
    時間間隔Tで、前記流速、dc電力及び高周波電力設定値がモニタされる段階と、
    モニタされた設定値が設定された範囲外であれば、警告が実行される段階と、
    を備えた、方法。
JP2000570391A 1998-09-14 1999-09-13 プログラム可能な処理パラメータを備えたウエハ処理リアクタシステム及びその操作方法 Expired - Fee Related JP4789323B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15284998A 1998-09-14 1998-09-14
US09/152,849 1998-09-14
PCT/US1999/021114 WO2000015870A1 (en) 1998-09-14 1999-09-13 Wafer processing reactor system with programmable processing parameters and method

Publications (2)

Publication Number Publication Date
JP2002525842A JP2002525842A (ja) 2002-08-13
JP4789323B2 true JP4789323B2 (ja) 2011-10-12

Family

ID=22544714

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000570391A Expired - Fee Related JP4789323B2 (ja) 1998-09-14 1999-09-13 プログラム可能な処理パラメータを備えたウエハ処理リアクタシステム及びその操作方法

Country Status (4)

Country Link
JP (1) JP4789323B2 (ja)
KR (1) KR100642415B1 (ja)
TW (1) TW464916B (ja)
WO (1) WO2000015870A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7254453B2 (en) * 2002-11-21 2007-08-07 Advanced Micro Devices, Inc. Secondary process controller for supplementing a primary process controller
US7881886B1 (en) 2006-11-17 2011-02-01 Lam Research Corporation Methods for performing transient flow prediction and verification using discharge coefficients
US7822570B2 (en) * 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58128728A (ja) * 1982-01-28 1983-08-01 Toshiba Mach Co Ltd 半導体気相成長装置
JPH04308089A (ja) * 1991-04-05 1992-10-30 Fuji Electric Co Ltd プラズマ処理装置の運転制御装置
JPH09134886A (ja) * 1995-11-08 1997-05-20 Kokusai Electric Co Ltd 半導体製造装置のランピング温度制御方法
JPH09172008A (ja) * 1995-12-06 1997-06-30 Applied Materials Inc Sacvd酸化物膜とpecvd酸化物膜との間に良好な界面を形成する方法及び装置
WO1997037161A1 (en) * 1996-03-29 1997-10-09 Lam Research Corporation Method and apparatus for pressure control in vacuum processors
JPH1078802A (ja) * 1996-09-03 1998-03-24 Tokyo Electron Ltd 自動制御方法及びその装置
JPH10141870A (ja) * 1996-11-05 1998-05-29 Kokusai Electric Co Ltd 処理炉の温度監視装置
JPH10154706A (ja) * 1996-11-13 1998-06-09 Applied Materials Inc 浅いトレンチ分離のための方法及び装置

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0085397B1 (en) * 1982-01-28 1988-04-27 Toshiba Kikai Kabushiki Kaisha Semiconductor vapor phase growing apparatus

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58128728A (ja) * 1982-01-28 1983-08-01 Toshiba Mach Co Ltd 半導体気相成長装置
JPH04308089A (ja) * 1991-04-05 1992-10-30 Fuji Electric Co Ltd プラズマ処理装置の運転制御装置
JPH09134886A (ja) * 1995-11-08 1997-05-20 Kokusai Electric Co Ltd 半導体製造装置のランピング温度制御方法
JPH09172008A (ja) * 1995-12-06 1997-06-30 Applied Materials Inc Sacvd酸化物膜とpecvd酸化物膜との間に良好な界面を形成する方法及び装置
WO1997037161A1 (en) * 1996-03-29 1997-10-09 Lam Research Corporation Method and apparatus for pressure control in vacuum processors
JPH1078802A (ja) * 1996-09-03 1998-03-24 Tokyo Electron Ltd 自動制御方法及びその装置
JPH10141870A (ja) * 1996-11-05 1998-05-29 Kokusai Electric Co Ltd 処理炉の温度監視装置
JPH10154706A (ja) * 1996-11-13 1998-06-09 Applied Materials Inc 浅いトレンチ分離のための方法及び装置

Also Published As

Publication number Publication date
KR20010075100A (ko) 2001-08-09
TW464916B (en) 2001-11-21
WO2000015870A1 (en) 2000-03-23
JP2002525842A (ja) 2002-08-13
KR100642415B1 (ko) 2006-11-03

Similar Documents

Publication Publication Date Title
KR102652644B1 (ko) 반도체 제조에서 플라즈마 프로세스 공간을 제어하도록 전기적 비대칭 효과를 사용하기 위한 시스템들 및 방법들
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US9735020B2 (en) System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US6206967B1 (en) Low resistivity W using B2H6 nucleation step
US6156382A (en) Chemical vapor deposition process for depositing tungsten
KR100298486B1 (ko) 낮은유전상수이산화실리콘샌드위치층및형성방법
US11694878B2 (en) Gas supply system, plasma processing apparatus, and control method for gas supply system
US6913652B2 (en) Gas flow division in a wafer processing system having multiple chambers
US20070009658A1 (en) Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US6521302B1 (en) Method of reducing plasma-induced damage
EP1323178A2 (en) Gas distribution apparatus for semiconductor processing
CN116083881A (zh) 多站沉积系统中膜厚度匹配的可变循环和时间rf激活方法
US6271129B1 (en) Method for forming a gap filling refractory metal layer having reduced stress
KR20090022557A (ko) 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP4789323B2 (ja) プログラム可能な処理パラメータを備えたウエハ処理リアクタシステム及びその操作方法
KR20210132731A (ko) 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크
US6083569A (en) Discharging a wafer after a plasma process for dielectric deposition
WO2022173530A1 (en) Fast gas exchange apparatus, system, and method
US6524969B2 (en) High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US20220305601A1 (en) Use of vacuum during transfer of substrates
US6932092B2 (en) Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US7369905B1 (en) Method and apparatus for pressure and plasma control during transitions used to create graded interfaces by multi-step PECVD deposition
KR100393976B1 (ko) 마스크 패턴밀도에 따른 반도체 웨이퍼의 식각 속도변화를 최소화하는 방법
JP2002203795A (ja) プラズマ反応炉システムの運転制御方法及び装置
WO2001073835A1 (en) Method and arrangement for depositing a dielectric layer

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060830

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060928

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090918

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090929

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091224

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101130

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110223

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110302

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110516

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110628

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110719

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140729

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees