WO2000015870A1 - Wafer processing reactor system with programmable processing parameters and method - Google Patents

Wafer processing reactor system with programmable processing parameters and method Download PDF

Info

Publication number
WO2000015870A1
WO2000015870A1 PCT/US1999/021114 US9921114W WO0015870A1 WO 2000015870 A1 WO2000015870 A1 WO 2000015870A1 US 9921114 W US9921114 W US 9921114W WO 0015870 A1 WO0015870 A1 WO 0015870A1
Authority
WO
WIPO (PCT)
Prior art keywords
recipe
reactor
values
controller
wafer
Prior art date
Application number
PCT/US1999/021114
Other languages
French (fr)
Inventor
Douglas V. Putnam-Pite
Tami J. Tracey
Curtis M. Otaguro
Donald W. Davidson
Lydia J. Young
Jae Park
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2000570391A priority Critical patent/JP4789323B2/en
Publication of WO2000015870A1 publication Critical patent/WO2000015870A1/en

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32096Batch, recipe configuration for flexible batch control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Definitions

  • the present invention relates generally to a wafer processing reactor system and more particularly to a reactor system having rampmg control of selected processing parameters and to a method of operation to provide repeatable control of a dynamic environment to process wafers
  • a plasma reactor In semiconductor manufacture, wafer processing methods are used for depositing films on a semiconductor substrate Commonly, chemical vapor deposition (CVD) methods are used, and more recently plasma and high density plasma CVD (HDP-CVD) reactors and method are used to deposit films over small device features
  • the plasma reactor can also be used for plasma etching
  • the process typically requires moving a wafer into a plasma chamber, exposing the wafer to a process sequence, and then moving the wafer out of the chamber
  • the conditions of the process chamber are different du ⁇ ng wafer transport than du ⁇ ng processing Specifically, plasma, RF power, gas flow, and vacuum pressure conditions are affected
  • the conditions are controlled in order to maintain a delicate balance that ensures that the entire sequence is not disrupted, and that the desired results are produced on the wafer
  • the conditions that need to be carefully balanced include process gas flow, vacuum pressure, plasma RF power, chuck RF power, chuck clamping and wafer backside gas pressure, and the like (collectively referred to as "process parameters" or
  • HPD-CVD technology is useful in that it enables the filling of narrow deep gaps found within semiconductor structures at relatively low temperatures ( ⁇ 400° C).
  • the gap widths are ⁇ 0.35 micrometers with aspect ratio (height to width ratios) of more than 2: 1.
  • the gaps are filled without voids by simultaneously depositing the film material and sputtering the material at a lower rate to keep the center of the gap cleared while it fills.
  • Wafer temperature influenced by plasma ⁇ _ conditions and wafer mounting and biasing conditions, strongly influences these deposition and sputtering rates.
  • the wafer processing reactor and process is under the control of a computer system.
  • a computer system For example, in a HDP-CVD reactor control system, the user is able to define individual steps or states of the HDP-CVD process by defining certain process parameters using process parameter modules (e.g. RF and DC power modules, vacuum system components, gas mass flow controllers, etc.) within the reactor and its subsystem. The sequence of these steps is considered a recipe.
  • process parameter modules e.g. RF and DC power modules, vacuum system components, gas mass flow controllers, etc.
  • the process parameters are turned on and off via the parameter modules depending upon the setting of each at each individual step.
  • the process parameters represent electrical conditions, gases, and pressures in the process chamber that need to be balanced to produce the desired film results.
  • Figure 2 illustrates a typical prior art recipe sequence consisting of N recipe steps.
  • Figure 2 shows that steps change in value for two parameters, A and B, within the recipe sequence.
  • the user creates individual fixed time length steps with setpoints for each individual process parameter. A new step is required for each setpoint change, and each step runs only for the specified duration.
  • a recipe step is executed for a fixed time duration and all the setpoints are set at the beginning of the step, and do not change until the next step is executed.
  • Another object of the present invention is to provide a wafer processing reactor which provides flexibility and fine tuning of process parameters to allow achievement of optimum film quality.
  • a wafer processing reactor system for processing semiconductor wafers in which a controller responds to recipe steps, each step having a duration of time T rec ⁇ pe , and controls a plurality of process parameters within the reactor, wherein the controller is configured to provide control signals to change the value of the process parameters at a plurality of time intervals T, and where T is less than T rec ⁇ pe , thereby providing substantially smooth ramping of the value of the process parameters over the duration of T rec ⁇ pe .
  • Figure 1 is a schematic view of a wafer processing reactor which may be employed with the present invention and illustrating certain process parameter modules (e.g. mass flow gas input controllers, RF plasma and RF clamping chuck power modules, and vacuum system controls).
  • process parameter modules e.g. mass flow gas input controllers, RF plasma and RF clamping chuck power modules, and vacuum system controls.
  • Figure 2 is a schematic drawing showing gapfill in a HDP-CVD process.
  • Figure 3 shows a typical recipe sequence for two parameters in accordance with the prior art.
  • Figure 4 shows a typical recipe sequence for two parameters in accordance with the present invention.
  • Figure 5 is a schematic view of a programmable controller in accordance with one embodiment of the present invention.
  • Figure 6 shows the flow of instructions for a given sequence of programmable control in accordance with one embodiment of the present invention.
  • Figure 7 shows a recipe sequence in accordance with Table 1.
  • Figure 8 is a table illustrating an exemplary embodiment of a recipe sequence for operating a HDP-CVD reactor in accordance with one embodiment of the present invention. Description of the Preferred Embodiments
  • the wafer processing system is a plasma reactor and in particular a high density plasma CVD reactor; however, it is to be understood that the present invention may be employed with any type of wafer processing system in which repeatable control of a dynamic environment for processing wafers is desired.
  • the reactor includes a plasma chamber 10 and a process chamber 11. Chambers 10 and 11 are evacuated through a port 12 via throttle valve 12a to a vacuum in the range of 0-20 mTorr.
  • Coil 13 is arranged around the plasma chamber 10 and when energized with RF power, excites gases within the chamber into a plasma state.
  • a substrate 16 is placed on a support 17 (sometimes referred to as a chuck or electrostatic chuck) positioned in process chamber 11 such that the surface of the substrate is facing upward.
  • the support 17 may be biased by application of RF bias power through RF generator 19 via a transmission line 21.
  • a mechanical support 17 or an electrostatic support known in the art may be used to support the wafer.
  • the support method typically includes a means to provide pressurized gas (usually helium) between the support and substrate to enable transfer of heat from the substrate to the support. Helium gas may be supplied via mass flow controller 20 and valve 21.
  • gas may be injected at any of several different places within the chamber.
  • Gas may be injected at the top of the chamber through gas inlet line 22, or through an annular gas injector 34 positioned below the plasma source and above the substrate. Gases may be pre-mixed before entering the chamber as indicated by mass flow controllers 25 and 26 and valve 25a in gas inlet line 22, or by mass flow controllers 31a and 31b and gas inlet line 33b.
  • the gas may be delivered as a single species as shown with reference to mass flow controller 32 which conveys the single gas via gas inlet line 33a.
  • the process gas is introduced into the process chamber 1 1 through gas inlet lines 33a, 33b and/or into the plasma chamber 10 via gas inlet line 22.
  • RF power is applied to the coil 13 to dissociate and ionize the gas(es). Desired flow rates of the gases are controlled by their respective mass flow controllers 25, 26, 3 la, 3 lb and 32.
  • the RF energy is supplied into the chamber 10 from an RF generator 28 through the coil 13 arranged around the chamber.
  • the frequency of the RF energy is 13.56 MHz, which is a commercial standard frequency.
  • a plasma is generated in the plasma chamber 10 by dissociating a percentage of the gaseous molecules introduced by said first gas stream to form reactive species, including ionized atoms.
  • an ion density of greater than 10" ions/cm 3 is achieved and is referred to as a high density plasma.
  • the plasma contains electrons with very high energy compared to other species present. The high electron energy increases the density of dissociation of the reactant species available for deposition, or available for etching.
  • a second gas stream of gaseous chemicals is introduced to provide the deposition species.
  • the deposition gases are introduced at a desired and selected flow rate by way of mass flow controllers 31a, 31b, and/or 32.
  • the gases mix in gas inlet line 33b as they enter the process chamber 11, and/or are conveyed directly via gas inlet 33a.
  • the gas injector 34 is placed inside the process chamber adjacent the substrate to receive and disburse the gas stream.
  • the gas injector 34 contains a plurality of distribution holes (not shown) which are placed equally around the injector 34.
  • the process gas(es) are distributed substantially uniform adjacent the surface of the substrate 16 through the distribution holes.
  • the process gas(es) are dissociated and activated by the plasma which has entered the process chamber 11 from the plasma chamber 10. In this dissociated and active state the gaseous chemicals react to form a layer having a composition determined by the gaseous chemicals on the surface of the substrate 16.
  • the reactor houses a dynamic environment with many parameters which all need to be carefully balanced and timed to create desired wafer processing results.
  • HDP-CVD technology enables the filling of narrow deep gaps found within semiconductor structures at relatively low temperatures (typically ⁇ 0.35 micrometers) with aspect ratios (height to width ratios) of more than 2:1.
  • Figure 2 shows a typical structure with gaps. To fill the gaps completely, it is important that the opening at the top of the gap be kept cleared of material until the bottom of the gap is filled.
  • One approach is to deposit a small amount of material, then sputter (or etch) away any excess, then deposit more material and then sputter again, until the gap is filled. This alternating sequence is usually applied when the plasma density of the reactor is limited ( i.e. ⁇ 10 10 ions/cm 3 ).
  • the plasma density is sufficiently high (i.e. >10 u ions/cm 3 ) to support simultaneously deposition and sputtering. That is, deposition gases are present for depositing the film at the same time sputter etching gases are present which sputter etch away the film as it is deposited.
  • deposition gases are present for depositing the film at the same time sputter etching gases are present which sputter etch away the film as it is deposited.
  • the deposition and sputtering rates can be controlled to fill the gaps smoothly and much more rapidly than in the prior art apparatus.
  • the sputter rates and deposition rates need to be changed as the gap is filled. In the early stages, sputter rates may need to be higher than when the gap is nearly filled.
  • a substantially pure deposition step i. e. little sputtering component
  • a substantially pure deposition step might be required to create a top coat film over the gaps.
  • the adjustments to the gas flow and power setting which govern the changing sputter and deposition rates must be made as smoothly as possible.
  • the deposition rate is very sensitive to wafer temperature.
  • the deposition rate of silicon dioxide exhibits a change or sensitivity on the order of 10 Angstroms per degree C change in temperature.
  • the wafer temperature is influenced by the plasma power, gas composition, wafer clamping force, sputter (or bias) power, and wafer backside gas pressure.
  • the equipment or parameter modules controlling these elements, as well as the gas flows, vacuum pressure, sputter power needed for the deposition/sputter processes, must be coordinated in time and in magnitude with respect to each other. To ensure high volume and repeatable high quality film performance, the control of these parameters must be automated. In other words, it is highly desirable to provide repeatable control of the dynamic environment within the reactor to process wafers and form high quality films thereon.
  • Control of a process in a reactor system is usually accomplished through commercially available software.
  • This software allows a user to define individual steps or states of the process chamber and its subsystem. By linking these steps together, the user creates a recipe which defines the sequencing of gases, RF and DC power modules, vacuum system components, and the like.
  • the various parameters are turned on/off via parameter modules based upon settings at each individual step. At each step a number of parameters can be varied.
  • the duration of the recipe step intervals (“T recipe ") is usually on the order of one second or more.
  • the mass flow controllers and the RF and DC generators, pressure valves, pumps, and the like and any combination thereof are controlled by sending specific set points to these units from a control computer running the process recipe.
  • a parameter value is a selected process parameter, such as a mass flow rate in seem (standard cubic cm) or a power value is Watts, etc.
  • At each recipe step any number of parameters or process parameters, i.e. individual gases and voltages, within this time interval can be changed. However, the granularity of this time interval is relatively coarse as compared to the film deposition rate and allowable thicknesses and plasma stability response times.
  • Setpoint changes are limited by the length of recipe time steps, and thus the setpoint changes only occur every time period on the order of seconds.
  • the resultant graph of such a change would look like a staircase with very large steps as shown in Figure 3 for two gaseous parameters, A and B.
  • a gradual change means a change in the parameter value from a start value to an end value over a non-zero, specified time window.
  • the change in parameter values is gradual over time intervals that are shorter than (or on the order of) the recipe time steps that are available in commercial software. That is, to maintain the process environment balance, small steps ⁇ x/ ⁇ t with ⁇ t ⁇ Trecipe must be applied and therefore available, to ensure gradual, smooth changes in the parameter values.
  • the present invention makes use of the restriction of recipe time steps (T rec ⁇ pe ) on the order of seconds, but adds the capability to allow a parameter's setpoint to change within a recipe step many times per second, resulting in a staircase with very small steps, which approximate a smooth line, and thus smooth or gradual ramping, for the time interval length of interest.
  • T rec ⁇ pe recipe time steps
  • Figure 4 illustrates the ramping control of the present invention, and the relationships between ⁇ X and ⁇ x and ⁇ T and ⁇ t.
  • the present invention employs a "smart device," a programmable electronic circuit between a system controller and the parameter module . Altematively, the smart device may reside within the parameter controls. Regardless of where the circuity physically resides, the logical function is the same.
  • the smart device is an electronic circuit that can be programmed and whose function is to take inputs from the system controller, process the information and output signals in a computed time sequence to the parameter modules.
  • programmable electronic circuits are preferably comprised of commercially available programmable logic controllers (PLCs), however any other suitable programmable electronic circuit may be employed such as a fast microprocessor based computer.
  • PLCs programmable logic controllers
  • the conditions of the process chamber are set by the parameter modules, such as mass flow controllers (MFC), power supplies, RF power generators, vacuum controls, and the like.
  • MFC mass flow controllers
  • These parameter modules operate independent from one another, and typically receive a single input from the system controller to provide a single output value.
  • an MFC can be instructed to give an output flow of 10 seem, or an RF generator can be instructed to provide an output of 5000 Watts.
  • the parameter modules themselves do not generally include the capability to accept and process instructions to change values over time (i.e. "ramp" up or down in output).
  • Response times of the parameter modules to new inputs is usually not restricted, that is, new commands can be sent to the parameter controls to provide new outputs at frequencies greater than 60 Hz.
  • Typical limits on the time between inputs are limited only by what can be accommodated by commercially available parameter modules, and are generally on the order of tens of milliseconds. Parameter modules having response times of less than 1 millisecond are commercially available, and may also be used with the present invention.
  • Sections 200, 201, and 202 of the Parameter A & B time curves ramp upward in value while Sections 203 and 204 ramp down in value.
  • Section 200 is an example of Parameter A ramping over a full recipe step (step 2) while Section 202 ramps for part of a step (step 3) and then is held constant for the rest of the step.
  • Section 201 shows ramping of parameter B across two recipe steps (steps 1 and 2).
  • Section 205 shows that Parameter B's held constant for a full step (step 3).
  • step 4 at Section 204, Parameter A ramps down at a different rate than Parameter B in Section 203.
  • Figure 3 shows that a new recipe step is initiated for each instance that a new ramp condition is introduced.
  • Parameter A initiates state changes at Steps 2, 3 and 4, while Parameter B initiates state changes at Steps 1 and 4.
  • the lengths of recipe time steps may be different from each other; for example, Step 3 is longer than the other steps.
  • controller 37 is utilized as shown with reference to Figure 5.
  • a recipe is entered in the user interface computer 36, and is then transferred to the controller 37.
  • Controller 37 is comprised of a system computer 38, and a programmable electronic circuit 39.
  • the programmable electronic circuit 39 interfaces directly to parameter modules 40, which represent the gas mass flow controllers (MFCs), power sources such as RF generators and DC source, vacuum system controls such as pumps and valves, and the like.
  • MFCs gas mass flow controllers
  • power sources such as RF generators and DC source
  • vacuum system controls such as pumps and valves, and the like.
  • the double arrow indicates that information flow is in two directions required for feedback and verification.
  • the system computer 38 may be comprised of a commercially available microprocessor based computer.
  • system computer 38 is a VME computer.
  • the programmable electronic circuit 39 may be comprised of an electronic circuit that is a controller with programmable capability, such as a fast microprocessor based computer, programmable logic controller (PLC), and the like.
  • the electronic circuit 39 is a commercially available PLC.
  • the programmable electronic circuits 39 may reside in each of the parameter modules 40, as opposed to being in a separate unit 39. If the programmable electronic circuit is part of each of the parameter modules 40, then the system computer 38 would send start and end set points and a time to ramp to each of the individual parameter modules 40 which now contain the smart device, and each would respond accordingly.
  • the user interface computer 36 which includes a means to edit recipe steps, gives the user the capability to specify a starting setpoint (X start ), an ending setpoint (X ⁇ ), and a time to ramp ( ⁇ T) from a starting setpoint to an ending setpoint within a recipe step.
  • the setpoints and time are saved as part of the recipe to be executed within the reactor.
  • Each ramping setpoint within the step has its own unique ramp time, starting and ending setpoints ( ⁇ T, X star1 and X cnd ) .
  • the ramping setpoints are transferred from the user interface computer 36 to the controller 37.
  • the controller 37 uses the system computer 38 and programmable electronic circuit 39 to facilitate execution of the ramping recipe.
  • the controller 37 is so configured as to process signals received from the user interface computer 36 and provide output control signals for controlling the process parameter modules 40.
  • the system computer 38 receives the recipe from the user interface computer 36 and controls execution of each step within a process recipe.
  • the system computer 38 recognizes that it has a ramped recipe to execute, it calculates a ramp rate (i.e. (X end - X start ) / ⁇ t), and the number of steps (k) required for a fixed ⁇ t discrete time step, and sends this information with the setpoint to the programmable electronic circuit 39.
  • the programmable electronic circuit 39 is selected to be a smart device, that is, the circuit is programmable and takes inputs from the system computer 38, process the information, and outputs signals in a computed time sequence to the parameter modules 40.
  • the system computer 38 commands the programmable electronic circuit 39 to begin executing the setpoint ramping
  • Programmable electronic circuit 39 can change setpoints as fast as the parameter controls 40 can accept, and generally this speed is selected to provide the desired slope of the ramp rate, l e the smoothness of the ramp
  • the time betw een changing setpoints is limited by the programmable electronic circuit's speed, and typically can vary in the range of less than 1 second, and even as small as less than 1 millisecond
  • a large number of time values can be chosen, and preferably the time ⁇ t is selected to satisfy the operating capabilities of the programmable electronic circuit and the parameter modules, response times, and to satisfy the ramping requirements to operate a wafer processing system
  • ⁇ t is a single, fixed value used with all parameters, nowever this is not a requirement
  • the system computer 38 monitors the progress of the ramping and reports the changed setpoints and actual parameters back to the user interface computer 36 If the actual desired values go out of range, either du ⁇ ng the ramp or after the ramp is completed, the system computer 38 detects this condition and reports an alarm or warning to the user interface computer 36 In the case of an alarm condition, the system computer 38 takes approp ⁇ ate action to shut down execution of the ramp recipe, and puts the reactor into a safe, stable operating condition
  • the flow chart illustrated in Figure 5 shows a computer program for carrying out the present invention Specifically, the user enters the recipe (l e pertinent information such as the desired setpoints and time to ramp) into the user interface computer 36 in step 102
  • the recipe is transferred in step 104 to the system computer 38, which resides in the controller 37
  • the system computer 38 executes the recipe steps at step 106
  • a query is made at step 108, and if the recipe steps have been executed, the computer program exits at step 110 If the query determines that the recipe steps have not been completed, a ramp decision is determined at step 112
  • a query is made regarding whether the recipe step calls for a ramp If yes, then the program proceeds to step 1 14 where the system computer 38 calculates the ramp rate. If no, the program returns to step 106.
  • the system computer 38 calculates the ramp rate at 1 14, it sends the ramp rate ( ⁇ x / ⁇ t) and setpoints (X sta ⁇ , X end ) to the programmable electronic circuit 39 at step 116.
  • the programmable electronic circuit 39 then executes the ramping at step 1 18.
  • the ramping is capable of changing as fast as the parameter controls 40 can respond.
  • Step 120 performs the increments.
  • the program executes step 122 when the setpoint is to be changed, the program next queries whether the desired setpoint (i.e. the setpoint entered by the user) equals the actual setpoint (X actua
  • the program evaluates whether an alarm condition may exist. Referring again to Fig. 5, at step 130 a system computer comparison is made from information received when the PLC executes ramping at step 118. The system computer 38 comparison is executed by inquiring whether the system computer value is out of range at step 132. If yes, an alarm condition is met at 134, and a shutdown is executed at step 136. If no, the program proceeds back to step 130 and continues to execute the system computer comparison.
  • a process engineer wants to ramp two gases at different rates within a given step.
  • This data is transferred to the system computer 38.
  • the programmable logic controller 39 is provided the setpoints from the system computer 38, and then calculates the ramp start setpoints during the step by the equation below for each gas flow A and B:
  • Setpoint. ⁇ Setpoint s[arl + ((X end - X slart ) / ⁇ T) * 0.04 * step number, where the step number is (1 , 2, 3.... k ).
  • the step time ⁇ t is assumed to be 40 milliseconds.
  • Table 1 provides the new setpoint values for gases A and B at selected step numbers. Table 1 . Values for Detailed Example.
  • gas A will reach its full setpoint after three seconds, and will continue to flow at full setpoint for the remaining 27 seconds of the step.
  • Gas B meanwhile will continue to ramp once Gas A has stabilized, reaching its full setpoint at 10 seconds and remaining at this flow level for the remaining 20 seconds of this step.
  • the ramping of the recipe step lasts 30 seconds and is illustrated in Figure 6.
  • FIG. 8 An example of a gapfill recipe to create a silicon dioxide film according to one embodiment of the present invention is shown in Figure 8.
  • the recipe consists of 9 steps, and the parameter controls 40 that can be set by the user are listed in Column A.
  • transition values or ramp times may be selected for: silane (SiH 4 ), argon, and oxygen flow rates, the wafer backside gas pressure ("chuck helium” in Fig. 8), the chuck clamping voltage which is governed by dc power (identified as “ESC Voltage” and “ESC RampTime” in Fig. 8), and both plasma and chuck bias power (identified as "Chuck RF Ramp Time” and "Plasma RF Ramp Time” in Fig. 8).
  • Step 5 in Figure 8 three process parameters, silane, argon and chuck rf power (i.e. the bias applied to the chuck) are to be ramped.
  • the " ⁇ duration of Step 5 is 9 seconds as indicated in the "Process Time” row.
  • the silane flow rate is to be ramped from 40 seem (the flow rate in the previous Step 4) to 90 seem at a ramp rate of three seconds (see Step 5, row 4).
  • Step 5 argon is to be ramped from 120 seem to 150 seem in two seconds (as shown in Step 5, rows 6 and 7) , and the bias power applied to the chuck to enable sputtering is to be ramped from zero to 1700 watts bias power in five seconds (as shown in Step 5, rows 14 and 15).
  • Step 5 these parameters along with oxygen and the wafer backside gas pressure are ramped upward even further in Step 6 whose duration is 50 seconds.
  • Step 5 would need to be at least 6 steps long, that is Step 5 would need to be broken into six substeps, each substep requiring the operator to enter data defining each substep. That is, it would take five substeps to execute the ramp rates, and a sixth substep to hold the step for four seconds to meet the total process time of 9 seconds.
  • the flow rate of silane would be increased by two thirds of the way to its target value of 90 seem, argon would be stepped fully to 150 seem, and the bias power is stepped two-fifths of the way to its target value of 1700 watts.
  • the third substep would bring silane to its target value, and bias power would be increased by one more fifth.
  • the fourth and fifth substeps would bring the bias power fully to its target value (while all other parameters are kept constant).
  • the sixth substep would hold all parameters constant for the remaining four seconds of the original Step 5's duration.
  • the transitions for each parameter change would be very coarse.
  • the desired change in silane gas flow from 40 seem to 90 seem in 3 seconds would occur in 3 steps of 16.6 seem each, that is 50 sccm/3.
  • the inventive system and method not only minimizes the number of recipe steps, but most importantly enables smoother changes of the parameter values over time than the prior art.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A wafer processing reactor system for processing semiconductor wafers is provided. The system includes a controller which responds to recipe steps, each step having a duration of time Trecipe, and controls a plurality of process parameters within the reactor. The controller is configured to provide control signals to change the value of the process parameters at a plurality of time intervals T, and where T is less than Trecipe, thereby providing substantially smooth ramping of the value of the process parameters over the duration of Trecipe. Further, the controller is configured to permit the selection of start value, end value, and transition between values for a selected parameter within an individual recipe step.

Description

WAFER PROCESSING REACTOR SYSTEM WITH PROGRAMMABLE PROCESSING PARAMETERS AND METHOD
Brief Description of the Invention
The present invention relates generally to a wafer processing reactor system and more particularly to a reactor system having rampmg control of selected processing parameters and to a method of operation to provide repeatable control of a dynamic environment to process wafers
Background of the Invention
In semiconductor manufacture, wafer processing methods are used for depositing films on a semiconductor substrate Commonly, chemical vapor deposition (CVD) methods are used, and more recently plasma and high density plasma CVD (HDP-CVD) reactors and method are used to deposit films over small device features The plasma reactor can also be used for plasma etching In one example, in a plasma reactor the process typically requires moving a wafer into a plasma chamber, exposing the wafer to a process sequence, and then moving the wafer out of the chamber The conditions of the process chamber are different duπng wafer transport than duπng processing Specifically, plasma, RF power, gas flow, and vacuum pressure conditions are affected The conditions are controlled in order to maintain a delicate balance that ensures that the entire sequence is not disrupted, and that the desired results are produced on the wafer The conditions that need to be carefully balanced include process gas flow, vacuum pressure, plasma RF power, chuck RF power, chuck clamping and wafer backside gas pressure, and the like (collectively referred to as "process parameters" or "parameters") These conditions affect the film quality, stress, composition, etching, etc , and throughput Disruption m the balance of these conditions can cause the loss of plasma, poor film quality, and often wafer breakage
HPD-CVD technology is useful in that it enables the filling of narrow deep gaps found within semiconductor structures at relatively low temperatures (<400° C). Typically, the gap widths are <0.35 micrometers with aspect ratio (height to width ratios) of more than 2: 1. The gaps are filled without voids by simultaneously depositing the film material and sputtering the material at a lower rate to keep the center of the gap cleared while it fills. Wafer temperature, influenced by plasma ^_ conditions and wafer mounting and biasing conditions, strongly influences these deposition and sputtering rates.
Typically, the wafer processing reactor and process is under the control of a computer system. For example, in a HDP-CVD reactor control system, the user is able to define individual steps or states of the HDP-CVD process by defining certain process parameters using process parameter modules (e.g. RF and DC power modules, vacuum system components, gas mass flow controllers, etc.) within the reactor and its subsystem. The sequence of these steps is considered a recipe. When the recipe is executed the process parameters are turned on and off via the parameter modules depending upon the setting of each at each individual step. Typically, the process parameters represent electrical conditions, gases, and pressures in the process chamber that need to be balanced to produce the desired film results. However, in such systems, changes to the value of the parameter can only be step-wise changed within the recipe step intervals. Figure 2 illustrates a typical prior art recipe sequence consisting of N recipe steps. Figure 2 shows that steps change in value for two parameters, A and B, within the recipe sequence. In the prior art the user creates individual fixed time length steps with setpoints for each individual process parameter. A new step is required for each setpoint change, and each step runs only for the specified duration. In other words, a recipe step is executed for a fixed time duration and all the setpoints are set at the beginning of the step, and do not change until the next step is executed.
In certain steps it is desired to change the value of a process parameter gradually over a recipe time step rather than abruptly, or to change the value of a parameter more quickly and gradually than the recipe time step, or to change simultaneously several parameters perhaps having different time steps less than or greater than the recipe time step.
Present commercially available recipe software with time steps on the order of seconds cannot provide gradual parameter changes over time steps of the same order, or smaller, than the recipe time steps. Thus, for applications such as HDP-CVD, where smooth transitions are required (often simultaneously) and within ramp times shorter than seconds to maintain control of the reactor environment and ensure repeatable process results, another solution must be found.
Objects and Summary of the Invention
It is a general object of the present invention to provide an improved wafer processing reactor system where repeatable control of a dynamic environment for processing wafers is achieved.
It is a further object of the present invention to provide a wafer processing reactor system configured to control changes to parameter values gradually over specified time intervals.
It is another object of the present invention to provide a controller and method which permits smooth, simultaneous and independent control of one or more parameters within a reactor, thereby enabling control of the process properties of the reactor and the film properties of the wafer.
It is a further object of the present invention to provide a wafer processing reactor including a controller in which the total number of steps in a process sequence or recipe are minimized, thereby reducing the complexity for the user.
Another object of the present invention is to provide a wafer processing reactor which provides flexibility and fine tuning of process parameters to allow achievement of optimum film quality.
Accordingly, there is provided a wafer processing reactor system for processing semiconductor wafers in which a controller responds to recipe steps, each step having a duration of time Trecιpe, and controls a plurality of process parameters within the reactor, wherein the controller is configured to provide control signals to change the value of the process parameters at a plurality of time intervals T, and where T is less than Trecιpe, thereby providing substantially smooth ramping of the value of the process parameters over the duration of Trecιpe.
Brief Description of the Drawings
Additional objects and features of the invention will be more clearly apparent from the following detailed description and appended claims when taken in conjunction with the drawings in which:
Figure 1 is a schematic view of a wafer processing reactor which may be employed with the present invention and illustrating certain process parameter modules (e.g. mass flow gas input controllers, RF plasma and RF clamping chuck power modules, and vacuum system controls).
Figure 2 is a schematic drawing showing gapfill in a HDP-CVD process.
Figure 3 shows a typical recipe sequence for two parameters in accordance with the prior art.
Figure 4 shows a typical recipe sequence for two parameters in accordance with the present invention.
Figure 5 is a schematic view of a programmable controller in accordance with one embodiment of the present invention.
Figure 6 shows the flow of instructions for a given sequence of programmable control in accordance with one embodiment of the present invention.
Figure 7 shows a recipe sequence in accordance with Table 1.
Figure 8 is a table illustrating an exemplary embodiment of a recipe sequence for operating a HDP-CVD reactor in accordance with one embodiment of the present invention. Description of the Preferred Embodiments
For a better understanding of the invention, a wafer processing system is shown in Figure 1. In the exemplary embodiment, the wafer processing system is a plasma reactor and in particular a high density plasma CVD reactor; however, it is to be understood that the present invention may be employed with any type of wafer processing system in which repeatable control of a dynamic environment for processing wafers is desired. In Figure 1, the reactor includes a plasma chamber 10 and a process chamber 11. Chambers 10 and 11 are evacuated through a port 12 via throttle valve 12a to a vacuum in the range of 0-20 mTorr. Coil 13 is arranged around the plasma chamber 10 and when energized with RF power, excites gases within the chamber into a plasma state. Various arrangement of the coil or coils known in the art may be used to generate the plasma. A substrate 16 is placed on a support 17 (sometimes referred to as a chuck or electrostatic chuck) positioned in process chamber 11 such that the surface of the substrate is facing upward. The support 17 may be biased by application of RF bias power through RF generator 19 via a transmission line 21. A mechanical support 17 or an electrostatic support known in the art may be used to support the wafer. The support method typically includes a means to provide pressurized gas (usually helium) between the support and substrate to enable transfer of heat from the substrate to the support. Helium gas may be supplied via mass flow controller 20 and valve 21.
In Figure 1, gas may be injected at any of several different places within the chamber. Gas may be injected at the top of the chamber through gas inlet line 22, or through an annular gas injector 34 positioned below the plasma source and above the substrate. Gases may be pre-mixed before entering the chamber as indicated by mass flow controllers 25 and 26 and valve 25a in gas inlet line 22, or by mass flow controllers 31a and 31b and gas inlet line 33b. Alternatively, the gas may be delivered as a single species as shown with reference to mass flow controller 32 which conveys the single gas via gas inlet line 33a. Typically, the process gas is introduced into the process chamber 1 1 through gas inlet lines 33a, 33b and/or into the plasma chamber 10 via gas inlet line 22. RF power is applied to the coil 13 to dissociate and ionize the gas(es). Desired flow rates of the gases are controlled by their respective mass flow controllers 25, 26, 3 la, 3 lb and 32.
In the exemplary embodiment, the RF energy is supplied into the chamber 10 from an RF generator 28 through the coil 13 arranged around the chamber. In general, the frequency of the RF energy is 13.56 MHz, which is a commercial standard frequency. In such a configuration, a plasma is generated in the plasma chamber 10 by dissociating a percentage of the gaseous molecules introduced by said first gas stream to form reactive species, including ionized atoms. In the preferred embodiment, an ion density of greater than 10" ions/cm3 is achieved and is referred to as a high density plasma. The plasma contains electrons with very high energy compared to other species present. The high electron energy increases the density of dissociation of the reactant species available for deposition, or available for etching. Although a HDP CVD reactor is described, it should be understood that the present invention may be practiced with a variety of reactors types, including etching reactors and CVD reactors. By way of example, a second gas stream of gaseous chemicals is introduced to provide the deposition species. The deposition gases are introduced at a desired and selected flow rate by way of mass flow controllers 31a, 31b, and/or 32. The gases mix in gas inlet line 33b as they enter the process chamber 11, and/or are conveyed directly via gas inlet 33a. The gas injector 34 is placed inside the process chamber adjacent the substrate to receive and disburse the gas stream. The gas injector 34 contains a plurality of distribution holes (not shown) which are placed equally around the injector 34. The process gas(es) are distributed substantially uniform adjacent the surface of the substrate 16 through the distribution holes. The process gas(es) are dissociated and activated by the plasma which has entered the process chamber 11 from the plasma chamber 10. In this dissociated and active state the gaseous chemicals react to form a layer having a composition determined by the gaseous chemicals on the surface of the substrate 16. As described, the reactor houses a dynamic environment with many parameters which all need to be carefully balanced and timed to create desired wafer processing results.
HDP-CVD technology enables the filling of narrow deep gaps found within semiconductor structures at relatively low temperatures (typically <0.35 micrometers) with aspect ratios (height to width ratios) of more than 2:1. Figure 2 shows a typical structure with gaps. To fill the gaps completely, it is important that the opening at the top of the gap be kept cleared of material until the bottom of the gap is filled. One approach is to deposit a small amount of material, then sputter (or etch) away any excess, then deposit more material and then sputter again, until the gap is filled. This alternating sequence is usually applied when the plasma density of the reactor is limited ( i.e. <1010 ions/cm3).
In the case of high density plasma tools, the plasma density is sufficiently high (i.e. >10u ions/cm3) to support simultaneously deposition and sputtering. That is, deposition gases are present for depositing the film at the same time sputter etching gases are present which sputter etch away the film as it is deposited. By controlling the sputter power, gas flows and vacuum pressure, the deposition and sputtering rates can be controlled to fill the gaps smoothly and much more rapidly than in the prior art apparatus. Typically for complete gap fill, the sputter rates and deposition rates need to be changed as the gap is filled. In the early stages, sputter rates may need to be higher than when the gap is nearly filled. Further, a substantially pure deposition step (i. e. little sputtering component) might be required to create a top coat film over the gaps. To ensure the best film quality and a stable plasma within the reactor, the adjustments to the gas flow and power setting which govern the changing sputter and deposition rates, must be made as smoothly as possible.
In addition, the deposition rate is very sensitive to wafer temperature. For example, the deposition rate of silicon dioxide exhibits a change or sensitivity on the order of 10 Angstroms per degree C change in temperature. Thus, for a conventional silicon dioxide film, having a thickness of about 6000 Angstroms, to remain uniform within 3% over the entire wafer surface, the wafer temperature must remain within 18°C (calculated by: <6000 x .03 (1/10 °C ) = 18 °C ). The wafer temperature is influenced by the plasma power, gas composition, wafer clamping force, sputter (or bias) power, and wafer backside gas pressure. The equipment or parameter modules controlling these elements, as well as the gas flows, vacuum pressure, sputter power needed for the deposition/sputter processes, must be coordinated in time and in magnitude with respect to each other. To ensure high volume and repeatable high quality film performance, the control of these parameters must be automated. In other words, it is highly desirable to provide repeatable control of the dynamic environment within the reactor to process wafers and form high quality films thereon.
Control of a process in a reactor system is usually accomplished through commercially available software. This software allows a user to define individual steps or states of the process chamber and its subsystem. By linking these steps together, the user creates a recipe which defines the sequencing of gases, RF and DC power modules, vacuum system components, and the like. When the recipe is executed, the various parameters are turned on/off via parameter modules based upon settings at each individual step. At each step a number of parameters can be varied. However, to change the value of a parameter over time using commercially available control software and prior art systems, the user is restricted to making step-wise changes in concert with the recipe step intervals. The duration of the recipe step intervals ("Trecipe") is usually on the order of one second or more. This implies that if a user wishes to gradually change parameter values, the user is limited to time step changes that are no smaller than the recipe steps . If this coarse, step-wise change of parameters is tolerable, the user finds that many recipe steps are often required to execute the recipe. Also, the user could change several parameters simultaneously, but again would be limited to time steps no smaller than the recipe time steps, and many recipe steps would be required. Managing and tracking these many recipe steps is difficult.
Generally, the mass flow controllers and the RF and DC generators, pressure valves, pumps, and the like and any combination thereof (collectively referred to as "parameter modules" or "process parameter modules") are controlled by sending specific set points to these units from a control computer running the process recipe. A parameter value is a selected process parameter, such as a mass flow rate in seem (standard cubic cm) or a power value is Watts, etc. At each recipe step any number of parameters or process parameters, i.e. individual gases and voltages, within this time interval can be changed. However, the granularity of this time interval is relatively coarse as compared to the film deposition rate and allowable thicknesses and plasma stability response times. Setpoint changes are limited by the length of recipe time steps, and thus the setpoint changes only occur every time period on the order of seconds. The resultant graph of such a change would look like a staircase with very large steps as shown in Figure 3 for two gaseous parameters, A and B. These limitations make it very difficult to control the environmental conditions within the wafer processing reactor, whose results depend on very careful balance and timing of the conditions.
The inventors have discovered that in certain applications it is desired to change the values of parameters gradually and/or simultaneously during a time frame that is different from the recipe time steps (Trecipe). A gradual change means a change in the parameter value from a start value to an end value over a non-zero, specified time window. Given a change from Xstart to Xend over Tstart to Tend, then the equation: (Xend - Xstart) / (Tend - Ts[art) = ΔX/ ΔT represents the desired change, and ΔT may be different from a recipe time step. In control designs where devices are placed under computer control, the change over time is made gradual by converting the parameter value change and desired time interval into discrete step changes that are smaller than the total desired parameter value changes and the total desired time step change. Specifically: i=m
(Xend - Xstart) / "1 = ∑ (xi+1 - Xl) = ∑Ax, Or (Xend-Xstart) = HI Δx i=l and j=n (Tcnd - Titan) / n = ∑ (Tj+1 - tj) = ∑At, or (Tcnd-Tstart) = n Δt j=l so that
(Xend " X^ yCTend - Tt π ) = ΔX/ ΔT = (m/n) (Δx/ Δt) = k (Δx/ Δt) where k is the number of steps of size Δx and Δt to make the full change ΔX over time ΔT.
If k is large ( and Δx/ Δt are small), then the desired change from the start to end parameter values and times approximates a line. The limit of a linear or perfectly smooth change, is achieved as k approaches infinity.
For certain applications such as HDP-CVD, it is necessary to achieve repeatable and careful control of a process environment whose stability is determined by an intricate balance of many parameters. In these cases changes in parameter values need to be gradual. Preferably, the change in parameter values is gradual over time intervals that are shorter than (or on the order of) the recipe time steps that are available in commercial software. That is, to maintain the process environment balance, small steps Δx/ Δt with Δt < Trecipe must be applied and therefore available, to ensure gradual, smooth changes in the parameter values.
The present invention makes use of the restriction of recipe time steps (Trecιpe) on the order of seconds, but adds the capability to allow a parameter's setpoint to change within a recipe step many times per second, resulting in a staircase with very small steps, which approximate a smooth line, and thus smooth or gradual ramping, for the time interval length of interest. This is shown in Figure 4, which illustrates the ramping control of the present invention, and the relationships between ΔX and Δx and ΔT and Δt. Of particular advantage, the present invention employs a "smart device," a programmable electronic circuit between a system controller and the parameter module . Altematively, the smart device may reside within the parameter controls. Regardless of where the circuity physically resides, the logical function is the same. The smart device is an electronic circuit that can be programmed and whose function is to take inputs from the system controller, process the information and output signals in a computed time sequence to the parameter modules. Such programmable electronic circuits are preferably comprised of commercially available programmable logic controllers (PLCs), however any other suitable programmable electronic circuit may be employed such as a fast microprocessor based computer. Specifically, the conditions of the process chamber are set by the parameter modules, such as mass flow controllers (MFC), power supplies, RF power generators, vacuum controls, and the like. These parameter modules operate independent from one another, and typically receive a single input from the system controller to provide a single output value. For example, an MFC can be instructed to give an output flow of 10 seem, or an RF generator can be instructed to provide an output of 5000 Watts. The parameter modules themselves do not generally include the capability to accept and process instructions to change values over time (i.e. "ramp" up or down in output). Response times of the parameter modules to new inputs is usually not restricted, that is, new commands can be sent to the parameter controls to provide new outputs at frequencies greater than 60 Hz. Typical limits on the time between inputs are limited only by what can be accommodated by commercially available parameter modules, and are generally on the order of tens of milliseconds. Parameter modules having response times of less than 1 millisecond are commercially available, and may also be used with the present invention.
Turning again to Figure 4 there is illustrated several different results of the invention. Four recipe steps are shown in detail for Parameters A and B; however, it is to be understood that this is just a portion of the recipe and that the recipe can be comprised of N steps and M number of process parameters. In general, Sections 200, 201, and 202 of the Parameter A & B time curves ramp upward in value while Sections 203 and 204 ramp down in value. Section 200 is an example of Parameter A ramping over a full recipe step (step 2) while Section 202 ramps for part of a step (step 3) and then is held constant for the rest of the step. Section 201 shows ramping of parameter B across two recipe steps (steps 1 and 2). Section 205 shows that Parameter B's held constant for a full step (step 3). In step 4, at Section 204, Parameter A ramps down at a different rate than Parameter B in Section 203. Finally, Figure 3 shows that a new recipe step is initiated for each instance that a new ramp condition is introduced. For example, Parameter A initiates state changes at Steps 2, 3 and 4, while Parameter B initiates state changes at Steps 1 and 4. The lengths of recipe time steps may be different from each other; for example, Step 3 is longer than the other steps.
To achieve the ramping profiles shown in Figure 4, according to the present invention a controller is utilized as shown with reference to Figure 5. A recipe is entered in the user interface computer 36, and is then transferred to the controller 37. Controller 37 is comprised of a system computer 38, and a programmable electronic circuit 39. The programmable electronic circuit 39 interfaces directly to parameter modules 40, which represent the gas mass flow controllers (MFCs), power sources such as RF generators and DC source, vacuum system controls such as pumps and valves, and the like. The double arrow indicates that information flow is in two directions required for feedback and verification. The system computer 38 may be comprised of a commercially available microprocessor based computer. Preferably, system computer 38 is a VME computer. The programmable electronic circuit 39 may be comprised of an electronic circuit that is a controller with programmable capability, such as a fast microprocessor based computer, programmable logic controller (PLC), and the like. Preferably, the electronic circuit 39 is a commercially available PLC. In an alternative embodiment, the programmable electronic circuits 39 may reside in each of the parameter modules 40, as opposed to being in a separate unit 39. If the programmable electronic circuit is part of each of the parameter modules 40, then the system computer 38 would send start and end set points and a time to ramp to each of the individual parameter modules 40 which now contain the smart device, and each would respond accordingly.
According to the present invention, the user interface computer 36 which includes a means to edit recipe steps, gives the user the capability to specify a starting setpoint (Xstart), an ending setpoint (X^), and a time to ramp (ΔT) from a starting setpoint to an ending setpoint within a recipe step. The setpoints and time are saved as part of the recipe to be executed within the reactor. Within a given recipe step it is possible to have more than one setpoint ramping simultaneously. Each ramping setpoint within the step has its own unique ramp time, starting and ending setpoints (ΔT, Xstar1 and Xcnd) .
When it is time for a recipe to be executed, the ramping setpoints are transferred from the user interface computer 36 to the controller 37. The controller 37 uses the system computer 38 and programmable electronic circuit 39 to facilitate execution of the ramping recipe. The controller 37 is so configured as to process signals received from the user interface computer 36 and provide output control signals for controlling the process parameter modules 40. Specifically, the system computer 38 receives the recipe from the user interface computer 36 and controls execution of each step within a process recipe. When the system computer 38 recognizes that it has a ramped recipe to execute, it calculates a ramp rate (i.e. (Xend - Xstart) / Δt), and the number of steps (k) required for a fixed Δt discrete time step, and sends this information with the setpoint to the programmable electronic circuit 39.
Of particular advantage, the programmable electronic circuit 39 is selected to be a smart device, that is, the circuit is programmable and takes inputs from the system computer 38, process the information, and outputs signals in a computed time sequence to the parameter modules 40. Once the programmable electronic circuit
39 has a setpoint and ramp rate, the system computer 38 commands the programmable electronic circuit 39 to begin executing the setpoint ramping Programmable electronic circuit 39 can change setpoints as fast as the parameter controls 40 can accept, and generally this speed is selected to provide the desired slope of the ramp rate, l e the smoothness of the ramp The time betw een changing setpoints is limited by the programmable electronic circuit's speed, and typically can vary in the range of less than 1 second, and even as small as less than 1 millisecond A time of Δt = 40 milliseconds is a typical value A large number of time values can be chosen, and preferably the time Δt is selected to satisfy the operating capabilities of the programmable electronic circuit and the parameter modules, response times, and to satisfy the ramping requirements to operate a wafer processing system Typically, Δt is a single, fixed value used with all parameters, nowever this is not a requirement
The system computer 38 monitors the progress of the ramping and reports the changed setpoints and actual parameters back to the user interface computer 36 If the actual desired values go out of range, either duπng the ramp or after the ramp is completed, the system computer 38 detects this condition and reports an alarm or warning to the user interface computer 36 In the case of an alarm condition, the system computer 38 takes appropπate action to shut down execution of the ramp recipe, and puts the reactor into a safe, stable operating condition
The flow chart illustrated in Figure 5 shows a computer program for carrying out the present invention Specifically, the user enters the recipe (l e pertinent information such as the desired setpoints and time to ramp) into the user interface computer 36 in step 102 The recipe is transferred in step 104 to the system computer 38, which resides in the controller 37 The system computer 38 executes the recipe steps at step 106 A query is made at step 108, and if the recipe steps have been executed, the computer program exits at step 110 If the query determines that the recipe steps have not been completed, a ramp decision is determined at step 112 At step 112, a query is made regarding whether the recipe step calls for a ramp If yes, then the program proceeds to step 1 14 where the system computer 38 calculates the ramp rate. If no, the program returns to step 106.
Once the system computer 38 calculates the ramp rate at 1 14, it sends the ramp rate (Δx / Δt) and setpoints (Xstaπ, Xend) to the programmable electronic circuit 39 at step 116. The programmable electronic circuit 39 then executes the ramping at step 1 18. The ramping is capable of changing as fast as the parameter controls 40 can respond. Step 120 performs the increments. The program executes step 122 when the setpoint is to be changed, the program next queries whether the desired setpoint (i.e. the setpoint entered by the user) equals the actual setpoint (Xactua|). If yes, the program proceeds back to step 106 to continue execution of the recipe steps. If no, the program makes the change and sends this information to the system computer 38 at step 124. Then the program proceeds back to step 118 and continues executing this loop until the desired setpoint (Xend) equals the actual setpoint (Xactual).
The program evaluates whether an alarm condition may exist. Referring again to Fig. 5, at step 130 a system computer comparison is made from information received when the PLC executes ramping at step 118. The system computer 38 comparison is executed by inquiring whether the system computer value is out of range at step 132. If yes, an alarm condition is met at 134, and a shutdown is executed at step 136. If no, the program proceeds back to step 130 and continues to execute the system computer comparison.
The following is an example of operation of the present invention which is illustrated in Fig. 6. A process engineer wants to ramp two gases at different rates within a given step. The process engineer specifies gas A to go from XstartA=0 to XendA = 200 seem in time ΔTA = 3 seconds, and gas B to go from XstartB = 0 to XendB = 500 seem in time ΔTB = 10 seconds, with a total step time of Trccιpe = 30 seconds, into the user interface computer 36. This data is transferred to the system computer 38. The programmable logic controller 39 is provided the setpoints from the system computer 38, and then calculates the ramp start setpoints during the step by the equation below for each gas flow A and B:
Setpoint.^ = Setpoints[arl + ((Xend - Xslart) / ΔT) * 0.04 * step number, where the step number is (1 , 2, 3.... k ). In this example, the step time Δt is assumed to be 40 milliseconds.
Table 1 provides the new setpoint values for gases A and B at selected step numbers. Table 1 . Values for Detailed Example.
Figure imgf000018_0001
Under this scenario, gas A will reach its full setpoint after three seconds, and will continue to flow at full setpoint for the remaining 27 seconds of the step. Gas B meanwhile will continue to ramp once Gas A has stabilized, reaching its full setpoint at 10 seconds and remaining at this flow level for the remaining 20 seconds of this step. The ramping of the recipe step lasts 30 seconds and is illustrated in Figure 6.
An example of a gapfill recipe to create a silicon dioxide film according to one embodiment of the present invention is shown in Figure 8. The recipe consists of 9 steps, and the parameter controls 40 that can be set by the user are listed in Column A. In this example, transition values or ramp times may be selected for: silane (SiH4), argon, and oxygen flow rates, the wafer backside gas pressure ("chuck helium" in Fig. 8), the chuck clamping voltage which is governed by dc power (identified as "ESC Voltage" and "ESC RampTime" in Fig. 8), and both plasma and chuck bias power (identified as "Chuck RF Ramp Time" and "Plasma RF Ramp Time" in Fig. 8). Referring to Step 5 in Figure 8, three process parameters, silane, argon and chuck rf power (i.e. the bias applied to the chuck) are to be ramped. The "~ duration of Step 5 is 9 seconds as indicated in the "Process Time" row. As shown in Step 5, at row 3, the silane flow rate is to be ramped from 40 seem (the flow rate in the previous Step 4) to 90 seem at a ramp rate of three seconds (see Step 5, row 4). At the same time argon is to be ramped from 120 seem to 150 seem in two seconds (as shown in Step 5, rows 6 and 7) , and the bias power applied to the chuck to enable sputtering is to be ramped from zero to 1700 watts bias power in five seconds (as shown in Step 5, rows 14 and 15). After Step 5 is completed, these parameters along with oxygen and the wafer backside gas pressure are ramped upward even further in Step 6 whose duration is 50 seconds.
If the inventive method of ramping were not employed, Step 5 would need to be at least 6 steps long, that is Step 5 would need to be broken into six substeps, each substep requiring the operator to enter data defining each substep. That is, it would take five substeps to execute the ramp rates, and a sixth substep to hold the step for four seconds to meet the total process time of 9 seconds. Specifically, in the first two substeps the flow rate of silane would be increased by two thirds of the way to its target value of 90 seem, argon would be stepped fully to 150 seem, and the bias power is stepped two-fifths of the way to its target value of 1700 watts. The third substep would bring silane to its target value, and bias power would be increased by one more fifth. The fourth and fifth substeps would bring the bias power fully to its target value (while all other parameters are kept constant). The sixth substep would hold all parameters constant for the remaining four seconds of the original Step 5's duration. Each substep requires the operator to define the start and end values of each parameter. If the inventive method were not possible, the entire recipe would instead require 1 + 1+4+3+6+1 1++ 10+4+4= 44 steps (each at least 1 second long), in great contrast to the present invention which requires only 9 steps to execute Recipe A.
Further, if the inventive system and method were not employed, in addition to extending the recipe length from 9 steps to 44 steps, the transitions for each parameter change would be very coarse. For example, referring again to Step 5 of Fig 8, the desired change in silane gas flow from 40 seem to 90 seem in 3 seconds would occur in 3 steps of 16.6 seem each, that is 50 sccm/3. This is a very coarse step change compared to the gradual step change achieved by the invention, which in the example uses 40 millisecond time steps resulting in 0.67 seem increment steps. Thus, the inventive system and method not only minimizes the number of recipe steps, but most importantly enables smoother changes of the parameter values over time than the prior art.
While the present invention has been described with reference to a few specific embodiments, the description is illustrative of the invention and is not to be construed as limiting the invention. Various modifications may occur to those skilled in the art without departing from the true spirit and scope of the invention as defined by the appended claims.

Claims

WHAT IS CLAIMED:
1. A wafer processing system for processing semiconductor wafers of the type in which a controller responds to recipe steps, each recipe step having a duration of time Trec╬╣p<., to provide control signals to parameter controls which control the value of processing parameters, characterized in that said controller is configured to ~~ provide control signals to the parameter controls that change the value of said processing parameters within the duration of Trec╬╣pc.
2. A wafer processing reactor system in which a controller responds to recipe steps, each step having a duration of time Trec╬╣pe, and controls a plurality of process parameters within the reactor, comprising: said controller being configured to provide control signals to change the value of at least one of said process parameters at a plurality of time intervals T, where T is less than Trec╬╣pe, thereby providing substantially smooth ramping of the value of at least on of said process parameters over the duration of Trec╬╣pe.
3. The system of claim 2 further comprising: said controller is configured to permit the selection of start value, end value, and the time intervals T representing the transition between said start and end values for a selected process parameter within an individual recipe step. a system computer for receiving any one of said values, and for executing said recipe steps by producing a ramp rate and at least one setpoint responsive to said values; and a programmable electronic circuit for receiving the ramp rate and at least one setpoint from the system computer, wherein the programmable electronic circuit sends control signals to said parameter controls responsive to said ramp rate and setpoint to provide substantially smooth ramping control of the processing parameters
4. The system of claim 2 wherein the system further includes a user interface for providing any one of said start, end and time interval values.
5. The system of claim 3 wherein the programmable electronic circuit is configured to change the setpoint as rapidly as the parameter controls can accept control signals.
6. The system of claim 2 wherein the parameter controls are selected from the group of mass flow controllers, rf generators, dc generators, pressure valves, pumps and any combination thereof.
7. The system of claim 3 wherein said system computer is a VME computer.
8. The system of claim 2 wherein said reactor is a chemical vapor deposition (CVD) reactor.
9. The system of claim 2 wherein said reactor is an etching reactor.
10. A wafer processing reactor system for processing semiconductor wafers inside a chamber of the type in which a controller responds to recipe steps to provide control signals to parameter controls which provide step-wise control of processing parameters characterized in that said controller is configured to permit the selection of start value, end value, and transition between values for a selected parameter within an individual recipe step.
1 1. The system of claim 10 wherein the controller is comprised of: a system computer for receiving any one of said values, and for executing said recipe steps by producing a ramp rate and at least one setpoint responsive to said values; and a programmable electronic circuit for receiving the ramp rate and at least one setpoint from the system computer, wherein the programmable electronic circuit ~~ sends control signals to said parameter controls responsive to said ramp rate and setpoint to provide smooth step-wise control of the processing parameters .
12. The system of claim 10 wherein the system further includes a user interface for providing any one of said start, end and transition values.
13. The system of claim 11 wherein the programmable electronic circuit is configured to change the setpoint as rapidly as the parameter controls can accept control signals.
14. The system of claim 10 wherein said recipe has a time duration of ^recipe an(^ sa d transition between values has a duration of T, and where T is less than T recipe
15. The system of claim 10 wherein the parameter controls are selected from the group of mass flow controllers, rf generators, dc generators, pressure valves, pumps and any combination thereof.
16. The system of claim 11 wherein said system computer is a VME computer.
17. The system of claim 10 wherein said reactor is a chemical vapor deposition (CVD) reactor.
18. The system of claim 10 wherein said reactor is a high density plasma chemical vapor deposition (HDP CVD) reactor.
19. The system of claim 11 wherein said programmable electronic circuit is a programmable logic controller.
20. The system of claim 10 wherein said reactor is an etching reactor.
21. A method of operating a wafer processing reactor having processing parameters for processing a semiconductor wafer, comprising the steps of: defining at least one recipe step having a time duration of Trec╬╣pe; providing within said at least one recipe step, a a start value, end value and transition between values for at least one of said processing parameters, and where said transition between values is of time duration T, and T is less than Trec╬╣pe; executing the recipe step wherein a ramp rate is calculated responsive to said start, end, and transition values within each individual recipe step; providing an output signal containing said ramp rate and at least said end values; and operating said process parameters responsive to said output signal to process the semiconductor wafer.
22. The method of claim 21 wherein said processing parameters include mass flow, pressure, dc power, rf power, or any combination thereof.
23. The method of claim 21 wherein said processing parameters are executed simultaneously within an individual recipe step.
24. The method of claim 21 wherein the ramp rate varies for each of said processing parameters within an individual recipe step.
25. A method of depositing a layer on the surface of a semiconductor wafer in a plasma chemical vapor deposition reactor, said reactor including a wafer support for supporting said wafer, a plasma chamber for generating a plasma, and a ~~ controller for receiving recipe steps comprising the steps of: applying rf power at desired settings to said plasma chamber to generate the plasma; clamping said wafer to said wafer support by applying dc power at desired settings to said wafer support; introducing a plurality of gases each at a desired flow rate setting into said reactor; and controlling the flow rate of said gases, the dc power and the rf power in response to said recipe steps, and where said controller is configured to permit the selection of start values, end values and transitions between said values in an individual recipe step for each of said flow rates, dc and rf power settings; wherein said flow rates, dc and rf power settings are substantially smoothly ramped to provide the deposition of a substantially uniform layer on the surface of the semiconductor wafer.
PCT/US1999/021114 1998-09-14 1999-09-13 Wafer processing reactor system with programmable processing parameters and method WO2000015870A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000570391A JP4789323B2 (en) 1998-09-14 1999-09-13 Wafer processing reactor system with programmable processing parameters and method of operation thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15284998A 1998-09-14 1998-09-14
US09/152,849 1998-09-14

Publications (1)

Publication Number Publication Date
WO2000015870A1 true WO2000015870A1 (en) 2000-03-23

Family

ID=22544714

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/021114 WO2000015870A1 (en) 1998-09-14 1999-09-13 Wafer processing reactor system with programmable processing parameters and method

Country Status (4)

Country Link
JP (1) JP4789323B2 (en)
KR (1) KR100642415B1 (en)
TW (1) TW464916B (en)
WO (1) WO2000015870A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004049082A1 (en) * 2002-11-21 2004-06-10 Advanced Micro Devices, Inc. Secondary process controller for supplementing a primary process controller
WO2008064044A1 (en) * 2006-11-17 2008-05-29 Lam Research Corporation Methods for performing actual flow verification
US7881886B1 (en) 2006-11-17 2011-02-01 Lam Research Corporation Methods for performing transient flow prediction and verification using discharge coefficients

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0085397A2 (en) * 1982-01-28 1983-08-10 Toshiba Kikai Kabushiki Kaisha Semiconductor vapor phase growing apparatus
EP0778358A1 (en) * 1995-12-06 1997-06-11 Applied Materials, Inc. Method and apparatus for thin films formation by CVD
EP0843348A2 (en) * 1996-11-13 1998-05-20 Applied Materials, Inc. Method and apparatus for processing a semiconductor substrate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58128728A (en) * 1982-01-28 1983-08-01 Toshiba Mach Co Ltd Semiconductor vapor growth apparatus
JP2985342B2 (en) * 1991-04-05 1999-11-29 富士電機株式会社 Operation control device for plasma processing equipment
JPH09134886A (en) * 1995-11-08 1997-05-20 Kokusai Electric Co Ltd Method for controlling lapping temperature of semiconductor manufacturing equipment
US5803107A (en) * 1996-03-29 1998-09-08 Lam Research Corporation Method and apparatus for pressure control in vacuum processors
JP3268628B2 (en) * 1996-09-03 2002-03-25 東京エレクトロン株式会社 Automatic control method and device
JPH10141870A (en) * 1996-11-05 1998-05-29 Kokusai Electric Co Ltd Temperature monitoring apparatus for treating furnace

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0085397A2 (en) * 1982-01-28 1983-08-10 Toshiba Kikai Kabushiki Kaisha Semiconductor vapor phase growing apparatus
EP0778358A1 (en) * 1995-12-06 1997-06-11 Applied Materials, Inc. Method and apparatus for thin films formation by CVD
EP0843348A2 (en) * 1996-11-13 1998-05-20 Applied Materials, Inc. Method and apparatus for processing a semiconductor substrate

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004049082A1 (en) * 2002-11-21 2004-06-10 Advanced Micro Devices, Inc. Secondary process controller for supplementing a primary process controller
WO2008064044A1 (en) * 2006-11-17 2008-05-29 Lam Research Corporation Methods for performing actual flow verification
US7822570B2 (en) 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US7881886B1 (en) 2006-11-17 2011-02-01 Lam Research Corporation Methods for performing transient flow prediction and verification using discharge coefficients
CN101536159B (en) * 2006-11-17 2011-12-28 朗姆研究公司 Methods for performing actual flow verification
US8150646B2 (en) 2006-11-17 2012-04-03 Lam Research Corporation Methods for delivering a process gas

Also Published As

Publication number Publication date
JP4789323B2 (en) 2011-10-12
JP2002525842A (en) 2002-08-13
KR100642415B1 (en) 2006-11-03
KR20010075100A (en) 2001-08-09
TW464916B (en) 2001-11-21

Similar Documents

Publication Publication Date Title
US7666479B2 (en) Apparatus and method of gas injection sequencing
CN106952799B (en) System and method for fluorine residue removal using plasma-based processes
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
KR100611610B1 (en) Control of oxygen to silane ratio in a seasoning process to improve the particle performance in an hdp-cvd sytem
US6449521B1 (en) Decontamination of a plasma reactor using a plasma after a chamber clean
EP1182273B1 (en) Gas chemistry cycling to achieve high aspect ratio gapfill with hdp-cvd
US7199328B2 (en) Apparatus and method for plasma processing
US6200651B1 (en) Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
KR100518156B1 (en) Method and apparatus for elimination of teos/ozone silicon oxide surface sensitivity
US20160049304A1 (en) System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
EP1148533A2 (en) Method and apparatus for cleaning parts of a deposition system or etching wafers
US6521302B1 (en) Method of reducing plasma-induced damage
CN116083881A (en) Variable cycle and time RF activation method for film thickness matching in a multi-station deposition system
US20090064932A1 (en) Apparatus for HDP-CVD and method of forming insulating layer using the same
EP1170397A2 (en) Deposition of amorphous silicon films by high density plasma CVD at low temperatures
CN113710829B (en) High etch selectivity low stress ashed carbon hard mask
WO2000015870A1 (en) Wafer processing reactor system with programmable processing parameters and method
US20220262600A1 (en) Fast gas exchange apparatus, system, and method
US6524969B2 (en) High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US6932092B2 (en) Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
Ni et al. Real-time carbon content control for PECVD ZrO/sub 2/thin-film growth
Rauf et al. Virtual plasma equipment model: a tool for investigating feedback control in plasma processing equipment
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US7369905B1 (en) Method and apparatus for pressure and plasma control during transitions used to create graded interfaces by multi-step PECVD deposition
WO2005019497A2 (en) Methods of reducing plasma-induced damage for advanced plasma cvd dielectrics

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 570391

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020017003276

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020017003276

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1020017003276

Country of ref document: KR