KR100642415B1 - Wafer processing reactor system with programmable processing parameters and method - Google Patents

Wafer processing reactor system with programmable processing parameters and method Download PDF

Info

Publication number
KR100642415B1
KR100642415B1 KR1020017003276A KR20017003276A KR100642415B1 KR 100642415 B1 KR100642415 B1 KR 100642415B1 KR 1020017003276 A KR1020017003276 A KR 1020017003276A KR 20017003276 A KR20017003276 A KR 20017003276A KR 100642415 B1 KR100642415 B1 KR 100642415B1
Authority
KR
South Korea
Prior art keywords
recipe
reactor
value
variable
values
Prior art date
Application number
KR1020017003276A
Other languages
Korean (ko)
Other versions
KR20010075100A (en
Inventor
더글라스 브이. 푸트남-피트
타미 제이. 트레이시
커티스 엠. 오타구로
도날드 더블유. 데이비슨
리디아 제이. 영
재 파크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010075100A publication Critical patent/KR20010075100A/en
Application granted granted Critical
Publication of KR100642415B1 publication Critical patent/KR100642415B1/en

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32096Batch, recipe configuration for flexible batch control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 반도체 웨이퍼를 처리하는 웨이퍼 처리 리액터 시스템을 제공한다. 시스템은 지속 시간(T레서피)을 각각 갖는 레서피의 단계에 응답하고 리액터 내의 복수의 공정 변수를 제어하는 제어기를 포함한다. 제어기는 T레서피의 보다 작은 복수의 시간 간격(T)에서 공정 변수의 값을 변화시키는 제어 신호를 제공하도록 형성되고 지속 시간 T레서피에 대한 공정 변수 값의 실질적으로 완만한 램핑을 제공한다. 또한 제어기는 개개 레서피의 단계 내에서 선택된 변수에 대한 초기 값, 최종 값, 및 값 사이의 전이의 선택을 허용하도록 형성된다.The present invention provides a wafer processing reactor system for processing a semiconductor wafer. The system includes a controller responsive to the steps of the recipe, each having a duration T recipe , and controlling a plurality of process variables in the reactor. The controller provides a substantially gradual ramping of the process parameter values for a are formed to provide a control signal for changing the value of the process variable at a plurality of time intervals (T) is less than the duration T T recipe recipe. The controller is also configured to allow the selection of the initial value, final value, and transition between values for the selected variable within the steps of the individual recipe.

Description

프로그램 가능한 공정 변수를 갖는 웨이퍼 처리 리액터 시스템 및 방법 {WAFER PROCESSING REACTOR SYSTEM WITH PROGRAMMABLE PROCESSING PARAMETERS AND METHOD}WAFER PROCESSING REACTOR SYSTEM WITH PROGRAMMABLE PROCESSING PARAMETERS AND METHOD

본 발명은 일반적으로 웨이퍼 처리 리액터 시스템에 관한 것이며, 보다 구체적으로는 선택된 공정 변수를 램핑(ramping) 제어하는 리액터 시스템과 웨이퍼를 처리하는 동적 환경의 반복 가능한 제어를 제공하는 작동 방법에 관한 것이다.FIELD OF THE INVENTION The present invention relates generally to wafer processing reactor systems and, more particularly, to a reactor system for ramping selected process variables and a method of operation for providing repeatable control of a dynamic environment for processing wafers.

반도체의 제조에 있어서, 웨이퍼 처리 방법이 반도체 기판 상에 박막을 증착시키는데 사용된다. 통상적으로는, 화학 기상 증착(CVD) 방법이 사용되며, 최근에는 플라즈마 및 고밀도 플라즈마 CVD(HDP-CVD) 리액터 및 이를 이용한 방법이 작은 소자 미세구조물 상에 박막을 증착시키는데 사용된다. 플라즈마 리액터는 플라즈마 에칭에도 사용될 수 있다. 일예로서, 플라즈마 리액터에서의 공정은 일반적으로 웨이퍼를 플라즈마 챔버로 이동시키고, 웨이퍼를 처리 시퀀스에 노출시키며, 웨이퍼를 챔버로부터 제거할 것을 요구한다. 처리 챔버의 조건은 처리 공정 보다는 웨이퍼 전달 중에 상이하다. 특히, 플라즈마, 고주파 전력, 가스 흐름, 및 진공 압력 조건이 영향받는다. 상기 조건은 전체 시퀀스가 중단되지 않고 바람직한 결과가 웨이퍼 상에 초래되는 것을 보장하는 정밀한 균형을 유지하기 위해 제어된다. 주의 깊게 균형 잡힐 필요가 있는 조건으로는 처리 가스 흐름, 진공 압력, 플라즈마 고주파 전력, 척 고주파 전력, 척 클램핑 및 웨이퍼 배면의 가스 압력, 등을 포함한다(집합적으로 "공정 변수" 또는 "변수"로 지칭됨). 이러한 조건들은 박막의 질, 응력, 조성, 에칭, 등, 및 작업 처리량에 영향을 준다. 이러한 조건의 균형이 붕괴되면 플라즈마 손실, 불량한 박막의 질, 및 웨이퍼 파손을 야기한다.In the manufacture of semiconductors, wafer processing methods are used to deposit thin films on semiconductor substrates. Typically, chemical vapor deposition (CVD) methods are used, and recently plasma and high density plasma CVD (HDP-CVD) reactors and methods using the same are used to deposit thin films on small device microstructures. The plasma reactor can also be used for plasma etching. As an example, a process in a plasma reactor generally requires moving the wafer into the plasma chamber, exposing the wafer to a processing sequence, and removing the wafer from the chamber. The conditions of the processing chamber are different during wafer transfer rather than the processing process. In particular, plasma, high frequency power, gas flow, and vacuum pressure conditions are affected. The conditions are controlled to maintain a precise balance that ensures that the entire sequence is not interrupted and the desired results are produced on the wafer. Conditions that need to be carefully balanced include process gas flow, vacuum pressure, plasma high frequency power, chuck high frequency power, chuck clamping and gas pressure on the back of the wafer, etc. (collectively "process variables" or "variables"). Referred to as). These conditions affect the quality, stress, composition, etching, etc., and throughput of the thin film. Disruption of this balance of conditions leads to plasma loss, poor film quality, and wafer failure.

HDP-CVD 기술은 상대적으로 저온(≤400℃)에서 반도체 구조물 내에 형성된 좁고 깊은 갭을 채울 수 있다는 점에서 유용하다. 일반적으로, 갭의 폭은 0.35 마이크로미터 미만이며 2:1 이상의 종횡비(높이 대 폭의 비)를 갖는다. 갭은 채워지는 동안 갭의 중앙이 비어 있도록 동시에 박막 재료를 증착시키고 낮은 속도에서 재료를 스퍼터링함으로써 공극 없이 채워진다. 플라즈마 조건과 웨이퍼 장착 및 바이어스 조건에 의해 영향을 받는 웨이퍼 온도는 이러한 증착과 스퍼터링 속도에 상당한 영향을 준다.HDP-CVD techniques are useful in that they can fill narrow and deep gaps formed in semiconductor structures at relatively low temperatures (≦ 400 ° C.). Generally, the width of the gap is less than 0.35 micrometers and has an aspect ratio (ratio of height to width) of at least 2: 1. The gap is filled without voids by simultaneously depositing thin film material and sputtering the material at low speed so that the center of the gap is empty while filling the gap. The wafer temperature affected by plasma conditions and wafer loading and bias conditions has a significant impact on this deposition and sputtering rate.

일반적으로, 웨이퍼 처리 리액터와 공정은 컴퓨터 시스템에 의해 제어된다. 예를 들어, HDP-CVD 리액터 제어 시스템에서, 사용자는 리액터와 서브시스템 내의 공정 변수 모듈(예를 들어, 고주파 및 직류 전력 모듈, 진공 시스템 부재, 가스 질량 흐름 제어기, 등)을 사용하여 소정의 공정 변수를 한정함으로써 HDP-CVD 공정의 개개 단계 또는 상태를 한정할 수 있다. 이러한 단계의 시퀀스는 레서피(recipe)로 간주된다. 레서피가 실행될 때 공정 변수는 각각의 개개 단계에서 각각의 세팅에 의존하는 변수 모듈을 통해 켜지고 꺼진다. 일반적으로, 공정 변수는 소정의 박막 결과를 나타내도록 균형잡혀야 하는 처리 챔버 내의 전기적 조건, 가스, 및 압력을 의미한다. 그러나, 이러한 시스템에서, 변수 값의 변화는 레서피 단계의 간격 내에서 광범위하게 변화된 단계를 야기할 수 있다. 도 2는 N 레서피 단계로 구성된 일반적인 선행 기술의 레서피 시퀀스를 도시한다. 도 2는 레서피 시퀀스 내에서 두 개의 변수(A 및 B)에 대한 단계의 값이 변한다는 것을 도시한다. 선행 기술에서 사용자는 각각의 개개 공정 변수에 대한 세트포인트를 갖는 개개 고정된 시간 길이의 단계를 생성한다. 신규 단계가 각각의 세트포인트 변화에 대해 요구되고, 각각의 단계는 특정 기간 동안 작동한다. 즉, 레서피 단계는 고정된 시간 지속시간 동안 실행되고 모든 세트포인트는 단계 초기에 설정되며, 다음 단계가 실행될 때까지 변하지 않는다.Generally, wafer processing reactors and processes are controlled by a computer system. For example, in an HDP-CVD reactor control system, a user may use a process variable module (e.g., a high frequency and direct current power module, a vacuum system member, a gas mass flow controller, etc.) within a reactor and subsystem to process a given process. By defining variables, individual steps or states of the HDP-CVD process can be defined. This sequence of steps is considered a recipe. When the recipe is executed, the process variables are turned on and off via the variable module, which depends on the respective settings in each individual step. In general, process variables refer to electrical conditions, gases, and pressures within the processing chamber that must be balanced to produce a desired thin film result. However, in such a system, a change in the value of a variable can result in a step that is widely varied within the interval of the recipe step. 2 shows a general prior art recipe sequence consisting of N recipe steps. 2 shows that the values of the steps for two variables A and B change within the recipe sequence. In the prior art the user creates an individual fixed time length step with a setpoint for each individual process variable. A new step is required for each setpoint change, and each step operates for a specific period of time. That is, the recipe step is run for a fixed time duration and all setpoints are set at the beginning of the step and do not change until the next step is executed.

소정의 단계에서 레서피 시간 단계에 대해 갑작스럽게 보다는 점진적으로 공정 변수의 값을 변화시키는 것이 바람직하거나, 레서피 시간 단계 보다 보다 신속하고 점진적으로 변수의 값을 변화시키거나, 레서피의 시간 단계 이하 또는 이상인 상이한 시간 단계를 갖는 다양한 변수를 동시에 변화시키는 것이 바람직하다.It is desirable to change the value of the process variable more abruptly and gradually than the recipe time step in a given step, or to change the value of the variable more quickly and gradually than the recipe time step, It is desirable to simultaneously change various variables with time steps.

초 단위로 시간 단계를 갖는 현재 상업적으로 이용되고 있는 레서피 소프트웨어는 레서피 시간 단계와 동일하거나 작은 단위의 시간 단계에 대해 점진적인 변수의 변화를 제공할 수 없다. 그러므로, 완만한 전환이 요구되고(동시에) 리액터의 환경의 제어를 유지하고 반복 가능한 처리 결과를 보장하는 초보다 짧은 램프 시간 내의, HDP-CVD와 같은 분야에 있어서, 또 다른 해결책을 찾아야 한다.Currently commercially available recipe software with time steps in seconds cannot provide a gradual change in the time steps in units equal to or less than the recipe time step. Therefore, another solution has to be found in fields such as HDP-CVD, in which ramping is required (at the same time) and within a shorter ramp time than seconds to maintain control of the reactor's environment and ensure repeatable processing results.

본 발명의 목적은 웨이퍼 처리를 위한 동적 환경의 반복 가능한 제어가 달성되는 개선된 웨이퍼 처리 리액터 시스템을 제공하는 것이다.It is an object of the present invention to provide an improved wafer processing reactor system in which repeatable control of a dynamic environment for wafer processing is achieved.

본 발명의 또다른 목적은 특정 시간 간격에 대해 점진적으로 변수 값의 변화를 제어하도록 형성된 웨이퍼 처리 리액터 시스템을 제공하는 것이다.It is yet another object of the present invention to provide a wafer processing reactor system configured to control the change of variable values gradually over a specific time interval.

본 발명의 또 다른 목적은 리액터 내의 하나 이상의 변수를 완만하게, 동시에 독립적으로 제어하여, 리액터의 처리 특성과 웨이퍼의 박막 특성을 제어하는 제어기 및 방법을 제공하는 것이다.It is yet another object of the present invention to provide a controller and method for controlling the processing characteristics of a reactor and the thin film characteristics of a wafer by gently and independently controlling one or more variables in the reactor.

본 발명의 또다른 목적은 공정 시퀀스 또는 레서피에서 단계의 총 수가 최소화되어 사용자를 위해 복잡성을 감소시키는 제어기를 포함하는 웨이퍼 처리 리액터를 제공하는 것이다.It is a further object of the present invention to provide a wafer processing reactor comprising a controller which reduces the complexity for the user by minimizing the total number of steps in the process sequence or recipe.

본 발명의 또 다른 목적은 최적의 박막 품질을 달성하도록 공정 변수의 완만함과 미세한 튜닝을 제공하는 웨이퍼 처리 리액터를 제공하는 것이다.It is yet another object of the present invention to provide a wafer processing reactor that provides smoothness and fine tuning of process parameters to achieve optimum thin film quality.

따라서, 제어기가 각각 지속 시간 T레서피를 갖는 레서피 단계에 따르며 리액터 내에서 복수의 공정 변수를 제어하고, 복수의 시간 간격 T에서 공정 변수의 값을 변화시키도록 제어 신호를 제공하도록 형성되며, T는 T레서피 이하이며, 지속 시간 (T레서피)에 대해 공정 변수의 값을 실질적으로 완만한 램핑을 제공하는 반도체 웨이퍼를 처리하기 위한 웨이퍼 처리 리액터 시스템이 제공된다.Thus, the controller is configured to control the plurality of process variables in the reactor according to the recipe step each having a duration T recipe and to provide a control signal to change the value of the process variable in the plurality of time intervals T, where T is A wafer processing reactor system is provided for processing semiconductor wafers that are below the T recipe and that provide substantially gentle ramping of the value of the process variable over the duration (T recipe ).

본 발명의 부가적인 목적과 특징이 도면과 함께 제공된 다음의 상세한 설명 과 청구의 범위로부터 보다 명확해 질 것이다.Additional objects and features of the present invention will become more apparent from the following detailed description and claims, provided in conjunction with the drawings.

도 1은 본 발명에 사용되고 소정의 공정 변수 모듈(예를 들어, 질량 흐름 가스 입력 제어기, 고주파 플라즈마 및 고주파 클램핑 척 전력 모듈, 및 진공 시스템 제어)을 설명하는 웨이퍼 처리 리액터의 개략도이며,1 is a schematic diagram of a wafer processing reactor used in the present invention and describing certain process variable modules (e.g., mass flow gas input controllers, high frequency plasma and high frequency clamping chuck power modules, and vacuum system control);

도 2는 HDP-CVD 공정에서 갭 충진을 도시하는 개략도이며,2 is a schematic diagram showing gap filling in an HDP-CVD process,

도 3은 선행 기술에 따라 두 개의 변수에 대한 일반적인 레서피의 시퀀스를 도시하며,3 shows a sequence of general recipes for two variables according to the prior art,

도 4는 본 발명에 따라 두 개의 변수에 대한 일반적인 레서피의 시퀀스를 도시하며,4 shows a sequence of general recipes for two variables in accordance with the present invention,

도 5는 본 발명의 한 실시예에 따라 프로그램 가능한 제어기의 개략도이며,5 is a schematic diagram of a programmable controller according to one embodiment of the invention,

도 6은 본 발명의 한 실시예에 따라 소정의 프로그램 가능한 제어의 시퀀스에 대한 흐름도이며,6 is a flow diagram for a sequence of predetermined programmable controls in accordance with one embodiment of the present invention;

도 7은 표 1에 따른 레서피의 시퀀스를 도시하며,7 shows a sequence of recipes according to Table 1,

도 8은 본 발명의 한 실시예에 따른 HDP-CVD 리액터를 작동하는 레서피의 시퀀스의 실시예를 도시하는 표이다.8 is a table illustrating an embodiment of a sequence of recipes for operating an HDP-CVD reactor according to one embodiment of the present invention.

본 발명을 보다 자세히 이해하기 위해, 웨이퍼 처리 시스템이 도 1에 도시된다. 예시적인 실시예에서, 웨이퍼 처리 시스템은 플라즈마 리액터가며 특히 고밀도 플라즈마 CVD 리액터가지만, 본 발명은 웨이퍼 처리를 위한 동적 환경의 반복 가능한 제어가 바람직한 소정의 형태의 웨이퍼 처리 시스템에 이용될 수도 있다고 이해해야 한다. 도 1에서, 리액터는 플라즈마 챔버(10)와 처리 챔버(11)를 포함한다. 챔버(10, 11)는 포트(12)를 통해 스로틀 밸브(12a)를 경유하여 0 내지 20 mTorr 범위의 진공으로 배기된다. 코일(13)은 플라즈마 챔버(10) 둘레에 정렬되며 고주파 전력으로 전류가 통하게 될 때, 챔버 내의 가스를 플라즈마 상태로 여기시킨다. 본 발명의 기술 분야에서 공지된 코일 또는 코일들의 다양한 정렬 방식이 플라즈마를 생성하는데 사용된다. 기판(16)은 처리 챔버 내에 위치된 지지대(17, 때로는 척 또는 정전기적 척으로 지칭됨) 상에 장착되어 기판의 표면은 상방향을 향하게 된다. 지지대(17)는 전송선(21)을 경유하여 고주파 생성기(19)를 통해 고주파 바이어스 전력의 인가에 의해 바이어스된다. 본 발명의 기술 분야에서 공지된 기계적 지지대(17) 또는 정전기적 지지대는 웨이퍼를 지지하는데 사용된다. 지지 방법으로는 일반적으로 가압된 가스(일반적으로 헬륨)를 지지대와 기판 사이에 제공하여 기판으로부터 지지대로 열전달을 가능케하는 수단을 포함한다. 헬륨 가스는 질량 흐름 제어기(20)와 밸브(21)를 통해 공급된다.In order to better understand the present invention, a wafer processing system is shown in FIG. In an exemplary embodiment, the wafer processing system is a plasma reactor, in particular a high density plasma CVD reactor, although it is to be understood that the present invention may be used in any type of wafer processing system where repeatable control of a dynamic environment for wafer processing is desired. In FIG. 1, the reactor includes a plasma chamber 10 and a processing chamber 11. Chambers 10 and 11 are evacuated through port 12 to vacuum in the range of 0 to 20 mTorr via throttle valve 12a. The coil 13 is aligned around the plasma chamber 10 and excites the gas in the chamber into a plasma state when current is conducted at high frequency power. Various arrangements of coils or coils known in the art are used to generate the plasma. The substrate 16 is mounted on a support 17 (sometimes referred to as a chuck or electrostatic chuck) located in the processing chamber so that the surface of the substrate is facing upward. The support 17 is biased by application of a high frequency bias power through the high frequency generator 19 via the transmission line 21. Mechanical supports 17 or electrostatic supports known in the art are used to support the wafer. Support methods generally include means for providing pressurized gas (usually helium) between the support and the substrate to enable heat transfer from the substrate to the support. Helium gas is supplied through the mass flow controller 20 and the valve 21.

도 1에서 가스는 챔버 내의 다양하고 상이한 장소로 주입될 수도 있다. 가스는 가스 입구 라인(22), 또는 플라즈마 공급원 하부와 기판 상에 위치된 환형의 가스 주입기(34)를 통해 챔버의 상부로 주입될 수도 있다. 가스는 가스 입구 라인(22)에 있는 질량 흐름 제어기(25, 26)와 밸브(25a), 또는 질량 흐름 제어기(31a, 31b)와 가스 입구 라인(33b)으로 표시된 것처럼 챔버로 유입되기 전에 미리 혼합될 수도 있다. 대안적으로, 가스는 가스 입구 라인(33a)을 통해 단일 가스를 수송하는 질량 흐름 제어기(32)와 관련하여 도시된 것처럼 단일 종으로서 전달될 수도 있다.In FIG. 1 the gas may be injected into various different locations within the chamber. The gas may be injected into the top of the chamber through a gas inlet line 22 or an annular gas injector 34 located below the plasma source and on the substrate. The gas is premixed before entering the chamber as indicated by the mass flow controllers 25 and 26 and the valve 25a in the gas inlet line 22 or the mass flow controllers 31a and 31b and the gas inlet line 33b. May be Alternatively, the gas may be delivered as a single species as shown in connection with the mass flow controller 32 that transports a single gas through the gas inlet line 33a.

일반적으로, 처리 가스는 가스 입구 라인(33a, 33b)을 통해 처리 챔버(11) 및/또는 가스 입구 라인(22)을 경유해서 플라즈마 챔버(10)로 유입된다. 고주파 전력은 가스(들)을 분해시키고 이온화시키기 위해 코일(13)에 가해진다. 소정의 가스 흐름 속도는 각각 질량 흐름 제어기(25, 26, 31a, 31b 및 32)에 의해 제어된다.Generally, process gas enters the plasma chamber 10 via the gas inlet lines 33a and 33b via the process chamber 11 and / or the gas inlet line 22. High frequency power is applied to the coil 13 to decompose and ionize the gas (es). The predetermined gas flow rate is controlled by mass flow controllers 25, 26, 31a, 31b and 32, respectively.

예시적인 실시예에서, 고주파 에너지는 고주파 생성기(28)로부터 챔버 주위에 정렬된 코일(13)을 통해 챔버(10)로 공급된다. 일반적으로, 고주파 에너지의 주파수는 상업적으로 표준 주파수인 13.56 ㎒이다. 이러한 구성에서, 플라즈마는 이온화된 원자를 포함하여 반응성 종을 형성하는 상기 제 1 가스 흐름에 의해 유입되는 가스 분자의 퍼센트를 분해시킴으로써 플라즈마 챔버(10) 내에 생성된다. 본 발명의 바람직한 실시예에서, 1011 이온/㎤ 이상의 이온 밀도가 달성되며 이는 고밀도 플라즈마로 지칭된다. 플라즈마는 존재하는 다른 종과 비교하여 매우 높은 에너지를 갖는 전자를 포함한다. 고에너지 전자는 증착 또는 에칭에 이용될 수 있는 반응성 종의 분해 밀도를 증가시킨다. HDP-CVD 리액터가 개시되지만, 본 발명은 에칭 리액터와 CVD 리액터를 포함하는 다양한 리액터 형태로 실행될 수도 있다는 것을 이해해야 한다. 예를 들어, 제 2 가스 흐름의 기체 화학물이 증착 종을 제공하기 위해 유입된다. 증착 가스는 질량 흐름 제어기(31a, 31b, 및/또는 32)에 의해 소정의 선택된 흐름 속도로 유입된다. 가스는 처리 챔버(11)로 유입될 때 가스 입구 라인(33b)에서 혼합되고 또는 가스 입구(33a)를 경유해서 직접 수송된다. 가스 주입기(34)는 가스 흐름을 수용하고 분산시키기 위해 기판에 인접하게 처리 챔버 내에 장착된다. 가스 주입기(34)는 주입기(34) 주변에 동등하게 위치된 복수의 분배 홀(도시 않음)을 포함한다. 처리 가스는 분배 홀을 통해 기판(16)의 표면 주위에 실질적으로 균일하게 분배된다. 처리 가스는 플라즈마 챔버(10)로부터 처리 챔버(11)로 유입되는 플라즈마에 의해 분해되고 활성화된다. 이러한 분해되고 활성화된 상태에서, 기체 화학물은 기판(16)의 표면 상에 기체 화학물에 의해 결정된 조성을 갖는 층을 형성하도록 반응한다. 상술한 것처럼, 리액터는 원하는 웨이퍼 처리 결과를 생성하도록 모두 균형화되고 시간이 요구되는 다수의 변수들을 갖는 동적 환경을 수용한다.In an exemplary embodiment, high frequency energy is supplied from the high frequency generator 28 to the chamber 10 through a coil 13 arranged around the chamber. In general, the frequency of high frequency energy is 13.56 MHz, which is a commercial standard frequency. In this configuration, a plasma is generated in the plasma chamber 10 by decomposing the percentage of gas molecules introduced by the first gas stream that includes ionized atoms to form reactive species. In a preferred embodiment of the present invention, an ion density of at least 10 11 ions / cm 3 is achieved, which is referred to as a high density plasma. The plasma contains electrons with very high energy compared to other species present. High energy electrons increase the decomposition density of reactive species that can be used for deposition or etching. While HDP-CVD reactors are disclosed, it is to be understood that the present invention may be practiced in various reactor forms, including etch reactors and CVD reactors. For example, gaseous chemistry of the second gas stream is introduced to provide deposition species. The deposition gas is introduced by the mass flow controllers 31a, 31b, and / or 32 at a predetermined selected flow rate. The gas is mixed in the gas inlet line 33b as it enters the processing chamber 11 or is directly transported via the gas inlet 33a. Gas injector 34 is mounted in the processing chamber adjacent the substrate to receive and disperse gas flow. Gas injector 34 includes a plurality of distribution holes (not shown) located equally around injector 34. The processing gas is distributed substantially uniformly around the surface of the substrate 16 through the distribution holes. The processing gas is decomposed and activated by the plasma flowing from the plasma chamber 10 into the processing chamber 11. In this degraded and activated state, the gas chemistry reacts to form a layer having a composition determined by the gas chemistry on the surface of the substrate 16. As mentioned above, the reactor accommodates a dynamic environment with multiple variables that are all balanced and time consuming to produce the desired wafer processing results.

HDP-CVD 기술로 인해 상대적으로 저온에서 2:1 이상의 종횡비(높이 대 폭의 비)를 갖는 반도체 구조물 내에 형성된 좁고 깊은 갭(일반적으로 ≤0.35 마이크로미터)을 충진할 수 있다. 도 2는 갭을 갖는 일반적인 구조물을 도시한다. 갭을 완전히 충진하기 위해서, 갭 상부의 개구가 갭의 바닥이 채워질 때까지 재료가 없는 상태를 유지하는 것이 중요하다. 한 접근 방식은 갭이 채워질 때까지 소량의 재료를 증착하고, 그 후 소정의 초과량을 스퍼터링(또는 에칭)하고, 그 후 더 많은 량의 재료를 증착하고 다시 스퍼터링 한다. 이러한 교번적인 시퀀스는 리액터의 플라즈마 밀도가 제한(즉, ≤1010이온/㎤)될 때 일반적으로 적용된다.The HDP-CVD technique allows for the filling of narrow deep gaps (typically <0.35 micrometers) formed in semiconductor structures having aspect ratios (height to width ratios) of at least 2: 1 at relatively low temperatures. 2 shows a typical structure with a gap. In order to completely fill the gap, it is important that the opening on the gap remains free of material until the bottom of the gap is filled. One approach deposits a small amount of material until the gap is filled, then sputters (or etches) a predetermined excess amount, and then deposits and sputters a larger amount of material. This alternating sequence is generally applied when the reactor's plasma density is limited (ie ≦ 10 10 ions / cm 3).

고밀도 플라즈마 장치에 있어서, 플라즈마 밀도는 충분히 높아서(즉 1011이온/㎤ 이상) 증착과 스퍼터링을 동시에 지지할 수 있다. 즉, 증착 가스가 박막을 증착하는데 존재하는 동시에 증착 가스가 증착될 때 박막을 스퍼터링 에칭하는 스퍼터링 에칭 가스가 존재한다. 스퍼터링 전력, 가스 흐름 및 진공 압력을 제어함으로써, 증착 및 스퍼터링 속도가 선행 기술의 장치 보다 부드럽고 보다 신속하게 갭을 채우도록 제어될 수 있다. 일반적으로 갭을 완전히 채우기 위해, 갭이 채워질 때 스퍼터링 속도와 증착 속도는 변화될 필요가 있다. 초기 단계에서, 스퍼터링 속도는 갭이 거의 채워질 때보다 더 높을 필요가 있다. 또한 실질적으로 순수 증착 단계(즉, 스퍼터링 성분이 없음)가 갭 상에 상부 박막을 형성하도록 요구될 수도 있다. 가장 양질의 박막과 리액터 내의 안정한 플라즈마를 보장하기 위해, 스퍼터링 및 증착 속도의 변화를 관리하는 가스 흐름과 전력 세팅의 제어는 가능한 한 완만하게 행해져야 한다.In a high density plasma apparatus, the plasma density is sufficiently high (ie, 10 11 ions / cm 3 or more) to simultaneously support deposition and sputtering. That is, a deposition gas is present to deposit the thin film and at the same time there is a sputter etching gas that sputter etches the thin film when the deposition gas is deposited. By controlling the sputtering power, gas flow and vacuum pressure, the deposition and sputtering rates can be controlled to fill the gaps more smoothly and more quickly than prior art devices. In general, in order to fill the gap completely, the sputtering rate and the deposition rate need to be changed when the gap is filled. In the early stages, the sputtering rate needs to be higher than when the gap is nearly filled. A substantially pure deposition step (ie, no sputtering component) may also be required to form the upper thin film on the gap. In order to ensure the best quality thin film and stable plasma in the reactor, the control of gas flow and power settings to manage the variation of sputtering and deposition rate should be done as gently as possible.

게다가, 증착 속도는 웨이퍼의 온도에 매우 민감하다. 예를 들어, 규소 이산화물의 증착 속도는 온도(℃) 변화 당 10Å 정도의 변화 또는 민감도를 나타낸다. 그러므로, 약 6000Å의 두께를 갖는 통상의 규소 이산화물 박막에 있어서, 전체 웨이퍼 표면에 대해 3% 이내로 균일하게 유지하기 위해, 웨이퍼의 온도는 18℃[계산 : ≤6000 ×0.3(1/10℃) = 18℃] 이내로 유지되어야 한다. 웨이퍼의 온도는 플라즈마 전력, 가스의 조성, 웨이퍼 고정력, 스퍼터링(또는 바이어스) 전력, 및 웨이퍼 배면의 가스 압력에 영향을 받는다. 증착/스퍼터링 공정에 필요한 가스 흐름, 진공 압력, 스퍼터링 전력 뿐만 아니라 이러한 요소들을 제어하는 설비 또는 변수 모듈은 서로에 대해 시간 및 크기에 있어 조정되어야만 한다. 큰 부피와 반복 가능한 고품질의 박막의 성능을 보장하기 위해, 이러한 변수들의 제어는 자동화되어야 한다. 즉, 웨이퍼를 처리하고 고품질의 박막을 형성하기 위해 리액터 내의 동적 환경의 반복 가능한 제어를 제공하는 것은 매우 바람직하다.In addition, the deposition rate is very sensitive to the temperature of the wafer. For example, the deposition rate of silicon dioxide shows a change or sensitivity of about 10 Hz per change in temperature (° C.). Therefore, in a typical silicon dioxide thin film having a thickness of about 6000 GPa, in order to keep it uniformly within 3% of the entire wafer surface, the temperature of the wafer is 18 ° C. [calculated: ≦ 6000 × 0.3 (1/10 ° C.) = 18 ° C.]. The temperature of the wafer is affected by plasma power, gas composition, wafer holding force, sputtering (or bias) power, and gas pressure on the backside of the wafer. The gas flow, vacuum pressure, sputtering power required for the deposition / sputtering process as well as the equipment or variable modules controlling these elements must be adjusted in time and size relative to each other. To ensure the performance of large volumes and repeatable high quality thin films, the control of these variables must be automated. That is, it is highly desirable to provide repeatable control of the dynamic environment within the reactor to process wafers and form high quality thin films.

리액터 시스템에서 공정의 제어는 상업적으로 이용되고 있는 소프트웨어를 통해 일반적으로 달성된다. 이러한 소프트웨어로 인해 사용자는 처리 챔버 및 서브시스템의 개개 단계 또는 상태를 한정할 수 있다. 이러한 단계를 서로 연결함으로써, 사용자는 가스, 고주파 및 직류 전력 모듈, 진공 시스템 부재 등의 시퀀스를 한정하는 레서피를 생성한다. 레서피가 실행될 때, 다양한 변수는 개개 단계에서의 세팅에 기초해서 변수 모듈을 통해 켜지고 꺼진다. 각각의 단계에서 많은 변수가 변화될 수 있다. 그러나, 상업적으로 이용되고 있는 제어 소프트웨어와 선행 기술의 시스템을 사용하여 시간에 대한 변수의 값을 변화시키기 위해, 사용자는 레서피의 단계 간격과 관련하여 광범위한 단계의 변화에 제한된다. 레서피의 단계 간격의 시간("T레서피")은 일반적으로 1초 이상의 단위이다. 이것은 사용자가 점차적으로 변수 값을 변화시키기 희망한다면, 사용자는 레서피의 단계보다 큰 시간 단계의 변화에 제한됨을 의미한다. 이러한 크고, 광범위한 단계의 변수의 변화가 허용된다면, 사용자는 많은 레서피의 단계가 레서피를 수행하는데 요구된다는 것을 알 것이다. 또한, 사용자는 다양한 변수를 동시에 변화시킬 수 있지만, 레서피의 시간 단계보다 큰 시간 단계들로 제한될 수도 있으며, 많은 레서피의 단계가 요구될 것이다. 이러한 많은 레서피의 단계를 관리하고 추적하는 것은 어렵다.Control of the process in the reactor system is generally achieved through commercially available software. This software allows the user to define individual steps or states of the processing chamber and subsystems. By linking these steps together, the user creates a recipe that defines a sequence of gases, high frequency and direct current power modules, vacuum system members, and the like. When the recipe is executed, various variables are turned on and off via the variable module based on the settings in the individual steps. Many variables can be changed at each stage. However, in order to change the value of the variable over time using commercially available control software and prior art systems, the user is limited to a wide range of step changes with respect to the step interval of the recipe. The time of the step interval of a recipe ("T recipe ") is generally in units of 1 second or more. This means that if the user wishes to change the variable value gradually, the user is limited to the change in time step which is larger than the step of the recipe. If such a large, wide range of step changes is allowed, the user will know that many recipe steps are required to perform the recipe. In addition, the user can change various variables simultaneously, but may be limited to time steps larger than the time step of the recipe, and many recipe steps will be required. Managing and tracking the steps of many of these recipes is difficult.

일반적으로, 질량 흐름 제어기와 고주파 및 직류 생성기, 압력 밸브, 펌프, 등과 이들의 조합(집합적으로 "변수 모듈" 또는 "공정 변수 모듈"로 지칭됨)은 처리 레서피를 작동시키는 제어 컴퓨터로부터 이러한 유닛에 특정 세트포인트를 보냄으로써 제어된다. 변수 값은 sccm 단위의 질량 유량과 같은 선택된 공정 변수이거나 전력 값은 와트 등이다. 각각의 레서피의 단계에서 임의의 개수의 변수 또는 공정 변수, 즉 이러한 시간 간격 내에서 개개 가스와 전압은 변화될 수 있다. 그러나, 이러한 시간 간격의 입도는 박막의 증착 속도와 허용 가능한 두께 및 플라즈마 안정성 응답 시간과 비교하여 상대적으로 거칠다. 세트포인트의 변화는 레서피의 시간 단계의 길이에 의해 제한되고, 세트포인트의 변화는 초 단위로 시간마다 발생한다. 이러한 변화의 결과적인 그래프는 두 개의 기체 변수(A, B)에 대해 도 3에 도시된 것처럼 매우 큰 단계를 갖는 계단과 같을 것이다. 이러한 제한으로 인해 웨이퍼 처리 리액터 내의 환경 조건을 제어하는 것이 어려우며, 이러한 결과는 조건의 매우 주의 깊은 균형과 시간에 의존한다.In general, mass flow controllers and high frequency and direct current generators, pressure valves, pumps, etc., and combinations thereof (collectively referred to as "variable modules" or "process variable modules") are such units from a control computer operating a recipe. Controlled by sending a specific setpoint to the. The variable value is a selected process variable, such as mass flow rate in sccm, or the power value is wattage. At any stage of the recipe, any number of variables or process variables, i.e. the individual gases and voltages, can be varied within this time interval. However, the granularity of these time intervals is relatively rough compared to the deposition rate and acceptable thickness and plasma stability response time of the thin film. The change in the setpoint is limited by the length of the time step of the recipe, and the change in the setpoint occurs every hour in seconds. The resulting graph of this change would be like a staircase with very large steps as shown in FIG. 3 for the two gas variables A, B. These limitations make it difficult to control environmental conditions within the wafer processing reactors, and these results depend on very careful balance and time of conditions.

본 발명의 발명자는 소정의 응용에서 레서피의 시간 단계(T레서피)와 상이한 시간 프레임 동안 점차적으로 및/또는 동시에 변수 값을 변화시키는 것이 바람직하다는 것을 발견했다. 점진적인 변화는 0이 아닌 특정 시간 윈도우에 대해 초기 값으로부터 최종 값으로 변수 값의 변화를 의미한다. 시간이 T초기에서 T최종으로 변할 때, X가 X초기에서 X최종으로 변하면 방정식 (X최종 - X초기)/(T최종 - T초기) = △X/△T는 소정의 변화를 나타내며, △T는 레서피의 시간 단계와 상이할 수도 있다. 장치가 컴퓨터 제어 하에 장착된 제어 설계에 있어서, 변수 값의 변화와 소정의 시간 간격을 소정의 총 변수 값 변화와 소정의 총 시간 단계 변화 보다 작은 분리된 단계 변화로 전환시킴으로써 점차적으로 야기된다. 특히,The inventors of the present invention have found that in certain applications it is desirable to change the variable value gradually and / or simultaneously for a time frame different from the time step (T recipe ) of the recipe . Gradual change refers to the change of the variable value from the initial value to the final value for a particular non-zero time window. In time T early When changed to the T end, X turns to the X end the X initial equation (X final - X initial) / (T final - T initial) = △ X / △ T indicates a predetermined change, △ T is the time of recipe The steps may be different. In a control design in which the device is mounted under computer control, it is gradually caused by converting the change in the variable value and the predetermined time interval into discrete step changes that are smaller than the predetermined total variable value change and the predetermined total time step change. Especially,

(X최종 - X초기)/m =

Figure 112001005564678-pct00001
(xi+1 - xi) =
Figure 112001005564678-pct00002
△x, 또는 (X최종 - X초기) = m△x 및(X final -X initial ) / m =
Figure 112001005564678-pct00001
(x i + 1 -x i ) =
Figure 112001005564678-pct00002
Δx, or (X final -X initial ) = mΔx and

(T최종 - T초기)/n =

Figure 112001005564678-pct00003
(Tj+1 - Tj) =
Figure 112001005564678-pct00004
△t, 또는 (T최종 - T초기) = n△t이고(T last -T initial ) / n =
Figure 112001005564678-pct00003
(T j + 1 -T j ) =
Figure 112001005564678-pct00004
Δt, or (T last -T initial ) = nΔt and

(X최종 - X초기)/(T최종 - T초기) = △X/△T = (m/n)(△x/△t) = k(△x/△t)이며 k는 시간 △T에 대한 완전 변화 △X를 만드는 크기 △x와 △t의 단계들의 개수이다.(X Last -X Initial ) / (T Last -T Initial ) = ΔX / ΔT = (m / n) (Δx / Δt) = k (Δx / Δt) and k is at time ΔT Is the number of steps of magnitude [Delta] x and [Delta] t that make a complete change [Delta] X

k가 크다면(△x/△t가 작음), 초기 변수로부터 최종 변수 값 및 시간으로의 소정의 변화는 직선을 그린다. 직선 또는 완벽하게 완만한 변화의 제한은 k가 무한대에 접근할 때 달성된다.If k is large (Δx / Δt is small), the predetermined change from the initial variable to the final variable value and time draws a straight line. The limitation of straight or perfectly smooth changes is achieved when k approaches infinity.

HDP-CVD와 같은 소정의 응용에 있어서, 안정성이 많은 변수의 복잡한 균형에 의해 결정되는 공정 환경의 반복 가능하고 주의 깊은 제어를 달성하는 것이 필요하다. 이러한 경우에 변수 값의 변화는 점진적일 필요가 있다. 바람직하게, 변수 값의 변화는 통상적인 소프트웨어에서 이용되는 레서피의 시간 단계보다 짧은(또는 그 정도인) 시간 간격에 대해 점진적이다. 즉, 처리 환경의 균형을 유지하기 위해, △t<T레서피인 경우 작은 △x/△t 단계가 변수 값의 점진적이고 완만한 변화를 보장하도록 적용되어야 하고 이용 가능해야 한다.In certain applications, such as HDP-CVD, it is necessary to achieve repeatable and careful control of the process environment, where the stability is determined by the complex balance of many variables. In this case the change in the variable value needs to be gradual. Preferably, the change in the variable value is gradual over a time interval that is shorter (or greater) than the time step of the recipe used in conventional software. That is, in order to balance the processing environment, a small Δx / Δt step should be applied and available to ensure a gradual and gentle change in the variable value when Δt <T recipe .

본 발명은 초 단위로 레서피의 시간 단계(T레서피)의 제한을 이용하지만, 변수의 세트포인트가 레서피의 단계내에서 초당 수 배로 변할 수 있는 성능을 부가하여, 관심 대상인 시간 간격 길이에 대해 완만한 선, 및 부드럽거나 점진적인 램핑에 근접하는 매우 작은 단계를 갖는 계단을 형성하게 한다. 이것은 본 발명의 램핑 제어, 및 △X 및 △x와 △T 및 △t 사이의 관계를 설명하는 도 4에 도시된다. 특별한 장점 중에, 본 발명은 시스템 제어기와 변수 모듈 사이의 프로그램 가능한 전자 회로인 "스마트 장치"를 이용한다. 대안적으로, 스마트 장치는 변수 제어 내에 존재할 수도 있다. 회로가 물리적으로 어디에 존재하든간에, 논리 작용은 동일하다. 스마트 장치는 프로그램될 수 있는 전자 회로이며 시스템 제어기로부터 입력 신호를 취하여, 계산된 시간 시퀀스에서의 정보와 출력 신호를 변수 모듈에서 처리하는 작용을 가진다. 이러한 프로그램 가능한 전자 회로는 바람직하게 상업적으로 이용되고 있는 프로그램 가능한 논리 제어기(PLC)로 구성되지만, 신속한 마이크로프로세서에 기초한 컴퓨터와 같은 다른 적합한 프로그램 가능한 전자 회로가 이용될 수도 있다.The present invention utilizes the limitation of the recipe's time step (T recipe ) in seconds, but adds the capability that the set point of the variable can change several times per second within the recipe's steps, thus providing a gentle over time interval of interest. Allows the formation of lines and steps with very small steps approaching smooth or gradual ramping. This is shown in Figure 4 illustrating the ramping control of the present invention and the relationship between ΔX and Δx and ΔT and Δt. Of particular advantages, the present invention utilizes a "smart device" which is a programmable electronic circuit between a system controller and a variable module. Alternatively, the smart device may be present in variable control. Wherever the circuit is physically present, the logic is the same. Smart devices are programmable electronic circuits that take input signals from the system controller and process the information and output signals in the calculated time sequence in the variable module. Such programmable electronic circuits preferably consist of commercially available programmable logic controllers (PLCs), but other suitable programmable electronic circuits such as computers based on rapid microprocessors may be used.

특히, 공정 챔버의 조건은 질량 흐름 제어기(MFC), 전력 공급원, 고주파 전력 생성기, 진공 제어, 등과 같은 변수 모듈에 의해 설정된다. 이러한 변수 모듈은 서로 독립적으로 작용하며, 특히 단일 출력 값을 제공하기 위해 시스템 제어기로부터 단일 입력 값을 수신한다. 예를 들어, MFC는 10sccm의 출력 흐름을 제공하도록 지시받거나, 고주파 생성기는 5000와트의 출력을 제공하도록 지시받을 수 있다. 변수 모듈 자체는 일반적으로 시간에 대한 값(즉, 출력에서 "램프" 업 또는 다운)을 변화시키는 지시를 수용하고 처리하는 기능을 포함하지 않는다. 새로운 입력에 대한 변수 모듈의 응답 시간은 일반적으로 제한되지 않으며, 즉, 60Hz 이상의 주파수에서 새로운 출력을 제공하도록 새로운 명령이 변수 제어기에 보내질 수 있다. 입력 사이의 시간에 대한 일반적인 제한은 상업적으로 이용되는 변수 모듈에 의해 수용될 수 있는 것에 의해 제한되며, 일반적으로 밀리초의 수십배 단위이다. 1 밀리초 이하의 응답 시간을 갖는 변수 모듈이 상업적으로 이용되며, 본 발명에서 사용될 수도 있다.In particular, the conditions of the process chamber are set by variable modules such as mass flow controllers (MFCs), power sources, high frequency power generators, vacuum control, and the like. These variable modules operate independently of one another and in particular receive a single input value from the system controller to provide a single output value. For example, the MFC may be instructed to provide an output flow of 10 sccm, or the high frequency generator may be instructed to provide an output of 5000 watts. The variable module itself generally does not include the ability to accept and process instructions that change a value over time (ie, "lamp" up or down at the output). The response time of the variable module to a new input is generally not limited, i.e., a new command can be sent to the variable controller to provide a new output at frequencies above 60 Hz. The general limitation on the time between inputs is limited by what can be accommodated by commercially available variable modules, which are generally units of tens of milliseconds. Variable modules with a response time of 1 millisecond or less are commercially available and may be used in the present invention.

다시 도 4를 참조하면 본 발명의 다양하고 상이한 결과들이 도시된다. 4 개의 레서피 단계가 변수 A와 B에 대해 상세히 도시되지만, 이것은 레서피의 일부분이며 레서피는 N개의 단계들과 M 개의 공정 변수로 구성될 수 있다는 것을 이해해야 한다. 일반적으로, 변수 A와 B의 시간 곡선의 부분(200, 201, 202)은 상향으로 램프되는 값이고 부분(203, 204)은 하향으로 램프되는 값이다. 부분(200)은 완전 레서피의 단계(단계 2)에 대해 램프되는 변수 A의 예이며 부분(202)은 단계(단계 3)의 일부분에 대해 램프되고 그 후 나머지 부분의 단계에 대해서는 일정하게 유지된다. 부분(201)은 두 개의 레서피 단계들(단계 1 및 2) 사이의 변수 B의 램핑을 도시한다. 부분(205)은 모든 단계(단계 3)에 대해 변수 B가 일정하게 유지됨을 도시한다. 단계 4에서 부분(204)에서의 변수 A는 부분(203)에서의 변수 B와 상이한 비율로 하향 램프된다. 최종적으로, 도 3은 새로운 레서피의 단계가 새로운 램핑 조건이 도입되는 각 경우에 개시되는 것을 도시한다. 예를 들어, 변수 A는 단계(2, 3, 및 4)에서 상태 변화를 개시하지만, 변수 B는 단계(1 및 4)에서 상태 변화를 개시한다. 레서피의 시간 단계의 길이는 서로 상이하며, 예를 들어, 단계(3)는 다른 단계보다 길다.Referring again to FIG. 4, various different results of the present invention are shown. Although four recipe steps are shown in detail for variables A and B, it is to be understood that this is part of the recipe and the recipe can consist of N steps and M process variables. In general, portions 200, 201, 202 of the time curves of variables A and B are values ramped upwards and portions 203, 204 ramped downwards. Portion 200 is an example of variable A that is ramped for step (step 2) of the complete recipe and section 202 is ramped for part of step (step 3) and then remains constant for the rest of the steps. . Portion 201 shows the ramping of variable B between two recipe steps (steps 1 and 2). Portion 205 shows that variable B remains constant for all steps (step 3). In step 4 variable A in portion 204 ramps down at a different rate than variable B in portion 203. Finally, FIG. 3 shows that a step of a new recipe is started in each case where a new ramping condition is introduced. For example, variable A initiates a state change in steps 2, 3, and 4, while variable B initiates a state change in steps 1 and 4. The length of the time step of the recipe is different from one another, for example step 3 is longer than the other steps.

도 4에 도시된 램핑 프로파일을 얻기 위해, 본 발명에 따른 제어기는 도 5를 참조하여 도시된 것처럼 이용될 수 있다. 레서피는 유저 인터페이스 컴퓨터(36)에 유입되어, 제어기(37)로 전달된다. 제어기(37)는 시스템 컴퓨터(38), 및 프로그램 가능한 전자 회로(39)를 포함한다. 프로그램 가능한 전자 회로(39)는 가스 질량 흐름 제어기(MFC), 고주파 생성기와 직류 공급원과 같은 전력 공급원, 펌프와 밸브 같은 진공 시스템 제어, 등을 나타내는 변수 모듈(40)에 직접 인터페이스된다. 양방향 화살표는, 정보 흐름이 피드백과 증명을 위해 두 방향이 필요하다는 것을 표시한다. 시스템 컴퓨터(38)는 상업적으로 이용되는 마이크로프로세서 기초 컴퓨터를 포함할 수도 있다. 바람직하게, 시스템 컴퓨터(38)는 VME 컴퓨터이다. 프로그램 가능한 전자 회로(39)는 신속한 마이크로프로세서 기초 컴퓨터, 프로그램 가능한 논리 제어기(PLC), 등과 같은 프로그램 가능한 기능을 갖는 제어기인 전자 회로를 포함할 수도 있다. 바람직하게, 전자 회로(39)는 상업적으로 이용되는 PLC이다. 본 발명의 대안적인 실시예에서, 프로그램 가능한 전자 회로(39)는, 분리된 유닛(39)에 대립하는 것처럼, 각각의 변수 모듈(40) 내에 존재할 수도 있다. 프로그램 가능한 전자 회로가 각각의 변수 모듈(40)의 일부분이면, 시스템 컴퓨터(38)는 스마트 장치를 포함하는 각각의 개개 변수 모듈(40)에 초기 및 최종 세트포인트와 램핑 시간을 송신하고, 각각은 이에 따라 응답한다.To obtain the ramping profile shown in FIG. 4, a controller according to the invention can be used as shown with reference to FIG. 5. The recipe enters the user interface computer 36 and is delivered to the controller 37. The controller 37 includes a system computer 38, and programmable electronic circuits 39. Programmable electronic circuit 39 is directly interfaced to variable module 40 representing a gas mass flow controller (MFC), a power source such as a high frequency generator and a direct current source, vacuum system control such as pumps and valves, and the like. The double arrow indicates that the information flow requires two directions for feedback and attestation. System computer 38 may include a commercially available microprocessor based computer. Preferably, system computer 38 is a VME computer. Programmable electronic circuit 39 may include electronic circuitry, which is a controller with programmable functionality, such as a rapid microprocessor based computer, a programmable logic controller (PLC), and the like. Preferably, the electronic circuit 39 is a commercially available PLC. In an alternative embodiment of the invention, programmable electronic circuit 39 may be present in each variable module 40, as opposed to a separate unit 39. If the programmable electronic circuitry is part of each variable module 40, the system computer 38 transmits an initial and final setpoint and ramp time to each individual variable module 40, including the smart device, each of which is Respond accordingly.

본 발명에 따라, 레서피의 단계를 편집하는 수단을 포함하는 유저 인터페이스 컴퓨터(36)는 레서피의 단계 내에서 초기 세트포인트로부터 최종 세트포인트로의 램핑(△T) 시간, 초기 세트포인트(X초기) 및 최종 세트포인트(X최종)를 특정하는 기능을 유저에게 제공한다. 세트포인트와 시간은 리액터 내에서 실행되는 레서피의 일부분으로 저장된다. 소정의 레서피의 단계 내에서 동시에 램프되는 하나 이상의 세트포인트를 갖는 것이 가능하다. 단계 내의 각각의 램핑 세트포인트는 자체의 특정 램핑 시간, 초기 및 최종 세트포인트(△T, X초기 및 X최종)를 갖는다.In accordance with the present invention, a user interface computer 36 comprising means for editing the steps of the recipe may include a ramp (ΔT) time, initial setpoint (X initial ) from the initial setpoint to the final setpoint within the step of the recipe. And a function of specifying the final setpoint (X final ). Set points and times are stored as part of the recipe that runs within the reactor. It is possible to have one or more setpoints ramped simultaneously in a step of a given recipe. Each ramping setpoint in a step has its own specific ramping time, initial and final setpoints (ΔT, X initial and X final ).

레서피가 실행될 시간일 때, 램핑 세트포인트는 유저 인터페이스 컴퓨터(36)로부터 제어기(37)로 전달된다. 제어기(37)는 램핑 레서피의 실행을 용이하게 하도록 시스템 컴퓨터(38)와 프로그램 가능한 전자 회로(39)를 사용한다. 제어기(37)는 유저 인터페이스 컴퓨터(36)로부터 수신된 신호를 처리하고 공정 변수 모듈(40)을 제어하기 위한 출력 제어 신호를 제공하도록 형성된다. 특히, 시스템 컴퓨터(38)는 유저 인터페이스 컴퓨터(36)로부터 레서피를 수신하고 처리 레서피 내의 각각의 단계의 실행을 제어한다. 시스템 컴퓨터(38)가 실행될 램핑된 레서피를 가질 때, 시스템 컴퓨터(38)는 램핑율[즉, (X최종 - X초기)/△t], 및 고정된 △t인 분리된 시간 단계에 대해 요구되는 단계의 수(k)를 계산하고, 세트포인트를 갖는 이러한 정보를 프로그램 가능한 전자 회로(39)에 송신한다.When it is time for the recipe to run, the ramping setpoint is transferred from the user interface computer 36 to the controller 37. The controller 37 uses a system computer 38 and programmable electronic circuit 39 to facilitate the execution of the ramping recipe. The controller 37 is configured to process signals received from the user interface computer 36 and provide output control signals for controlling the process variable module 40. In particular, the system computer 38 receives the recipe from the user interface computer 36 and controls the execution of each step in the processing recipe. When the system computer 38 has a ramped recipe to be executed, the system computer 38 requests for a separate time step that is a ramping rate (ie, (X last -X initial ) / Δt), and a fixed Δt The number of steps k to be calculated is calculated and this information with the set point is transmitted to the programmable electronic circuit 39.

본 발명의 특정 장점 중에서, 프로그램 가능한 전자 회로(39)는 스마트 장치이도록 선택되고, 즉, 회로는 프로그램 가능하고 시스템 컴퓨터(38)로부터 입력을 받아, 정보를 처리하고, 계산된 시간 시퀀스에서 신호를 변수 모듈(40)에 출력한다. 프로그램 가능한 전자 회로(39)가 세트포인트와 램핑율을 가지면, 시스템 컴퓨터(38)는 프로그램 가능한 전자 회로(39)가 세트포인트 램핑을 실행하도록 명령한다. 프로그램 가능한 전자 회로(39)는 변수 제어(40)가 수용할 수 있는 것만큼 신속히 세트포인트를 변화시킬 수 있고, 일반적으로 이러한 속도는 램핑율의 원하는 경사도, 즉, 램핑의 완만성을 제공하도록 선택된다. 세트포인트를 변화시키는 사이의 시간은 프로그램 가능한 전자 회로의 속도에 의해 제한되고, 일반적으로 1초 이하의 범위에서 변할 수 있으며, 1밀리초 이하만큼 작다. △t = 40 밀리초의 시간이 일반적인 값이다. 큰 수의 시간 값이 선택될 수 있으며, 바람직하게 시간 △t는 프로그램 가능한 전자 회로와 변수 모듈, 응답 시간의 작동 성능을 만족시키도록 선택되고, 웨이퍼 처리 시스템을 작동시키는 램핑에 대한 요구 사항을 만족시키도록 선택된다. 일반적으로, △t는 모든 변수와 함께 사용되는 단일의 고정된 값이지만, 이것은 요구사항은 아니다.Among the particular advantages of the invention, the programmable electronic circuit 39 is selected to be a smart device, that is, the circuit is programmable and receives input from the system computer 38 to process information and receive signals in a calculated time sequence. Output to variable module 40. If programmable electronic circuit 39 has a setpoint and ramp rate, system computer 38 instructs programmable electronic circuit 39 to perform setpoint ramping. The programmable electronic circuit 39 can change the setpoint as quickly as the variable control 40 can accommodate, and generally this speed is chosen to provide the desired slope of the ramp rate, i. do. The time between changing set points is limited by the speed of the programmable electronic circuit, and can generally vary in the range of 1 second or less, and is as small as 1 millisecond or less. A time of Δt = 40 milliseconds is a typical value. A large number of time values can be selected, preferably time Δt is selected to meet the operational performance of the programmable electronic circuit, the variable module and the response time, and satisfies the requirements for ramping the wafer processing system. To be selected. In general, Δt is a single fixed value used with all variables, but this is not a requirement.

시스템 컴퓨터(38)는 램핑의 진행을 모니터하고 변화된 세트포인트와 실질적인 변수를 유저 인터페이스 컴퓨터(36)에 기록한다. 실질적인 소정의 값이 범위를 벗어나면, 램핑 중이나 램핑 후에 완성되고, 시스템 컴퓨터(38)는 이러한 조건을 탐지하고 유저 인터페이스 컴퓨터(36)에 경고 또는 경보를 보고한다. 경고 조건의 경우에, 시스템 컴퓨터(38)는 램핑 레서피의 실행을 중지시키는 적절한 조치를 취하고, 리액터를 안전하고, 안정된 작업 조건에 놓는다.The system computer 38 monitors the progress of ramping and records the changed setpoints and substantial variables in the user interface computer 36. If a substantial predetermined value is out of range, it is completed during or after ramping, and the system computer 38 detects this condition and reports a warning or alert to the user interface computer 36. In the case of a warning condition, the system computer 38 takes appropriate measures to stop the execution of the ramping recipe and places the reactor in a safe, stable working condition.

도 6에 도시된 흐름도는 본 발명을 실행하는 컴퓨터 프로그램을 도시한다. 구체적으로, 사용자는 단계(102)에서 레서피(즉, 램프되는 소정의 세트포인트와 시간과 같은 적절한 정보)를 유저 인터페이스 컴퓨터(36)에 입력한다. 레서피는 단계(104)에서 제어기(37) 내에 존재하는 시스템 컴퓨터(38)로 전달된다. 시스템 컴퓨터(38)는 단계(106)에서 레서피의 단계를 실행한다. 단계(108)에서 질의를 하고, 레서피의 단계가 실행되었다면, 컴퓨터 프로그램이 단계(110)에서 종료된다. 질의가, 레서피의 단계가 완성되지 않았다는 결정을 하면, 램핑 결정은 단계(112)에서 결정된다. 단계(112)에서, 질의은 레서피의 단계가 램핑을 요구하는 지에 관해 행해진다. 긍정적이라면, 프로그램은 시스템 컴퓨터(38)가 램핑율을 계산하는 단계(114)로 진행한다. 부정적이라면, 프로그램은 단계(106)로 돌아간다.The flowchart shown in FIG. 6 shows a computer program for practicing the present invention. Specifically, the user enters the recipe (ie, appropriate information such as the predetermined setpoint and time to be ramped) into the user interface computer 36 in step 102. The recipe is transferred to the system computer 38 present in the controller 37 in step 104. System computer 38 executes the steps of the recipe in step 106. If a query is made at step 108 and the steps of the recipe have been executed, the computer program ends at step 110. If the query determines that the step of the recipe has not been completed, the ramping decision is made at step 112. In step 112, a query is made as to whether the step of the recipe requires ramping. If positive, the program proceeds to step 114 where the system computer 38 calculates the ramp rate. If negative, the program returns to step 106.

시스템 컴퓨터(38)가 단계(114)에서 램핑율을 계산하면, 단계(116)에서 램핑율(△x/△t)과 세트포인트(X초기, X최종)를 프로그램 가능한 전자 회로(39)에 송신한다. 프로그램 가능한 전자 회로(39)는 단계(118)에서 램핑을 실행한다. 램핑은 변수 제어(40)가 응답하는 것만큼 신속히 변화할 수 있다. 단계(120)는 증분을 실행한다. 프로그램은 세트포인트가 변할 때 단계(122)를 실행하고, 프로그램은 원하는 세트포인트(즉, 유저에 의해 유입된 세트포인트)가 실질적인 세트포인트(X실제)와 동일한지를 질의한다. 긍정적이라면, 레서피의 단계의 실행을 계속하기 위해 프로그램은 단계(106)로 돌아간다. 부정적이라면, 프로그램은 단계(124)에서 변화를 가하여 이러한 정보를 시스템 컴퓨터(38)에 송신한다. 프로그램은 소정의 세트포인트(X최종)가 실질적인 세트포인트(X실제)와 동일할 때까지 단계(118)로 돌아가 이러한 루프의 실행을 계속한다.When the system computer 38 calculates the ramping rate in step 114, the ramping rate Δx / Δt and the setpoint (X initial , X final ) are transferred to the programmable electronic circuit 39 in step 116. Send. Programmable electronic circuit 39 performs ramping in step 118. Ramping can change as quickly as variable control 40 responds. Step 120 executes the increment. The program executes step 122 when the setpoint changes, and the program queries whether the desired setpoint (ie, the setpoint introduced by the user) is equal to the actual setpoint (X actual ). If positive, the program returns to step 106 to continue execution of the step of the recipe. If negative, the program makes a change in step 124 to send this information to system computer 38. The program returns to step 118 and continues execution of this loop until the predetermined setpoint X final is equal to the actual setpoint X actual .

프로그램은 경고 조건이 존재하는지를 평가한다. 도 6을 다시 참조하면, 단계(130)에서 시스템 컴퓨터의 비교가 PLC가 단계(118)에서 램핑을 실행할 때 수신된 정보로부터 행해진다. 시스템 컴퓨터(38) 비교는 시스템 컴퓨터의 값이 단계(132)에서 범위를 벗어났는지를 물음으로써 실행된다. 긍정적이라면, 경고 조건은 단계(134)에서 충족되고, 중지는 단계(136)에서 실행된다. 부정적이라면, 프로그램은 단계(130)로 돌아가 시스템 컴퓨터 비교를 계속 실행한다.The program evaluates if a warning condition exists. Referring back to FIG. 6, a comparison of the system computer in step 130 is made from the information received when the PLC executes ramping in step 118. The system computer 38 comparison is performed by asking if the value of the system computer is out of range in step 132. If affirmative, the warning condition is met at step 134 and the abort is executed at step 136. If negative, the program returns to step 130 to continue executing the system computer comparison.

다음은 도 7에서 도시되는 본 발명의 작동예이다. 공정 엔지니어는 두 가스가 소정의 단계 내에서 상이한 속도로 램핑되기를 원한다. 공정 엔지니어는 총 단계의 시간이 T레서피 = 30초이고, 유저 인터페이스 컴퓨터(36) 내에서 가스(A)가 시간 △TA = 3초에서 X초기A = 0으로부터 X최종A = 200 sccm으로 흐르도록 특정하고, 가스(B)가 시간 △TB = 10초에서 X초기B = 0으로부터 X최종B = 500 sccm으로 흐르도록 특정한다. 이러한 데이타는 시스템 컴퓨터(38)에 전달된다. 프로그램 가능한 논리 제어기(39)는 시스템 컴퓨터(38)로부터 세트포인트를 제공받고, 아래의 가스 흐름(A와 B)에 대한 식에 의해 단계 중에 램핑 초기 세트포인트를 계산한다.The following is an operation example of the present invention shown in FIG. Process engineers want both gases to be ramped at different speeds within a given step. The process engineer states that the total step time is T recipe = 30 seconds, and gas A flows in the user interface computer 36 from X initial A = 0 to X final A = 200 sccm at time ΔT A = 3 seconds. And gas B flows from X initial B = 0 to X final B = 500 sccm at time DELTA T B = 10 seconds. This data is transferred to the system computer 38. The programmable logic controller 39 receives the setpoint from the system computer 38 and calculates the ramping initial setpoint during the step by the equations for the gas flows A and B below.

세트포인트신규 = 세트포인트초기 + [(X최종 - X초기)/△T]* 0.04 * 단계의 수이며, 단계의 수는 (1, 2, 3, ...., k)이다. 예에서, 단계의 시간 △t는 40 밀리초로 가정된다.Setpoint new = setpoint initial + [(X last -X initial ) / ΔT] * 0.04 * the number of steps, the number of steps being (1, 2, 3, ..., k). In the example, the time Δt of the step is assumed to be 40 milliseconds.

표 1은 선택된 단계에서 가스(A와 B)에 대한 신규 세트포인트 값을 제공한 다.Table 1 provides new setpoint values for gases A and B at the selected stage.

실시예에 대한 값Value for Example 단계 수 (k)      Number of steps (k) 시간 (초)       Time in seconds 가스 A의 세트포인트 (sccm)Set point of gas A (sccm) 가스 B의 세트포인트 (sccm)Set point of gas B (sccm) 0        0 0 (세트포인트초기)0 ( initial setpoint) 0 (세트포인트초기)0 ( initial setpoint) 1         One 0.040        0.040 2.67        2.67 2         2 2         2 0.080        0.080 5.33        5.33 4         4 3         3 0.120        0.120 7.99        7.99 6         6 4         4 0.160        0.160 10.64        10.64 8         8 25         25 1.00        1.00 66.7        66.7 50         50 50         50 2.00        2.00 133.3        133.3 100         100 75         75 3.00        3.00 200        200 150         150 100         100 4.00        4.00 200        200 200         200 125         125 5.00        5.00 200        200 250         250 250         250 10.00        10.00 200        200 500         500 500         500 20.00        20.00 200        200 500         500 750         750 30.00        30.00 200        200 500         500

이러한 개요 하에서, 가스(A)는 3초 후에 완전한 세트포인트에 도달할 것이며, 단계의 남은 27초 동안 완전한 세트포인로 계속 흐를 것이다. 반면 가스(B)는 가스(A)가 안정화되면 계속 램핑될 것이며, 10초에서 완전한 세트포인트에 도달하며 이 단계의 잔류 20초 동안 이러한 흐름 수준으로 유지한다. 레서피의 단계의 램핑은 30초 동안 지속되며 도 7에 도시된다.Under this scheme, gas A will reach a complete setpoint after 3 seconds and continue to flow to the complete setpoint for the remaining 27 seconds of the step. Gas B, on the other hand, will continue to ramp when gas A stabilizes, reaching a complete setpoint in 10 seconds and maintaining this flow level for the remaining 20 seconds of this step. Ramping of the steps of the recipe lasts for 30 seconds and is shown in FIG.

본 발명의 한 실시예에 따른 규소 이산화물 박막을 형성하는 갭 충진 레서피의 예가 도 8에 도시된다. 레서피는 9 단계들로 구성되며, 유저에 의해 설정될 수 있는 변수 제어(40)는 컬럼(A)에 도시된다. 이러한 예에서, 전이 값 또는 램핑 시간이 실란(SiH4), 아르곤, 및 산소 흐름 속도, 웨이퍼의 배면 가스 압력(도 8의 "척 헬륨"), 직류 전력(도 8에서 "ESC 전압"과 "ESC 램핑 시간"으로 간주됨)에 의해 제어되는 척 고정 전압, 플라즈마 및 척 바이어스 전력(도 8에서 "척 고주파 램핑 시간"과 "플라즈마 고주파 램핑 시간"으로 간주됨)에 대해 선택된다. 도 8에서 단계(5)를 참조하면, 세 개의 공정 변수, 실란, 아르곤 및 척 고주파 전력(즉, 척에 인가된 바이어스)이 램핑된다. 단계(5)의 기간은 "공정 시간" 열에서 지적된 것처럼 9초이다. 단계(5)에 도시된 것처럼, 열(3)에서, 실란의 흐름 속도는 3초의 램핑율에서 40sccm[전단계(4)에서 흐름 속도]으로부터 90sccm으로 램핑된다[단계(5), 열(4) 참조]. 동시에 아르곤은 2 초 후에 120sccm으로부터 150sccm으로 램핑되고[단계(5),열(6 및 7)에 도시됨], 스퍼터링하도록 척에 인가된 바이어스 전력은 5 초 후에 0으로부터 1700 와트의 바이어스 전력으로 램핑된다[단계(5), 열(14, 15)에 도시됨]. 단계(5)가 완성된 후, 산소 및 웨이퍼 배면 가스 압력과 함께 이러한 변수는 기간이 50초인 단계(6)에서 위로 더 램핑된다.An example of a gap fill recipe for forming a silicon dioxide thin film according to one embodiment of the present invention is shown in FIG. 8. The recipe consists of nine steps, and variable control 40, which can be set by the user, is shown in column A. FIG. In this example, the transition value or ramping time is determined by the silane (SiH 4 ), argon, and oxygen flow rate, wafer backside gas pressure (“chuck helium” in FIG. 8), direct current power (“ESC voltage” and “in FIG. 8”). It is selected for chuck fixed voltage, plasma and chuck bias power (referred to as " chuck high frequency ramping time " and " plasma high frequency ramping time " in FIG. 8) controlled by ESC ramp time. Referring to step 5 in FIG. 8, three process variables, silane, argon and chuck high frequency power (ie, bias applied to the chuck) are ramped. The duration of step (5) is 9 seconds as indicated in the "Process time" column. As shown in step 5, in column 3, the flow rate of silane is ramped from 40 sccm (flow rate in the previous step 4) to 90 sccm at a ramp rate of 3 seconds (step 5, column 4). Reference]. At the same time argon is ramped from 120 sccm to 150 sccm after 2 seconds (shown in steps 5 and 6 and 7), and the bias power applied to the chuck to sputter is ramped from 0 to 1700 watts of bias power after 5 seconds. (Step 5, shown in columns 14 and 15). After step 5 is completed, these variables along with the oxygen and wafer back gas pressure are further ramped up in step 6 with a duration of 50 seconds.

본 발명의 램핑 방법이 사용되지 않는다면, 단계(5)는 적어도 6단계들일 것이 필요하고, 즉 단계(5)는 6개의 부단계들로 나누어질 필요가 있으며, 각각의 부단계는 작업자가 각각의 부단계를 한정하는 데이타를 입력할 것을 요구한다. 즉, 램핑율을 실행하는데 5개의 부단계와 총 공정 시간인 9초를 맞추도록 4초동안 단계를 유지시키는 제 6 부단계를 실행시킨다. 특히, 첫번째 2개의 부단계들에서 실란의 흐름 속도는 타겟 값인 90sccm의 2/3로 증가되고, 아르곤은 완전히 150sccm으로 되고, 바이어스 전력은 타겟 값인 1700와트의 2/5로 된다. 제 3 부단계는 실란을 타겟 값으로 송신하고, 바이어스 전력은 1과 1/5 만큼 의해 증가될 수도 있다. 제 4 및 제 5 부단계는 바이어스 전력을 완전히 타겟 값으로 송신한다(모든 다른 변수는 일정하게 유지되면서). 제 6 부단계는 원래 단계(5)의 기간의 잔류 4초동안 모든 변수를 일정하게 유지시킨다. 각각의 부단계는 작업자가 각각의 변수의 초기 및 최종 값을 한정할 것을 요구한다. 본 발명의 방법이 불가능하다면, 레서피(A)를 실행하기 위해 9개의 단계들을 요구하는 본 발명과 대조적으로, 전체 레서피는 대신에 1 + 1 + 4 + 3 + 6 + 11 + 10 + 4 + 4 = 44 단계(각각 적어도 1초)를 필요로 한다.If the ramping method of the present invention is not used, step 5 needs to be at least six steps, i.e. step 5 needs to be divided into six substeps, each of which is performed by the operator and You are asked to enter data that defines the substeps. That is, the sixth substep of executing the ramping rate and holding the step for four seconds to meet the nine seconds which is the total process time is executed. In particular, in the first two sub-steps the flow rate of silane is increased to 2/3 of the target value of 90 sccm, argon is completely 150 sccm, and the bias power is 2/5 of the target value of 1700 watts. The third substep transmits the silane to the target value, and the bias power may be increased by one and one fifth. The fourth and fifth substeps transmit the bias power completely to the target value (all other variables remain constant). The sixth substep keeps all variables constant for the remaining four seconds of the period of the original step (5). Each substep requires the operator to define the initial and final values of each variable. If the method of the invention is not possible, in contrast to the invention, which requires nine steps to carry out recipe (A), the entire recipe is instead of 1 + 1 + 4 + 3 + 6 + 11 + 10 + 4 + 4 = 44 steps (at least 1 second each).

또한 본 발명의 시스템과 방법이 사용되지 않는다면, 레서피를 9개의 단계들에서 44개의 단계들로 확장하는데 부가하여, 각각의 변수 변화에 대한 전이는 매우성길 수도 있다. 예를 들어, 도 8의 단계(5)를 다시 참조하면, 3초 후의 40sccm으로부터 90sccm으로의 실란 가스 흐름의 소정의 변화는 16.6sccm, 즉 50sccm/3인 3 단계에서 발생할 수도 있다. 이것은 본 발명에 의해 달성된 점진적인 단계의 변화와 비교하면 매우 거친 단계 변화이며, 예에서 0.67sccm의 부분 단계를 나타내는 40 밀리초 시간 단계를 사용한다. 그러므로, 본 발명의 시스템과 방법은 레서피의 개수를 최소화시킬 뿐만 아니라 선행 기술보다 시간에 대한 보다 완만한 변수 값의 변화를 가능케 한다.Also, if the system and method of the present invention are not used, in addition to extending the recipe from nine steps to 44 steps, the transition for each variable change may be very sparse. For example, referring back to step 5 of FIG. 8, a predetermined change in silane gas flow from 40 sccm to 90 sccm after three seconds may occur in three steps of 16.6 sccm, ie 50 sccm / 3. This is a very coarse step change compared to the gradual step change achieved by the present invention, using a 40 millisecond time step which represents a partial step of 0.67 sccm in the example. Therefore, the systems and methods of the present invention not only minimize the number of recipes but also allow for a more gentle change of variable values over time than in the prior art.

본 발명은 몇몇 특정 실시예를 참조하여 설명되었지만, 상세한 설명은 본 발명의 설명의 목적이지 본 발명을 제한하는 것으로 해석되어서는 않된다. 다양한 수정이 청구의 범위에 의해 한정된 본 발명의 취지와 범위를 벗어남이 없이 당업자에 의해 가능할 것이다.Although the invention has been described with reference to some specific embodiments, the description is for the purpose of description and should not be construed as limiting the invention. Various modifications may be made by those skilled in the art without departing from the spirit and scope of the invention as defined by the claims.

Claims (25)

반도체 웨이퍼를 처리하는 웨이퍼 처리 시스템으로서,A wafer processing system for processing a semiconductor wafer, 제어 신호를 제공하는 제어기를 포함하며,A controller for providing a control signal, 상기 제어기의 레서피 단계는 하나 이상의 공정 변수에 대한 하나 이상의 값을 초기 값으로부터 상기 초기 값과 상이한 최종 값으로 변화시키는 하나 이상의 전이 지속시간을 형성하는,The recipe step of the controller forms one or more transition durations that change one or more values for one or more process variables from an initial value to a final value that is different from the initial value, 웨이퍼 처리 시스템.Wafer processing system. 웨이퍼 처리 리액터 시스템으로서,A wafer processing reactor system, 각각 지속 시간(T레서피)을 갖는 복수의 레서피 단계들에 응답하며 상기 리액터 내에서 복수의 공정 변수를 제어하는 제어기를 포함하며,A controller responsive to a plurality of recipe steps each having a duration T recipe and controlling a plurality of process variables in the reactor, 상기 제어기는 T레서피 보다 작은 복수의 시간 간격들(T)에 대해, 하나 이상의 상기 공정 변수에 대한 하나 이상의 값을 초기 값으로부터 상기 초기 값과 상이한 최종 값으로 변화시키는 제어 신호를 제공하도록 형성되는,The controller is configured to provide a control signal for varying one or more values for one or more of the process variables from an initial value to a final value different from the initial value for a plurality of time intervals T less than a T recipe . 웨이퍼 처리 리액터 시스템.Wafer Processing Reactor System. 제 2 항에 있어서,The method of claim 2, 상기 제어기는 개개의 레서피 단계 내에서 선택 공정 변수에 대해, 초기 값, 최종 값, 및 상기 초기 값과 최종 값 사이의 전이를 나타내는 시간 간격들(T)의 선택을 허용하도록 형성되고,The controller is configured to allow selection of initial values, final values, and time intervals T representing transitions between the initial and final values for the selected process variable within the individual recipe step, 상기 제어기는,The controller, 상기 값들을 수신하며, 램핑율과 상기 값들에 응답하는 하나 이상의 세트포인트를 생성함으로써 상기 레서피 단계를 실행하는 시스템 컴퓨터, 및A system computer that receives the values and executes the recipe step by generating a ramping rate and one or more setpoints responsive to the values, and 상기 시스템 컴퓨터로부터 상기 램핑율과 하나 이상의 세트포인트를 수신하는 프로그램 가능한 전자 회로를 포함하며,Programmable electronic circuitry for receiving said ramp rate and one or more set points from said system computer, 상기 프로그램 가능한 전자 회로는 상기 공정 변수의 실질적인 완만한(smooth) 램핑 제어를 제공하기 위해 상기 램핑율과 세트포인트에 응답하는 상기 변수 제어에 제어 신호를 보내는 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.And said programmable electronic circuit sends a control signal to said variable control responsive to said ramp rate and set point to provide substantially smooth ramping control of said process variable. 제 2 항에 있어서,The method of claim 2, 상기 시스템은 상기 초기 값, 최종 값 및 시간 간격 값들 중 임의의 하나를 제공하는 유저 인터페이스를 더 포함하는 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.And the system further comprises a user interface providing any one of the initial value, final value and time interval values. 제 3 항에 있어서,The method of claim 3, wherein 상기 프로그램 가능한 전자 회로는, 상기 변수 제어가 제어 신호를 수용할 수 있는 만큼 신속히 상기 세트포인트를 변화시키도록, 구성되는 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.And said programmable electronic circuitry is configured to change said set point as quickly as said variable control can receive a control signal. 제 2 항에 있어서,The method of claim 2, 상기 변수 제어는 질량 흐름 제어기, 고주파 생성기, 직류 생성기, 압력 밸브, 펌프 및 이들의 조합의 그룹으로부터 선택되는 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.Wherein said variable control is selected from the group of mass flow controllers, high frequency generators, direct current generators, pressure valves, pumps, and combinations thereof. 제 3 항에 있어서,The method of claim 3, wherein 상기 시스템 컴퓨터는 가상 기억 장치 환경(VME: Virtual Memory Environment) 컴퓨터인 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.And said system computer is a Virtual Memory Environment (VME) computer. 제 2 항에 있어서,The method of claim 2, 상기 리액터는 화학 기상 증착(CVD) 리액터인 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.The reactor is a wafer processing reactor system, characterized in that the chemical vapor deposition (CVD) reactor. 제 2 항에 있어서,The method of claim 2, 상기 리액터는 에칭 리액터인 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.And said reactor is an etching reactor. 챔버 내에서 반도체 웨이퍼를 처리하는 웨이퍼 처리 리액터 시스템으로서,A wafer processing reactor system for processing semiconductor wafers in a chamber, 변수 제어에 제어 신호를 제공하도록 레서피 단계에 응답하는 제어기를 포함하며,A controller responsive to the recipe step to provide a control signal to the variable control, 상기 제어기는 개개의 레서피 단계 내에서 선택된 변수에 대해, 초기 값, 초기 값과 상이한 최종 값, 초기 값과 최종 값 사이의 전이 지속기간 값들의 선택을 허용하도록 구성되는,The controller is configured to allow selection of an initial value, a final value different from the initial value, a transition duration value between the initial value and the final value, for the selected variable within the individual recipe step, 웨이퍼 처리 리액터 시스템.Wafer Processing Reactor System. 제 10 항에 있어서,The method of claim 10, 상기 제어기는,The controller, 상기 값들 중 임의의 하나를 수신하며, 상기 선택된 변수를 상기 초기 값으로부터 상기 최종 값으로 변화시키는 램핑율, 및 상기 값들에 응답하는 하나 이상의 세트포인트를 생성시킴으로써 상기 레서피 단계를 실행하는 시스템 컴퓨터, 및A system computer that receives any one of the values and executes the recipe step by generating a ramping rate that changes the selected variable from the initial value to the final value, and one or more setpoints responsive to the values, and 상기 시스템 컴퓨터로부터 상기 램핑율과 하나 이상의 상기 세트포인트를 수신하는 프로그램 가능한 전자 회로를 포함하며,Programmable electronic circuitry to receive the ramp rate and one or more of the set points from the system computer, 상기 프로그램 가능한 전자 회로는 공정 변수의 실질적인 완만한 제어를 제공하기 위해 상기 램핑율과 세트포인트에 응답하는 상기 변수 제어에 제어 신호를 송신하는 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.And said programmable electronic circuit transmits a control signal to said variable control responsive to said ramp rate and set point to provide substantially smooth control of process variables. 제 10 항에 있어서,The method of claim 10, 상기 시스템은 상기 초기 값, 최종 값 및 전이 값들 중 임의의 하나를 제공하는 유저 인터페이스를 더 포함하는 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.The system further comprises a user interface providing any one of the initial value, final value and transition values. 제 11 항에 있어서,The method of claim 11, 상기 프로그램 가능한 전자 회로는, 상기 변수 제어가 제어 신호를 수용할 수 있는 것만큼 신속히 상기 세트포인트를 변화시키도록 구성되는 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.And said programmable electronic circuitry is configured to change said set point as quickly as said variable control is capable of receiving a control signal. 제 10 항에 있어서,The method of claim 10, 상기 레서피 단계는 지속 시간(T레서피)를 가지며, 상기 초기 값과 상기 최종 값 사이의 상기 전이 지속시간은 지속시간(T)를 가지며, 상기 T는 상기 T레서피 보다 짧은 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.The recipe step has a duration T recipe , the transition duration between the initial value and the final value has a duration T, and T is shorter than the T recipe. system. 제 10 항에 있어서,The method of claim 10, 상기 변수 제어는 질량 흐름 제어기, 고주파 생성기, 직류 생성기, 압력 밸브, 펌프 및 이들의 조합의 그룹으로부터 선택되는 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.Wherein said variable control is selected from the group of mass flow controllers, high frequency generators, direct current generators, pressure valves, pumps, and combinations thereof. 제 11 항에 있어서,The method of claim 11, 상기 시스템 컴퓨터는 가상 기억 장치 환경(VME) 컴퓨터인 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.And said system computer is a virtual storage environment (VME) computer. 제 10 항에 있어서,The method of claim 10, 상기 리액터는 화학 기상 증착(CVD) 리액터인 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.The reactor is a wafer processing reactor system, characterized in that the chemical vapor deposition (CVD) reactor. 제 10 항에 있어서,The method of claim 10, 상기 리액터는 고밀도 플라즈마 화학 기상 증착(HDP CVD) 리액터인 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.The reactor is a wafer processing reactor system, characterized in that the high density plasma chemical vapor deposition (HDP CVD) reactor. 제 11 항에 있어서,The method of claim 11, 상기 프로그램 가능한 전자 회로는 프로그램 가능한 논리 제어기인 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.And said programmable electronic circuit is a programmable logic controller. 제 10 항에 있어서,The method of claim 10, 상기 리액터는 에칭 리액터인 것을 특징으로 하는 웨이퍼 처리 리액터 시스템.And said reactor is an etching reactor. 반도체 웨이퍼를 처리하는 공정 변수를 갖는 웨이퍼 처리 리액터의 작동 방법으로서,A method of operating a wafer processing reactor having a process variable for processing a semiconductor wafer, 지속 시간(T레서피)을 갖는 하나 이상의 레서피 단계를 한정하는 단계, Defining one or more recipe steps with a duration T recipe , 상기 하나 이상의 레서피 단계에서, 상기 공정 변수들 중 적어도 하나에 대해, 초기 값, 상기 초기 값과 상이한 최종 값 및 상기 값들 사이의 전이 값을 제공하는 단계 - 상기 전이는 T레서피 보다 짧은 지속시간(T)을 가짐 -,In the one or more recipe steps, for at least one of the process variables, providing an initial value, a final value different from the initial value and a transition value between the values, wherein the transition is of short duration (T) than the T recipe )-, 상기 하나 이상의 레서피 단계 내에서 상기 초기 값, 최종 값, 및 전이 값들에 따르는 단계,According to said initial value, final value, and transition values within said one or more recipe steps, 상기 램핑율 및 적어도 상기 최종 값을 포함하는 출력 신호를 제공하는 단계, 및Providing an output signal comprising the ramping rate and at least the final value, and 상기 반도체 웨이퍼를 처리하기 위해 상기 출력 신호에 응답하여 상기 공정 변수를 동작시키는 단계Operating the process variable in response to the output signal to process the semiconductor wafer 를 포함하는 웨이퍼 처리 리액터의 작동 방법.Method of operating a wafer processing reactor comprising a. 제 21 항에 있어서,The method of claim 21, 상기 공정 변수는 질량 흐름, 압력, 직류 전력, 고주파 전력, 또는 이들의 조합을 포함하는 것을 특징으로 하는 웨이퍼 처리 리액터의 작동 방법.Wherein said process variable includes mass flow, pressure, direct current power, high frequency power, or a combination thereof. 제 21 항에 있어서,The method of claim 21, 상기 공정 변수는 개개의 레서피 단계 내에서 동시에 실행되는 것을 특징으로 하는 웨이퍼 처리 리액터의 작동 방법.Wherein said process variable is executed simultaneously in individual recipe steps. 제 21 항에 있어서,The method of claim 21, 상기 램핑율은 개개 레서피 단계 내에서 상기 공정 변수들 각각에 대해 변하는 것을 특징으로 하는 웨이퍼 처리 리액터의 작동 방법.And wherein the ramping rate is varied for each of the process variables within an individual recipe step. 웨이퍼를 지지하는 웨이퍼 지지대, 플라즈마를 생성하는 플라즈마 챔버, 및 레서피 단계들을 수신하는 제어기를 포함하는 플라즈마 화학 기상 증착 리액터 내에서 반도체 웨이퍼의 표면 상에 층을 증착시키는 방법으로서,A method of depositing a layer on a surface of a semiconductor wafer in a plasma chemical vapor deposition reactor comprising a wafer support for supporting a wafer, a plasma chamber for generating a plasma, and a controller for receiving recipe steps, the method comprising: 상기 플라즈마를 생성하도록 원하는 설정 값의 고주파 전력을 상기 플라즈마 챔버에 인가하는 단계,Applying high frequency power of a desired set value to the plasma chamber to generate the plasma, 소정의 설정 값의 직류 전력을 상기 웨이퍼 지지대에 인가함으로써 상기 웨이퍼를 상기 웨이퍼 지지대에 클램핑시키는 단계,Clamping the wafer to the wafer support by applying a predetermined set of direct current power to the wafer support, 각각이 소정의 흐름 속도 설정 값을 갖는 복수의 가스를 상기 리액터 내에 유입시키는 단계,Introducing a plurality of gases, each having a predetermined flow rate set value, into the reactor, 상기 레서피 단계들에 응답하여 상기 가스의 흐름 속도, 직류 전력 및 고주파 전력을 제어하는 단계Controlling the flow rate of the gas, direct current power and high frequency power in response to the recipe steps 를 포함하며,Including; 상기 제어기는 상기 흐름 속도, 직류 및 고주파 전력 설정 값들 중 적어도 하나의 값에 대해, 하나 이상의 개개 레서피 단계에서 초기 값, 상기 초기 값과 상이한 최종 값 및 초기 값과 최종 값 사이의 전이 값의 선택을 허용하도록 형성되고,The controller selects, for at least one of the flow rate, direct current and high frequency power set values, an initial value, a final value different from the initial value and a transition value between the initial value and the final value in one or more individual recipe steps. Formed to allow 상기 흐름 속도, 직류 및 고주파 전력 설정 값은 상기 반도체 웨이퍼의 표면 상에 실질적으로 균일한 층의 증착을 제공하도록 실질적으로 완만하게 램핑되는, Wherein the flow rate, direct current and high frequency power setpoint values are ramped substantially gently to provide a deposition of a substantially uniform layer on the surface of the semiconductor wafer. 반도체 웨이퍼의 표면 상에 층을 증착시키는 방법.A method of depositing a layer on the surface of a semiconductor wafer.
KR1020017003276A 1998-09-14 1999-09-13 Wafer processing reactor system with programmable processing parameters and method KR100642415B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15284998A 1998-09-14 1998-09-14
US09/152,849 1998-09-14

Publications (2)

Publication Number Publication Date
KR20010075100A KR20010075100A (en) 2001-08-09
KR100642415B1 true KR100642415B1 (en) 2006-11-03

Family

ID=22544714

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017003276A KR100642415B1 (en) 1998-09-14 1999-09-13 Wafer processing reactor system with programmable processing parameters and method

Country Status (4)

Country Link
JP (1) JP4789323B2 (en)
KR (1) KR100642415B1 (en)
TW (1) TW464916B (en)
WO (1) WO2000015870A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7254453B2 (en) * 2002-11-21 2007-08-07 Advanced Micro Devices, Inc. Secondary process controller for supplementing a primary process controller
US7881886B1 (en) 2006-11-17 2011-02-01 Lam Research Corporation Methods for performing transient flow prediction and verification using discharge coefficients
US7822570B2 (en) 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172008A (en) * 1995-12-06 1997-06-30 Applied Materials Inc Method and device that form good boundary between sacvd oxide film and pecvd oxide film
JPH10154706A (en) * 1996-11-13 1998-06-09 Applied Materials Inc Method and device of separating shallow trench

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3376432D1 (en) * 1982-01-28 1988-06-01 Toshiba Machine Co Ltd Semiconductor vapor phase growing apparatus
JPS58128728A (en) * 1982-01-28 1983-08-01 Toshiba Mach Co Ltd Semiconductor vapor growth apparatus
JP2985342B2 (en) * 1991-04-05 1999-11-29 富士電機株式会社 Operation control device for plasma processing equipment
JPH09134886A (en) * 1995-11-08 1997-05-20 Kokusai Electric Co Ltd Method for controlling lapping temperature of semiconductor manufacturing equipment
US5803107A (en) * 1996-03-29 1998-09-08 Lam Research Corporation Method and apparatus for pressure control in vacuum processors
JP3268628B2 (en) * 1996-09-03 2002-03-25 東京エレクトロン株式会社 Automatic control method and device
JPH10141870A (en) * 1996-11-05 1998-05-29 Kokusai Electric Co Ltd Temperature monitoring apparatus for treating furnace

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172008A (en) * 1995-12-06 1997-06-30 Applied Materials Inc Method and device that form good boundary between sacvd oxide film and pecvd oxide film
JPH10154706A (en) * 1996-11-13 1998-06-09 Applied Materials Inc Method and device of separating shallow trench

Also Published As

Publication number Publication date
KR20010075100A (en) 2001-08-09
WO2000015870A1 (en) 2000-03-23
JP2002525842A (en) 2002-08-13
TW464916B (en) 2001-11-21
JP4789323B2 (en) 2011-10-12

Similar Documents

Publication Publication Date Title
KR102399577B1 (en) Apparatus and method for deposition and etch in gap fill
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US6136685A (en) High deposition rate recipe for low dielectric constant films
US6194038B1 (en) Method for deposition of a conformal layer on a substrate
KR100801377B1 (en) Dilute remote plasma clean
US6521302B1 (en) Method of reducing plasma-induced damage
KR20070026608A (en) In-situ process chamber preparation methods for plasma ion implantation systems
US20220115213A1 (en) Gas supply system, plasma processing apparatus, and control method for gas supply system
JPH10189569A (en) Method and apparatus for depositing multilayered film of low dielectric constant
KR20130047682A (en) System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20090064932A1 (en) Apparatus for HDP-CVD and method of forming insulating layer using the same
KR100297421B1 (en) Method of stress control by fluorination of silica film and a substrate processing system
CN111247619A (en) Method and system for controlling plasma glow discharge in a plasma chamber
KR100642415B1 (en) Wafer processing reactor system with programmable processing parameters and method
KR100518615B1 (en) Method for treating surface of substrate and method for etching
KR20220002748A (en) High Selectivity, Low Stress, and Low Hydrogen Diamond-Like Carbon Hard Masks with High Power Pulsed Low Frequency RF
CN113710829A (en) Low stress ashable carbon hard mask with high etch selectivity
Rauf et al. Virtual plasma equipment model: a tool for investigating feedback control in plasma processing equipment
US20220262600A1 (en) Fast gas exchange apparatus, system, and method
US6932092B2 (en) Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US6911403B2 (en) Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
Sanders et al. Silicon nitride deposition process for low cost microelectronics applications
Gould et al. Design, fabrication, and characterization of a compact deep reactive ion etching system for MEMS processing
Tissier et al. Planarized intermetal dielectric deposited by DECR CVD
WO2001073835A1 (en) Method and arrangement for depositing a dielectric layer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee