JP4771696B2 - 製造中に半導体デバイスの電気的特性を予測する方法及びシステム - Google Patents

製造中に半導体デバイスの電気的特性を予測する方法及びシステム Download PDF

Info

Publication number
JP4771696B2
JP4771696B2 JP2004530817A JP2004530817A JP4771696B2 JP 4771696 B2 JP4771696 B2 JP 4771696B2 JP 2004530817 A JP2004530817 A JP 2004530817A JP 2004530817 A JP2004530817 A JP 2004530817A JP 4771696 B2 JP4771696 B2 JP 4771696B2
Authority
JP
Japan
Prior art keywords
semiconductor device
manufacturing
predicted
electrical
vector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004530817A
Other languages
English (en)
Other versions
JP2005536887A (ja
Inventor
エル. ミラー マイケル
エイ. ボード クリストファー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2005536887A publication Critical patent/JP2005536887A/ja
Application granted granted Critical
Publication of JP4771696B2 publication Critical patent/JP4771696B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Factory Administration (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

本発明は一般的に、半導体デバイスの製造技術に関し、より詳しくは、製造中にデバイスの電気パラメータを予測する方法および装置に関する。
半導体業界においては、集積回路装置、例えばマイクロプロセッサ、メモリ装置及びこれに類するもの等の信頼性、スループットの向上に対する継続的な動機付けが存在する。この動機付けは、より信頼性の高いコンピュータおよび電気製品に対する消費者の要求によってあおられている。これらの要求は、半導体デバイス(例えばトランジスタ)の製造およびこのようなトランジスタを組み込んだ集積回路デバイスにおける継続的な改良へとつながる。
加えて、典型的なトランジスタのコンポーネントの製造の欠陥を減少することは、さらにそのようなトランジスタを組み込む集積回路装置のコストと同様、1つのトランジスタ当たりの全費用も低くする。
一般に、フォトリソグラフィステッパ、エッチングツール、たい積ツール、研摩ツール、急速熱処理ツール、注入ツール等を含む様々な処理ツールを使用して、一連の処理ステップがウェーハに関して実行される。
半導体処理ラインの動作を改善する一つの技術は、自動的に様々な処理ツールの動作を制御するための工場規模の制御システムを含む。
製造ツールは、製造フレームワークまたはモジュールを処理するネットワークと通信する。各製造ツールは一般的に、設備インターフェースに接続される。
設備インターフェースは、製造ツールと製造フレームワークとの間のコミュニケーションを容易にする機械インターフェースに接続される。この機械インターフェースは一般に、高度なプロセス制御(APC)システムの一部であり得る。
このAPCシステムは、製造モデルに基づいたコントロール・スクリプトを開始する。この製造モデルは、製造工程を実行するのに必要とされるデータを自動的に検索するソフトウェアプログラムであり得る。
しばしば、半導体デバイスは、処理された半導体デバイスの質に関係のあるデータを生成して、複数の工程についての複数の製造ツールを通じて製造される。そのツール用のプロセスコントローラには前処理および(または)後処理の測定学データが供給される。
できるだけターゲット値に近い後処理の結果を達成することを試みるべく、操作レシピ・パラメータは、実行モデルおよび測定学情報に基づいたプロセスコントローラによって計算される。
この方法におけるばらつきを低減することは、スループットの増加、コストの軽減、より高いデバイス性能等(これらは全て、収益性を増加することになる)に結びつく。
典型的な半導体組立て設備においては、ウェーハはロットと呼ばれるグループにおいて処理される。一般的に、特定のロットにおけるウェーハは、同一の処理環境にさらされる。
他のツールにおいては、同様の条件下(例えば、同一の操作レシピを使用)であるがウェーハは各々処理されるのに対して、あるツールにおいては、一つのロットのウェーハはすべて同時に処理される。
典型的には、多くのウェーハがその処理サイクルの最初にプライオリティを割り当てられる。プライオリティは、例えばテストまたは実験のロットとして、そのロット中のウェーハの数またはステータスに基づいて割り当てられてもよい。
特定の処理ステップでは、処理の準備ができているすべてのロットの相対的な割り当てられたプライオリティが比較される。処理にどのロットを選択するのがよいかを決定すべく、様々なルールが適用される。
例えば、同じプライオリティを備えた2つのロットについては、どちらか古い方のロットが後の処理にしばしば選択される。
ウェーハのテスト・ロットの場合(すなわち、この場合には一般にウェーハの数が少ない。)、プロセスの性能または結果物であるデバイスの性能を改善しようとして、そのロットは1以上の実験処理ステップまたはレシピ調整を受ける。
実験のパラメータを使用して通常の生産ロットの製造を開始する前に、まずテスト・ロット中のウェーハの結果的な特性に基づいた変化の有効性をテストすることは有用である。
従って、テスト・ロットは、その処理がより速く完了するように、他の生産ロットよりも比較的高いプライオリティを割り当てられるであろう。
特定のプライオリティ割り当てにかかわらず、ルールは本質的に静的で予め決定されている。
例えば生産ロットからテスト・ロットに変化するように、そのステータスが変化しなければ、特定のロットのプライオリティは、典型的にはその処理サイクル中に変化しない。
製造プロセス中に、これから製造されるデバイスの性能に影響を与える様々な事象が起こり得る。すなわち、製造プロセスステップにおけるばらつきは、デバイス性能のばらつきに帰着する。構造のクリティカルディメンション、ドープするレベル、接触抵抗、粒子汚染等のようなファクタはすべて、潜在的に装置の最終的な性能に影響する可能性がある。
デバイスは典型的に、その市場価値を効果的に決定する等級測定によってランク付けされる。一般的に、デバイスの評価が高いほど、より価値が高いデバイスとなる。
デバイスの性能特性に影響する多くの変数のために、電気的なテストをデバイスに関して実行する前にそのデバイスの等級を予測することは難しい。
製造プロセスにおけるほとんど最後まで(処理が完了してから数週間後になるまでのこともある。)、ウェーハの電気的なテスト(WET)の測定は典型的に処理されたウェーハに関して実行されない。
処理ステップの1つ以上が、WET測定において許容範囲ではないことを示すウェーハを結果的に生産する場合、生成したウェーハを廃棄する必要がある可能性がある。
しかしながら一方で、誤処理は、多量のウェーハの廃棄、多くの材料の浪費、全面的なスループットの低下につながるかなりの期間発見されず、修正されない可能性がある。
本発明は、上述した問題の少なくとも一部の問題点を克服または少なくともその影響を減少することに向けられる。
本発明の態様の1つは、製造中に収集された半導体デバイスの処理に関連する特性を格納するステップを含む方法に関する。この半導体デバイスに関連する初期の特性値(initial characteristic values)のベクトルを提供する。このベクトルを、収集した特性の少なくとも1つの部分集合で更新する。半導体デバイスの少なくとも1つの電気的特性を、更新したベクトルに基づいてモデル化する。
本発明の他の態様の1つは、データ・ストアおよび予測ユニットを含むシステムに関する。データ・ストアは、半導体デバイスを製造する間、この半導体デバイスの特性を格納するように構成される。予測ユニットは、半導体デバイスに関連する初期の特性値のベクトルを提供し、収集した特性の少なくとも1つの部分集合(subset)でこのベクトルを更新し、更新したベクトルに基づいて半導体デバイスの少なくとも1つの電気的特性をモデル化するように構成される。
本発明は、添付の図面と関係付けて、以下の説明を参照することによって理解できるであろう。図面中、類似の参照符号は類似の要素を示している。
本発明は様々な変形および代替の形態をとりうるが、その特定の実施形態を例示のために図面に示し、本明細書において詳細に説明する。しかしながら、特定の実施形態についての本明細書中の説明は、開示された特定の形態に本発明を限定しようとするものではなく、むしろ反対に、添付の特許請求の範囲に規定される本発明の精神および範囲の範疇に入る、すべての変形物、均等物および代替物を含むことを意図していることを理解してもらいたい。
本発明の例示としての実施形態を以下説明する。明確化のために、本明細書では、現実の実施品のすべての特徴を説明することはしない。そのような現実の実施品の開発においては、例えばシステム関連の順守事項およびビジネス上の制約など、実用化の事例毎に異なる、開発者の特定の目標を達成するために、数々の実施に則した判断を行わなければならないことは当然理解してもらえるだろう。さらに、そのような開発努力は複雑で時間のかかるものであるかもしれないが、それにもかかわらず本明細書の開示による利益を得た当業者にとっては日常作業に過ぎないことも理解できるであろう。
図1は、一例となる製造システム10を単純化したブロック図である。
この実施形態においては、製造システム10は、半導体ウェーハを処理するように構成されているが、本発明はこれに限られず、他の種類の製造環境および他の種類のワークピースに適用することができる。
ネットワーク20は、製造システムの複数のコンポーネントを相互に連結し、互いに情報を交換できるようにする。
本実施形態の製造システム10は、複数のプロセスツール30を含んでおり、このプロセスツール30はそれぞれコンピュータ40につながれ、ネットワーク20と接続するようになっている。
製造システム10はさらに、ネットワーク20と接続するためにコンピュータ60につながれた1つ以上の測定学ツール50を含む。この測定学ツール50は、測定学データを生成すべく、プロセスツール30で処理されたウェーハの出力特性を測定するのに使用することができる。本実施形態では、ツール30、50はコンピュータ40、60を通じてネットワーク20と接続するものとして記載するが、ツール30、50は、コンピュータ40、60なしにネットワーク20と接続する集積回路設計を含んでいてもよい。
製造実行システム(MES)サーバ70は、製造システム10の処理フローの指示により、製造システム10のハイレベルな操作を命令する。MESサーバ70は、ツール30、50を含む製造システム中の、様々な要素のステータスをモニタする。プロセスツール30は、フォトリソグラフィステッパ、エッチングツール、たい積ツール、研磨ツール、急速加熱処理ツール、注入ツール等のようなプロセスツールとすることができる。測定学ツール50は、光学測定ツール、電気計測ツール、走査電子顕微鏡、ガス分析計器等のような測定ツールとすることができる。
データベースサーバ80は、処理フロー中の様々な要素および加工物(例えばウェーハ)のステータスと関係するデータを格納すべく、提供される。データベースサーバ80は、1つ以上のデータ・ストア90に情報を格納してもよい。
測定学データは、構造の寸法(feature measurement)、プロセス層の厚み、電気性能特性、欠陥測定、表面プロファイル等を含んでいてもよい。
また、ツール30のメンテナンス(例えば清浄、消耗部品の交換、修理)を、MESサーバ70またはツール・オペレータによって、データ・ストア90に格納してもよい。
プロセスツール30のいくつかは、自動的にそれぞれのツール30の操作のレシピを制御するようになっているプロセスコントローラ100を含んでいる。ある特定のプロセスツール30は、収集したフィードバックおよび(または)フィードフォワード測定学データに基づいて複数の操作レシピ・パラメータを制御するようになっている複数のプロセスコントローラ100を有していてもよい。
ツール30がCMPツールである場合、プロセスコントローラ100は研磨前の厚み寸法(pre-polish thickness measurements)(例えば、例えば高い構造の厚み、低い構造の厚み)を受信し、目標の厚みとするのに必要な研磨時間または圧力を予測する。
プロセスツール30がエッチングツールである場合、プロセスコントローラ100は、エッチング前および(または)エッチング後の厚み寸法に基づいてプロセスツール30のエッチングの性能をモデル化することができる。
プロセスコントローラ100は、プロセスツール30の制御モデルを使用して、その予測を生成するようにしてもよい。
制御モデルは、既知の線形または非線形の技術を経験的に使用して生成することができる。この制御モデルは、比較的単純な方程式ベースのモデル(例えば線形の、指数関数的な、加重平均など)、またはニューラルネットワークモデル、PCA(principal component analysis)モデル、またはPLS(projection to latent structures)のような、より複雑なモデルであり得る。このモデルの個々の実装は、選択されたモデリング技法によって変化し得る。この制御モデルを使用して、プロセスコントローラ100は、次の処理のばらつきを軽減するように、操作レシピ・パラメータを決定してもよい。
特定の制御シナリオは、制御されているプロセスツール30の特定の種類による。
製造システム10はまた、この製造システム10において製造されたデバイスをモニタするための、コンピュータ120上で実行する欠陥モニタ(fault monitor)110を含んでいてもよい。欠陥モニタ110は、許容範囲にない状態を示す測定学ツール50によって収集されたデータを使用してもよい。欠陥モニタ110は、欠陥を識別するSPC(statistical process control)技術のような、様々な技術を使用することができる。欠陥のあるウェーハは、再加工されてもよいし廃棄されてもよい。欠陥を識別すると共にこれを扱うための技術は、当業者に周知のものであり、また、本発明が不明瞭にならないように、ここではこの技術についてあまり詳細に記載しない。
製造システム10は、制作プロセスの間に収集したデータに基づいた製造システム10によって制作したデバイスの電気特性を予測する、コンピュータ140上で実行される予測ユニット130を含む。
以下に詳述するように、予測ユニット130は、一連の予め設定した設計値に、測定学ツール50のうちの1つからの測定学データ、プロセスツール30のうちの1つからのプロセスデータおよび(または)プロセスコントローラ100のうちの1つからの制御データのようなデータを組み入れて、完成した装置の様々な電気特性についての予測値を計算するモデリング技法を使用する。
例えば、デバイスが最初に制作プロセスを開始する場合、予測ユニット130は、デバイスの特性(例えば配線幅、スペーサ幅、コンタクトの大きさ、層の厚み、注入するドーズ量、注入エネルギー等)についての初期設定値で開始する。この初期設定値はデバイスの設計特性に基づいてもよい。
制作プロセスを通じてデバイスが生成されるにつれて、これらの特性の実際の値を示すデータが収集される。この収集されたデータは、初期データに代わって使用され、予測ユニット130は、完成したデバイスの電気特性の予測を更新する。収集したデータの量が増加するにつれて、予測値の正確性が高くなる。
このようにして、予測ユニット130は、制作サイクルを通じてデバイスの電気特性を予測することができる。
以下に詳述するように、予測した電気特性は、プロセス設定、欠陥の検出の制度の向上、スケジューリングにおける手助けに有用である。
独自性を備え(independence)、かつ中央情報記憶装置を供給すべく、処理およびデータ保存の機能は一般に、異なるコンピュータ40、60、70、80、120、140の間に分散(distribution)される。もちろん、コンピュータの数や配置は異なっていてもよい。
KLA-Tencor社によって提供されるCatalystシステムを使用して実装することができるように、製造システム10で使用するのに適した典型的な情報交換およびプロセス制御フレームワークは、高度プロセス制御(APC)フレームワークである。
このCatalystシステムは、SEMI(Semiconductor Equipment and Materials International )・CIM(Computer Integrated Manufacturing)フレームワークのシステム技術を使用し、APC(Advanced Process Control)フレームワークに基づく。
CIM(SEMI E81-0699:CIMフレームワークのドメインアーキテクチャの暫定仕様)およびAPC(SEMI E93-0999:CIMフレームワークのプロセス制御コンポーネントの暫定仕様)の仕様は、SEMIから公に利用可能である。
発明の一部および対応する詳細な説明はソフトウェア、つまりアルゴリズムおよびコンピュータメモリ内のデータ・ビットによるオペレーションのシンボリックな表現の形式で説明される。これらの記載と表現は、当業者が他の当業者に、効率的に作用の内容を伝達するものである。ここで使用されている用語であるアルゴリズムは、一般的に、所望の結果に結びつくステップの自己矛盾がないシーケンスと考えられる。このステップとは、物理量の物理的な操作を伴うものである。必ずではないが、通常、これらの量は格納すること、転送すること、組み合わせること、比較すること、または操作することができる光学的な信号、電気的な信号、または磁気信号の形式をとる。主に慣用的な理由により、これらの信号を、ビット、値、要素、記号、文字、用語、数またはその他同種のものとして呼ぶことは、時に便利である。
しかし、これらの言葉および同類語は、適切な物理量に対応付けられており、これらの量に使用された単に便利な標識である。もし、特に提示されなかったならば、または議論から明白なように、「処理すること」または「コンピュータで計算すること」または「計算すること」、または「決定すること」または「表示すること」または同種の用語は、コンピュータシステムまたはその他の情報記憶装置のレジスタおよびメモリ内の物理的電子量として表されるデータを、コンピュータシステムメモリまたはレジスタ、送信装置、または表示装置内の物理量として同様に表わされる他のデータに操作、変換するコンピュータシステムまたは同様の電子計算機の動作およびプロセスに関連する。
図2は、図1の製造システムの一部の簡略ブロック図を示す。プロセスツール30は、操作レシピに従ってウェーハ200を処理する。プロセスツール30はまた、マルチチャンバツール30のシングルチャンバであってもよい。測定学ツール50は、プロセスツール30によって実行されたプロセスの効果を測定すべく、プロセスツール30において処理されたウェーハの出力特性を測定する。
測定学ツール50によって収集された測定学データは、測定された出力特性とその特性についてのターゲット値との間の差を減少すべく、プロセスツール30の操作レシピをダイナミックに更新するプロセスコントローラ100に渡されてもよい。
測定学ツール50によって測定された出力特性はまた、ウェーハ200に関するデバイスの電気特性についての予測の更新に使用される予測ユニット130に渡されてもよい。プロセスツール30および測定学ツール50は一つだけ記載されているが、実際の制作設備においては複数のプロセスツールおよび測定学ツールが使用される。予測ユニット130は、ウェーハ200が処理されるにつれて、様々な測定学ツールから測定学データを受信する。
予測ユニット130はまた、製造プロセス中にウェーハ200がおかれる処理環境を考慮して、プロセスツール30またはプロセスツール30に関連するセンサ(図示しない)からデータを受信してもよい。
典型的なプロセスデータは、チャンバの圧力、チャンバの温度、アニール時間、注入するドーズ量、注入エネルギー、プラズマエネルギー、処理時間等を含む。
予測ユニット130はまた、製造プロセス中に使用される操作レシピの設定に関するプロセスコントローラ100からのデータを受信してもよい。
例えば、いくつかのプロセス・パラメータの値を直接測定できない場合がある。 プロセスコントローラ100は、プロセスツール30からの実際のプロセスデータの代わりにこれらのパラメータについて設定値を供給することができる。
他のプロセス制御データは、プロセスコントローラ100によって評価されかつ(または)制御される複数の状態条件(state conditions)の値を含んでいてもよい。
測定学ツール50、プロセスツール30およびプロセスコントローラ100は、製造中にウェーハ200に関する半導体デバイスの製造に関連したデータを収集するデータ収集ユニットと呼ぶことができる。
図2に示すように、予測ユニット130は、設計ベクトル124および製造ベクトル126において指定された値によって定義されたバーチャルデバイスの電気特性を予測する、モデリングエンジン122を使用する。
設計ベクトル124は、そのデバイスについて予め決定した最初の初期特性(すなわち、生産プロセスにおけるターゲット値)を表す。
設計ベクトル124に含まれる典型的なパラメータは、注入するドーズ量、NVTエネルギー、ゲート酸化膜の厚み、Nチャネルの軽くドープされたドレイン(NLDD)の注入するドーズ量、NLDDエネルギー44、チャネル長さ、スペーサ幅、ポリシリコンゲート配線幅等を調整するNチャネルスレッショルド電圧である。これらのパラメータは、トランジスタの実験的な構成を示し、今までのエンジニアリング知識に基づいて定められる。異なる実験的な構成を示すべく追加のまたは異なるパラメータを利用してもよい。
製造ベクトル126は、製造プロセスの間に収集されるウェーハ200に関するデバイスに関連する特性(例えば測定学データ、プロセスデータまたは制御データ)を含む。ある実施形態の1つにおいては、モデリングエンジン122が電気特性を予測する度に、モデルリングエンジン122は設計ベクトル124および製造ベクトル126を組み合わせる。異なる実施形態においては、製造ベクトル126は最初に設計ベクトル124中の値と共にロードされる。
特性データが収集されるにつれて、製造ベクトル126中の設計値は測定データと置換される。
様々なTCAD(technology computer-aided design)ツールは、モデルリングエンジン122の機能を実行するのに商業上利用可能である。
特定のモデリングツールは、製造される半導体デバイスの種類、予測されることが望まれている電気特性の種類により選択される。
典型的なソフトウェアツールは、カリフォルニア州マウンテンビューのSynopsis社によって提供されるTsuprem-4およびMediciである。予測ユニット130によって予測することができる典型的な電気特性は、駆動電流、リングオシレータの周波数、メモリセルの消去時間、接触抵抗、実効チャネル長等である。
予測した電気特性については、様々な用途がある。例えば、予測ユニット130は、欠陥を検出するために欠陥モニタ115にその予測を供給してもよい。
予測された電気特性が所定の範囲外にある場合、ウェーハ200またはウェーハ200を製造するのに使用するプロセスツール30に関して問題がある可能性がある。欠陥モニタ115は、予測された電気特性に基づく自動修正アクションを開始してもよい。
典型的な修正アクションは、作業員にその問題を解消することを示唆すべく、警戒メッセージを送信すること、後続の処理を自動停止すること、正常でないものとしてそのウェーハをマーキングすること等を含む。
予測された電気特性についての他の利用法はプロセス制御である。
予測ユニット130は、プロセスコントローラ100の制御されたツール30の操作レシピを更新するために1つ以上のプロセスコントローラ100に予測された電気特性を送信することができる。
例えば、デバイスの予測された接触抵抗が高すぎる場合、プロセスコントローラ100は、後続のウェーハ200についての接触抵抗を低下させるべく、金属めっきパラメータを調整することができる。
プロセスコントローラ100はまた、後続のトランジスタデバイスの電気性能に影響を与えるべく、注入するドーズ量およびエネルギーのようなパラメータを調節することができる。
予測された電気特性はまた、スケジューリング目的のために使用されてもよい。
例えばMESサーバ70は、予測された電気特性が、高性能のデバイスまたはあるグレードのデバイスについての業務上の要望と一致する予測された電気特性を有するデバイスを示す場合、そのウェーハ200を含むロットのプライオリティを調整することができる。さらに、MESサーバ70は、スケジューリング決定を予測された電気特性に基づかせてもよい。
例えば、MESサーバ70は、より良い状態のツール(例えば清潔なツール、低い欠陥率、低いオーバーレイ・エラー等)を備えたツール30によって処理される、予測されたより高い電気特性を備えたロットをスケジューリングすることができる。
予測された電気特性は、モデリングエンジン122によって使用された予測モデルを更新するためのフィードバックを生成するために使用されてもよい。半導体デバイスの実際の特性が測定される際、エラー信号を生成すべく、このフィードバックを予想値と比較することができる。
将来の予測についてのエラーの大きさを減らすようにそのモデルのパラメータを調節すべく、このエラー信号はモデリングエンジン122によって使用されてもよい。
図3は、本発明の他の実施形態の一例による、製造中のデバイスの電気パラメータを予測する方法の簡単なフローチャートである。
ブロック300においては、半導体デバイスに関連する一連の初期の特性値を提供する。ブロック310においては、半導体デバイスに関する第1製造プロセスを実行する。ブロック320においては、第1製造プロセスに関連する製造データを収集する。ブロック330においては、初期の特性値の少なくとも1つを、第1の修正した一連の特性値を生成すべく、第1製造プロセスについて収集した製造データと置換する。ブロック340においては、この一連の修正した特性値に基づいて、半導体デバイスの少なくとも1つの電気特性についての第1値を予測する。
製造プロセス中に半導体デバイスの電気特性を予測することには、多くの利点がある。将来的な半導体デバイスの性能に関しても製造プロセスに関しても、知見を得ることができる。従来、この情報は製造プロセスの後半でしか手に入らなかった。製造プロセス中に予測を生成することは、製造されるデバイスの予期される性能に基づいて、プロセス設定を調整し、欠陥状態を識別し、スケジューリング決定を可能にする。
これまでに開示した特定の実施形態は例示にすぎない。本明細書の教示による利益を得た当業者に明らかなように、本発明を変形することができ、また異なるが均等な方法で実施することができる。例えば、上述の処理ステップは異なった順番で実行することができる。さらに、添付の特許請求の範囲の記載を除いては、本明細書に開示した構造または設計の詳細に、本発明を限定しようとする意図はない。従って、上述の特定の実施形態は改変または修正が可能であり、そのような変形形態は本発明の範囲および精神の中にあるものとして考えられる。従って、保護を求める範囲は添付の特許請求の範囲に記載されるとおりである。
本発明のある実施形態の一つによる製造システムの簡略ブロック図。 図1の製造システムの一部の簡略ブロック図。 本発明の他の実施形態の一つによる製造中のデバイスの電気パラメータを予測する方法の簡単なフローチャート。

Claims (10)

  1. 半導体デバイスを製造する間に収集したこの半導体デバイスの特性を表すデータを格納するステップと、
    前記半導体デバイスに関連する特性の初期設定値のベクトルを提供するステップと、
    製造中の前記半導体デバイスの処理に合せて、前記ベクトルを、前記収集した特性を表すデータの少なくとも1つの部分集合で更新するステップと、
    前記半導体デバイスの少なくとも1つの電気的特性を、前記更新したベクトルに基づいて製造中に複数回にわたり予測するステップとを含む、製造中に半導体デバイスの電気的特性を予測する方法。
  2. 前記予測した電気特性に基づいて、前記半導体デバイスに関連する欠陥状態を識別するステップをさらに含む、請求項1記載の方法。
  3. 前記予測した電気特性に基づいて、後続の処理について半導体デバイスをスケジューリングするステップをさらに含む、請求項1記載の方法。
  4. 前記予測した電気特性に基づいて、後続の半導体デバイスを処理する少なくとも1つの操作レシピ・パラメータを決定するステップをさらに含む、請求項1記載の方法。
  5. 前記半導体デバイスを製造する間に収集したこの半導体デバイスの特性を表すデータを格納するステップは、前記半導体デバイスの製造に関連するプロセス特性、計測特性、制御特性のうちの少なくとも1つを表すデータを格納するステップをさらに含む、請求項1記載の方法。
  6. 半導体デバイスを製造する間に収集したこの半導体デバイスの特性を表すデータを格納するように構成されるデータ・ストアと、
    前記半導体デバイスに関連する特性を表すデータの初期設定値のベクトルを提供し、製造中の前記半導体デバイスの処理に合せて、前記収集した特性を表すデータの少なくとも1つの部分集合で前記ベクトルを更新し、製造中に複数回にわたり前記更新したベクトルに基づいて前記半導体デバイスの少なくとも1つの電気的特性を予測するように構成される予測ユニットとを含む、製造中に半導体デバイスの電気的特性を予測するシステム。
  7. 前記予測ユニットと通信的に接続され、前記予測された電気特性に基づいて前記半導体デバイスに関連する欠陥状態を識別するように構成される、欠陥モニタをさらに含む、請求項6記載のシステム。
  8. 前記予測ユニットに通信的に接続され、前記予測された電気特性に基づいて後続の処理についての前記半導体デバイスをスケジューリングするように構成される、製造実行システムサーバをさらに含む、請求項6記載のシステム。
  9. 前記予測ユニットに通信的に接続され、前記予測された電気特性に基づいて後続の半導体デバイスの処理についての少なくとも1つの操作レシピ・パラメータを決定するように構成される、プロセスコントローラをさらに含む、請求項6記載のシステム。
  10. 前記特性を表すデータは、さらに、プロセス特性、計測特性、および制御特性のうちの少なくとも1つを表すデータを含む、請求項6記載のシステム。
JP2004530817A 2002-08-22 2003-07-09 製造中に半導体デバイスの電気的特性を予測する方法及びシステム Expired - Lifetime JP4771696B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/225,638 US8185230B2 (en) 2002-08-22 2002-08-22 Method and apparatus for predicting device electrical parameters during fabrication
US10/225,638 2002-08-22
PCT/US2003/021287 WO2004019401A1 (en) 2002-08-22 2003-07-09 Method and apparatus for predicting device electrical parameters during fabrication

Publications (2)

Publication Number Publication Date
JP2005536887A JP2005536887A (ja) 2005-12-02
JP4771696B2 true JP4771696B2 (ja) 2011-09-14

Family

ID=31887044

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004530817A Expired - Lifetime JP4771696B2 (ja) 2002-08-22 2003-07-09 製造中に半導体デバイスの電気的特性を予測する方法及びシステム

Country Status (8)

Country Link
US (1) US8185230B2 (ja)
EP (1) EP1532675A1 (ja)
JP (1) JP4771696B2 (ja)
KR (1) KR20050058369A (ja)
CN (1) CN100378941C (ja)
AU (1) AU2003261127A1 (ja)
TW (1) TWI310971B (ja)
WO (1) WO2004019401A1 (ja)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003224951A1 (en) * 2002-04-10 2003-10-27 Barcelona Design, Inc. Method and apparatus for efficient semiconductor process evaluation
US20040088068A1 (en) * 2002-10-31 2004-05-06 Daniel Kadosh Method and apparatus for providing first-principles feed-forward manufacturing control
US6941177B2 (en) * 2002-12-17 2005-09-06 Xerox Corporation System and method for implementing real-time applications based on stochastic compute time algorithms
US6917849B1 (en) * 2002-12-18 2005-07-12 Advanced Micro Devices, Inc. Method and apparatus for predicting electrical parameters using measured and predicted fabrication parameters
US7424392B1 (en) * 2002-12-18 2008-09-09 Advanced Micro Devices, Inc. Applying a self-adaptive filter to a drifting process
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US7680556B2 (en) 2004-11-15 2010-03-16 Tech Semiconductor Singapore Pte. Ltd. Method for data collection during manufacturing processes
US7236848B2 (en) * 2005-09-12 2007-06-26 Advanced Micro Devices, Inc. Data representation relating to a non-sampled workpiece
US7657339B1 (en) * 2005-10-14 2010-02-02 GlobalFoundries, Inc. Product-related feedback for process control
US20070124148A1 (en) * 2005-11-28 2007-05-31 Canon Kabushiki Kaisha Speech processing apparatus and speech processing method
KR100735012B1 (ko) * 2006-01-23 2007-07-03 삼성전자주식회사 제품 파라미터들의 통계적 분포 특성을 평가하는 방법
US7954072B2 (en) * 2006-05-15 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
KR100761224B1 (ko) * 2006-08-30 2007-09-27 동부일렉트로닉스 주식회사 유효채널길이 측정장치 및 그 장치를 이용한 유효채널길이측정방법
US7594210B2 (en) * 2006-11-16 2009-09-22 Clk Design Automation, Inc. Timing variation characterization
US7793243B1 (en) 2006-12-04 2010-09-07 Clk Design Automation, Inc. Multi-engine static analysis
US8682466B2 (en) * 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US8145337B2 (en) * 2007-05-04 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology to enable wafer result prediction of semiconductor wafer batch processing equipment
US7783999B2 (en) * 2008-01-18 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical parameter extraction for integrated circuit design
US8037575B2 (en) * 2008-02-28 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shape and timing equivalent dimension extraction
JP2009224374A (ja) * 2008-03-13 2009-10-01 Oki Semiconductor Co Ltd Peb装置及びその制御方法
US7838308B2 (en) * 2008-05-12 2010-11-23 Advanced Micro Devices, Inc. Method of controlling embedded material/gate proximity
US7908109B2 (en) * 2008-07-08 2011-03-15 Advanced Micro Devices, Inc. Identifying manufacturing disturbances using preliminary electrical test data
US8868221B1 (en) * 2008-08-22 2014-10-21 Marvell International Ltd. Adaptive neural net feed forward system and method for adaptive control of mechanical systems
US8001494B2 (en) * 2008-10-13 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Table-based DFM for accurate post-layout analysis
US8155770B2 (en) * 2009-03-31 2012-04-10 Globalfoundries Inc. Method and apparatus for dispatching workpieces to tools based on processing and performance history
US8806386B2 (en) * 2009-11-25 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Customized patterning modulation and optimization
US8745554B2 (en) * 2009-12-28 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Practical approach to layout migration
US9477219B2 (en) * 2010-03-25 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Dynamic compensation in advanced process control
US8940598B2 (en) * 2010-11-03 2015-01-27 Texas Instruments Incorporated Low temperature coefficient resistor in CMOS flow
WO2012112534A2 (en) * 2011-02-14 2012-08-23 Carnegie Mellon University Learning to predict effects of compounds on targets
CN103123373A (zh) * 2011-11-21 2013-05-29 鸿富锦精密工业(深圳)有限公司 电气参数测试装置
US8948495B2 (en) * 2012-08-01 2015-02-03 Kla-Tencor Corp. Inspecting a wafer and/or predicting one or more characteristics of a device being formed on a wafer
CN102800607A (zh) * 2012-08-29 2012-11-28 上海宏力半导体制造有限公司 提高制程能力的方法
US8875087B1 (en) * 2012-09-30 2014-10-28 Cadence Design Systems, Inc. Method and system for automated script generation for EDA tools
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
US9645573B2 (en) * 2014-11-25 2017-05-09 International Business Machines Corporation Reliability monitor test strategy definition
US10295979B2 (en) * 2015-09-15 2019-05-21 Applied Materials, Inc. Scheduling in manufacturing environments
US20170199511A1 (en) * 2016-01-12 2017-07-13 Globalfoundries Inc. Signal detection metholodogy for fabrication control
SG10202111787PA (en) * 2016-10-18 2021-11-29 Ebara Corp Local polisher, method of a local polisher and program
CN110383419B (zh) * 2017-02-27 2023-09-26 诺威有限公司 用于电测试预测的装置和方法
WO2018202361A1 (en) * 2017-05-05 2018-11-08 Asml Netherlands B.V. Method to predict yield of a device manufacturing process
KR102408685B1 (ko) * 2017-10-16 2022-06-15 삼성전자주식회사 반도체 소자의 제조를 위한 공정 제어 방법 및 시스템
CN111190393B (zh) * 2018-11-14 2021-07-23 长鑫存储技术有限公司 半导体制程自动化控制方法及装置
TWI729391B (zh) * 2019-05-16 2021-06-01 國立陽明交通大學 半導體製程中增進類神經網路模型預測結果之方法
CN112433472B (zh) * 2019-08-26 2022-10-18 长鑫存储技术有限公司 半导体生产控制方法及控制系统
US20210103221A1 (en) 2019-10-08 2021-04-08 International Business Machines Corporation Tool control using multistage lstm for predicting on-wafer measurements
CN114641386A (zh) * 2019-11-07 2022-06-17 纳米电子成像有限公司 用于制造过程的系统、方法和介质
CN112068517B (zh) * 2020-09-04 2021-11-05 洛阳中硅高科技有限公司 产品质量的控制系统、方法、装置、介质和电子设备
CN114823398A (zh) * 2021-01-28 2022-07-29 长鑫存储技术有限公司 模拟晶圆芯片的电性的方法及半导体工艺方法
US11927620B2 (en) 2021-01-28 2024-03-12 Changxin Memory Technologies, Inc. Method for simulating electricity of wafer chip

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335704A (ja) * 1994-06-14 1995-12-22 Sony Corp 半導体デバイス解析システムおよびそれを用いた解析方法
JPH09266235A (ja) * 1996-03-28 1997-10-07 Sony Corp 不良解析方法とそのシステム
JPH10321485A (ja) * 1997-05-20 1998-12-04 Oki Electric Ind Co Ltd モデルパラメータのキャリブレーション方法およびキャリブレーション装置
JPH10335193A (ja) * 1997-05-30 1998-12-18 Toshiba Corp 製造工程仕様作成運営システム、プロセスデータ作成システム及び半導体装置の製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6154711A (en) * 1997-12-05 2000-11-28 Advanced Micro Devices, Inc. Disposition tool for factory process control
EP1200885A1 (en) * 1999-06-22 2002-05-02 Brooks Automation, Inc. Run-to-run controller for use in microelectronic fabrication
DE19963763B4 (de) * 1999-12-30 2010-06-17 Robert Bosch Gmbh Verfahren und System zum Betreiben einer schlupfgeregelten Bremsanlage eines Fahrzeugs
US6470230B1 (en) 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6405144B1 (en) * 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US7698012B2 (en) * 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6738682B1 (en) * 2001-09-13 2004-05-18 Advances Micro Devices, Inc. Method and apparatus for scheduling based on state estimation uncertainties
US6789033B2 (en) * 2001-11-29 2004-09-07 International Business Machines Corporation Apparatus and method for characterizing features at small dimensions
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US6745086B1 (en) * 2002-04-03 2004-06-01 Advanced Micro Devices, Inc. Method and apparatus for determining control actions incorporating defectivity effects
US6772035B2 (en) * 2002-05-17 2004-08-03 Micron Technology, Inc. Synthesizing semiconductor process flow models
US6754593B1 (en) * 2002-06-06 2004-06-22 Advanced Micro Devices, Inc. Method and apparatus for measuring defects
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335704A (ja) * 1994-06-14 1995-12-22 Sony Corp 半導体デバイス解析システムおよびそれを用いた解析方法
JPH09266235A (ja) * 1996-03-28 1997-10-07 Sony Corp 不良解析方法とそのシステム
JPH10321485A (ja) * 1997-05-20 1998-12-04 Oki Electric Ind Co Ltd モデルパラメータのキャリブレーション方法およびキャリブレーション装置
JPH10335193A (ja) * 1997-05-30 1998-12-18 Toshiba Corp 製造工程仕様作成運営システム、プロセスデータ作成システム及び半導体装置の製造方法

Also Published As

Publication number Publication date
EP1532675A1 (en) 2005-05-25
US20040040001A1 (en) 2004-02-26
CN1679155A (zh) 2005-10-05
TW200403784A (en) 2004-03-01
US8185230B2 (en) 2012-05-22
JP2005536887A (ja) 2005-12-02
WO2004019401A1 (en) 2004-03-04
AU2003261127A1 (en) 2004-03-11
KR20050058369A (ko) 2005-06-16
CN100378941C (zh) 2008-04-02
TWI310971B (en) 2009-06-11

Similar Documents

Publication Publication Date Title
JP4771696B2 (ja) 製造中に半導体デバイスの電気的特性を予測する方法及びシステム
US6917849B1 (en) Method and apparatus for predicting electrical parameters using measured and predicted fabrication parameters
US6678570B1 (en) Method and apparatus for determining output characteristics using tool state data
US7067333B1 (en) Method and apparatus for implementing competing control models
WO2006041543A1 (en) Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US6563300B1 (en) Method and apparatus for fault detection using multiple tool error signals
US6687561B1 (en) Method and apparatus for determining a sampling plan based on defectivity
US6785586B1 (en) Method and apparatus for adaptively scheduling tool maintenance
US7650199B1 (en) End of line performance prediction
US6665623B1 (en) Method and apparatus for optimizing downstream uniformity
TWI400740B (zh) 有關未被抽樣的工件之資料表示
JP4662711B2 (ja) 製造フローのスケジュール作成方法および製造システム
US7296103B1 (en) Method and system for dynamically selecting wafer lots for metrology processing
US6961636B1 (en) Method and apparatus for dynamically monitoring controller tuning parameters
US6895295B1 (en) Method and apparatus for controlling a multi-chamber processing tool
US6907369B1 (en) Method and apparatus for modifying design constraints based on observed performance
US20040088068A1 (en) Method and apparatus for providing first-principles feed-forward manufacturing control
US6732007B1 (en) Method and apparatus for implementing dynamic qualification recipes
US6937914B1 (en) Method and apparatus for controlling process target values based on manufacturing metrics
US7020535B1 (en) Method and apparatus for providing excitation for a process controller
US7153709B1 (en) Method and apparatus for calibrating degradable components using process state data
CN105895563A (zh) 一种制造过程中预测半导体装置的电气参数的方法及系统
US6868353B1 (en) Method and apparatus for determining wafer quality profiles
US7783455B1 (en) Methods and systems for analyzing process equipment processing variations using sensor data
US7103439B1 (en) Method and apparatus for initializing tool controllers based on tool event data

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060706

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100224

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100303

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100324

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100426

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100714

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110419

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110525

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110621

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140701

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4771696

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term