JP4665037B2 - Substrate processing system - Google Patents

Substrate processing system Download PDF

Info

Publication number
JP4665037B2
JP4665037B2 JP2009025761A JP2009025761A JP4665037B2 JP 4665037 B2 JP4665037 B2 JP 4665037B2 JP 2009025761 A JP2009025761 A JP 2009025761A JP 2009025761 A JP2009025761 A JP 2009025761A JP 4665037 B2 JP4665037 B2 JP 4665037B2
Authority
JP
Japan
Prior art keywords
substrate
wafer
processing system
transfer
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009025761A
Other languages
Japanese (ja)
Other versions
JP2010182919A (en
Inventor
知広 金子
孔貴 浅川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009025761A priority Critical patent/JP4665037B2/en
Priority to KR20100010488A priority patent/KR101478856B1/en
Publication of JP2010182919A publication Critical patent/JP2010182919A/en
Application granted granted Critical
Publication of JP4665037B2 publication Critical patent/JP4665037B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Description

本発明は、例えば半導体ウェハ等の基板の処理システムに関する。   The present invention relates to a processing system for a substrate such as a semiconductor wafer.

例えば半導体デバイスの製造プロセスにおけるフォトリソグラフィー処理では、例えば半導体ウェハ(以下、「ウェハ」という。)上にレジスト液を塗布しレジスト膜を形成するレジスト塗布処理、レジスト膜に所定のパターンを露光する露光処理、露光されたレジスト膜を現像する現像処理等の各種処理が行われている。   For example, in a photolithography process in a semiconductor device manufacturing process, for example, a resist coating process for applying a resist solution on a semiconductor wafer (hereinafter referred to as “wafer”) to form a resist film, and exposure for exposing a predetermined pattern on the resist film Various kinds of processing such as processing and development processing for developing the exposed resist film are performed.

これらの一連の処理は、通常、塗布現像処理システムを用いて行われる。塗布現像処理システムは、例えばカセット単位でウェハを搬入出するためのカセットステーションと、各種処理を行う複数の処理装置が配置された処理ステーションと、隣接する露光装置と処理ステーションとの間でウェハの受け渡しを行うためのインターフェイスステーション等を有している。   A series of these processes is usually performed using a coating and developing processing system. For example, the coating and developing processing system includes a cassette station for loading and unloading wafers in units of cassettes, a processing station in which a plurality of processing apparatuses for performing various processes are arranged, and a wafer between an adjacent exposure apparatus and processing station. It has an interface station for delivery.

処理ステーションには、基板を搬送する搬送装置と、搬送装置の周囲に設けられ、複数の処理装置が鉛直方向に多段に配置された処理装置群と、が設けられている。搬送装置には、ウェハを保持して搬送する1基のウェハ搬送体が設けられ、ウェハ搬送体は、鉛直方向及び水平方向に移動可能であると共に、回転できるように構成されている。そして、ウェハ搬送体は、ウェハを各処理装置に搬送することができる(特許文献1)。   The processing station includes a transport device that transports the substrate and a processing device group that is provided around the transport device and in which a plurality of processing devices are arranged in multiple stages in the vertical direction. The transfer apparatus is provided with one wafer transfer body that holds and transfers the wafer, and the wafer transfer body is configured to be movable in the vertical direction and the horizontal direction and to be rotatable. And the wafer conveyance body can convey a wafer to each processing apparatus (patent document 1).

特開2001−189368号公報JP 2001-189368 A

ところで、この塗布現像処理システムを用いてウェハに一連の処理を行う場合、ウェハ処理のスループットを向上させるために、各処理装置へのウェハの搬送を効率よく行うことが要求されている。   Incidentally, when a series of processing is performed on a wafer using this coating and developing processing system, it is required to efficiently transport the wafer to each processing apparatus in order to improve the throughput of the wafer processing.

しかしながら、従来のように1基のウェハ搬送体のみを有する搬送装置を用いた場合、各処理装置へのウェハの搬送効率が悪い場合がある。例えば複数の処理装置でウェハの処理が同時に終了すると、処理装置では搬送装置によるウェハの搬出を待たなければならい。また、例えば搬送装置が一の処理装置にウェハを搬送中には、他の処理装置がウェハを処理できる状態になっていても、当該他の処理装置ではウェハの搬入を待たなければならない。しかも、ウェハ搬送体の動作を高速化するにも技術的な限界がある。したがって、ウェハ処理のスループットを向上させるには至らなかった。   However, when a transfer apparatus having only one wafer transfer body is used as in the prior art, the transfer efficiency of wafers to each processing apparatus may be poor. For example, when processing of a wafer is simultaneously completed by a plurality of processing apparatuses, the processing apparatus must wait for the wafer to be carried out by the transfer apparatus. Further, for example, while the transfer device is transferring a wafer to one processing device, the other processing device must wait for the wafer to be loaded even if the other processing device is ready to process the wafer. In addition, there is a technical limit to speeding up the operation of the wafer carrier. Therefore, the throughput of wafer processing has not been improved.

本発明は、かかる点に鑑みてなされたものであり、基板の搬送を効率よく行い、基板処理のスループットを向上させることを目的とする。   SUMMARY An advantage of some aspects of the invention is that it efficiently transports a substrate and improves the throughput of substrate processing.

前記の目的を達成するため、本発明は、複数の基板を鉛直方向に多段に留置し、基板搬送装置を中心とする円周上を移動する複数のバッファ部と、基板に所定の処理を行う処理装置を鉛直方向に多段に備え、前記円周の外側に配置された複数の処理装置群と、を有し、前記基板搬送装置は、前記バッファ部間で基板を搬送し、前記処理装置は、当該処理装置と前記バッファ部との間で基板を搬送する基板搬送機構を有することを特徴としている。   To achieve the above object, the present invention places a plurality of substrates in multiple stages in the vertical direction, performs a predetermined process on the substrates, and a plurality of buffer units that move on a circumference centered on the substrate transport apparatus. A plurality of processing apparatus groups provided in multiple stages in the vertical direction and arranged outside the circumference, the substrate transport apparatus transports a substrate between the buffer units, and the processing apparatus And a substrate transport mechanism for transporting the substrate between the processing apparatus and the buffer unit.

本発明によれば、各処理装置が基板を搬送する基板搬送機構を有しているので、各処理装置において要求されるタイミングで、当該処理装置に基板を搬入出することができる。すなわち、処理装置で基板を処理ができる状態になれば、当該処理装置の基板搬送機構によって、装置内に基板を迅速に搬入することができる。また、処理装置で基板の処理が終了すれば、当該処理装置の基板搬送機構によって、装置から基板を迅速に搬出することができる。この結果、従来のように処理装置での基板の搬送待ちが発生せず、基板を効率よく搬送することができる。   According to the present invention, since each processing apparatus has a substrate transport mechanism for transporting a substrate, the substrate can be carried into and out of the processing apparatus at a timing required in each processing apparatus. That is, when the substrate can be processed by the processing apparatus, the substrate can be quickly carried into the apparatus by the substrate transfer mechanism of the processing apparatus. Further, when the processing of the substrate is completed in the processing apparatus, the substrate can be quickly unloaded from the apparatus by the substrate transfer mechanism of the processing apparatus. As a result, the substrate can be transported efficiently without waiting for the transport of the substrate in the processing apparatus as in the prior art.

また、各バッファ部は基板搬送装置を中心とする円周上を移動できるので、一の処理装置群から後続処理を行う他の処理装置群に複数の基板を一度に搬送することができる。   Further, since each buffer unit can move on the circumference centering on the substrate transfer apparatus, a plurality of substrates can be transferred at a time from one processing apparatus group to another processing apparatus group that performs subsequent processing.

さらに、基板搬送装置によってバッファ部内の基板を所定の位置(鉛直方向の位置)に移動させることができるので、各処理装置の搬送機構は、所定の基板をバッファ部から処理装置内に搬送することができる。   Furthermore, since the substrate in the buffer unit can be moved to a predetermined position (vertical position) by the substrate transfer device, the transfer mechanism of each processing apparatus transfers the predetermined substrate from the buffer unit into the processing apparatus. Can do.

このように本発明によれば、基板の搬送効率を格段に向上させることができる。しかも、基板処理システムは複数のバッファ部を有しているので、システム内で多数の基板に対して処理を行うことができる。したがって、基板処理のスループットを向上させることができる。   As described above, according to the present invention, the substrate transfer efficiency can be significantly improved. In addition, since the substrate processing system has a plurality of buffer units, it is possible to process a large number of substrates in the system. Therefore, the throughput of substrate processing can be improved.

前記バッファ部は、前記円周上で移動させるバッファ部搬送機構を有していてもよい。すなわち、各バッファ部は自走式に構成されていてもよい。   The buffer unit may include a buffer unit transport mechanism that moves on the circumference. That is, each buffer unit may be configured to be self-propelled.

前記複数のバッファ部は、回転テーブル上の前記円周上に配置され、前記回転テーブルは、前記基板搬送装置を中心に回転可能であってもよい。   The plurality of buffer units may be arranged on the circumference on a rotary table, and the rotary table may be rotatable around the substrate transfer device.

前記バッファ部は、鉛直方向に移動させるバッファ部移動機構を有していてもよい。   The buffer unit may have a buffer unit moving mechanism that moves in the vertical direction.

前記バッファ部移動機構は、前記バッファ部の鉛直方向の中心軸周りに当該バッファ部を回転させてもよい。   The buffer unit moving mechanism may rotate the buffer unit around a central axis in the vertical direction of the buffer unit.

前記基板搬送装置は、複数の基板を保持可能に構成されていてもよい。   The substrate transfer device may be configured to hold a plurality of substrates.

前記基板処理システムは、複数の基板を収容するカセットを基板処理システムの外部との間で搬入出する際に載置するカセット載置部と、前記カセット載置部とカセット載置部側の前記バッファ部との間で基板を搬送する他の基板搬送装置と、を有していてもよい。   The substrate processing system includes a cassette mounting unit that is mounted when a cassette that accommodates a plurality of substrates is carried into and out of the substrate processing system, and the cassette mounting unit and the cassette mounting unit on the cassette mounting unit side. You may have the other board | substrate conveyance apparatus which conveys a board | substrate between buffer parts.

前記他の基板搬送装置は、複数の基板を保持可能に構成されていてもよい。   The other substrate transfer device may be configured to be able to hold a plurality of substrates.

前記複数の処理装置群は、基板に所定の液体を供給して処理を行う液処理装置が鉛直方向に多段に配置された液処理装置群と、基板に所定の温度で熱処理を行う熱処理装置が鉛直方向に多段に配置された熱処理装置群と、を有していてもよい。   The plurality of processing apparatus groups include a liquid processing apparatus group in which liquid processing apparatuses that perform processing by supplying a predetermined liquid to a substrate are arranged in multiple stages, and a heat processing apparatus that performs heat processing on the substrate at a predetermined temperature. A heat treatment apparatus group arranged in multiple stages in the vertical direction.

前記複数の処理装置群は、基板に所定の液体を供給して処理を行う液処理装置と、基板に所定の温度で熱処理を行う熱処理装置とが鉛直方向に多段に配置された処理装置群を有していてもよい。   The plurality of processing apparatus groups include a processing apparatus group in which a liquid processing apparatus that supplies a predetermined liquid to a substrate and performs processing and a heat processing apparatus that performs heat processing on the substrate at a predetermined temperature are arranged in multiple stages in the vertical direction. You may have.

一の前記処理装置群には、前記液処理装置と前記熱処理装置とを備えた処理装置層が鉛直方向に多段に配置されていてもよい。   In one processing apparatus group, processing apparatus layers including the liquid processing apparatus and the heat treatment apparatus may be arranged in multiple stages in the vertical direction.

前記バッファ部は、内部に基板を保管するフレームと、前記フレームにおいて鉛直方向に所定の間隔で複数設けられ、基板を保持する保持部材と、を有していてもよい。   The buffer unit may include a frame for storing the substrate therein, and a plurality of holding members that are provided at predetermined intervals in the vertical direction in the frame and hold the substrate.

前記バッファ部は、内部に基板を保管するフレームと、前記フレームにおいて鉛直方向に所定の間隔で複数設けられ、当該フレームの内部を複数に区画する平板状部材と、前記平板状部材の上面に設けられ、基板を保持する保持部材と、を有していてもよい。   The buffer unit includes a frame for storing a substrate therein, a plurality of flat members provided at predetermined intervals in the vertical direction in the frame, and a plurality of flat members that divide the inside of the frame into a plurality of members, and an upper surface of the flat member. And a holding member that holds the substrate.

前記フレームは、側面が開口した円筒形状を有していてもよく、また側面が開口した直方体形状を有していてもよい。   The frame may have a cylindrical shape with open side surfaces, or may have a rectangular parallelepiped shape with open side surfaces.

前記バッファ部は、鉛直方向に延伸する支持部材と、前記支持部材において鉛直方向に所定の間隔で設けられ、基板を保持する保持部材と、を有していてもよい。   The buffer unit may include a support member extending in a vertical direction and a holding member that is provided at a predetermined interval in the vertical direction in the support member and holds a substrate.

前記基板搬送機構は、一対のアーム部と、前記アーム部に設けられ、基板を保持する保持部とを備えた搬送アームと、前記一対のアーム部の間隔を調整すると共に、前記搬送アームを水平方向に移動させるアーム移動機構と、を有していてもよい。   The substrate transfer mechanism adjusts the interval between the pair of arm portions, a transfer arm provided on the arm portion and a holding portion for holding the substrate, and the pair of arm portions. And an arm moving mechanism for moving in the direction.

前記基板搬送機構は、基板の外周に適合する形状を有するアーム部と、前記アーム部に設けられ、基板を保持する保持部と、を備えた搬送アームと、前記搬送アームを水平方向に移動させるアーム移動機構と、を有していてもよい。   The substrate transfer mechanism includes a transfer arm provided with an arm portion having a shape that fits an outer periphery of the substrate, a holding portion that is provided on the arm portion and holds the substrate, and moves the transfer arm in a horizontal direction. And an arm moving mechanism.

前記アーム移動機構は、前記搬送アームを鉛直方向に移動させてもよい。   The arm moving mechanism may move the transfer arm in a vertical direction.

前記基板搬送機構は、基板を保持して搬送する搬送アームを有し、前記搬送アームは、屈曲自在に連結された複数のアーム部と、先端の前記アーム部に設けられ、基板を保持する保持部と、を有していてもよい。   The substrate transport mechanism includes a transport arm that holds and transports a substrate, and the transport arm is provided in a plurality of flexibly connected arm portions and the arm portion at the tip, and holds the substrate. And may have a part.

前記基板搬送機構は、前記搬送アームを鉛直方向に移動させるアーム移動機構を有していてもよい。   The substrate transfer mechanism may include an arm moving mechanism that moves the transfer arm in a vertical direction.

前記基板搬送機構は、前記搬送アームを複数有していてもよい。   The substrate transfer mechanism may have a plurality of transfer arms.

本発明によれば、基板の搬送を効率よく行い、基板処理のスループットを向上させることができる。   According to the present invention, it is possible to efficiently transport a substrate and improve the throughput of substrate processing.

本実施の形態にかかる塗布現像処理システムの内部構成の概略を示す平面図である。It is a top view which shows the outline of the internal structure of the coating and developing treatment system concerning this Embodiment. 本実施の形態にかかる塗布現像処理システムの内部構成の概略を示す側面図である。It is a side view which shows the outline of the internal structure of the coating and developing treatment system concerning this Embodiment. 本実施の形態にかかる塗布現像処理システムの内部構成の概略を示す側面図である。It is a side view which shows the outline of the internal structure of the coating and developing treatment system concerning this Embodiment. ウェハ搬送装置の構成の概略を示す側面図である。It is a side view which shows the outline of a structure of a wafer conveyance apparatus. ウェハ搬送装置の構成の概略を示す平面図である。It is a top view which shows the outline of a structure of a wafer conveyance apparatus. 第1のバッファ装置の構成の概略を示す側面図である。It is a side view which shows the outline of a structure of a 1st buffer apparatus. 第1のバッファ装置の構成の概略を示す横断面図である。It is a cross-sectional view which shows the outline of a structure of a 1st buffer apparatus. ウェハ搬送装置、第1のバッファ装置及び第1の処理装置群の配置を示す説明図である。It is explanatory drawing which shows arrangement | positioning of a wafer conveyance apparatus, a 1st buffer apparatus, and a 1st processing apparatus group. レジスト塗布装置の構成の概略を示す横断面図である。It is a cross-sectional view which shows the outline of a structure of a resist coating apparatus. レジスト塗布装置の構成の概略を示す縦断面図である。It is a longitudinal cross-sectional view which shows the outline of a structure of a resist coating device. レジスト塗布装置のウェハ搬送機構がウェハを搬送する様子を示す説明図である。It is explanatory drawing which shows a mode that the wafer conveyance mechanism of a resist coating apparatus conveys a wafer. 熱処理装置の構成の概略を示す横断面図である。It is a cross-sectional view which shows the outline of a structure of the heat processing apparatus. 熱処理装置の構成の概略を示す縦断面図である。It is a longitudinal cross-sectional view which shows the outline of a structure of the heat processing apparatus. 第3の処理装置群の熱処理装置の配置を示す説明図である。It is explanatory drawing which shows arrangement | positioning of the heat processing apparatus of a 3rd processing apparatus group. 第3の処理装置群の熱処理装置の配置を示す説明図である。It is explanatory drawing which shows arrangement | positioning of the heat processing apparatus of a 3rd processing apparatus group. ウェハ処理の各工程を示したフローチャートである。It is the flowchart which showed each process of wafer processing. 他の実施の形態にかかる第1のバッファ装置の構成の概略を示す側面図である。It is a side view which shows the outline of a structure of the 1st buffer apparatus concerning other embodiment. 他の実施の形態にかかる第1のバッファ装置の構成の概略を示す横断面図である。It is a cross-sectional view which shows the outline of a structure of the 1st buffer apparatus concerning other embodiment. 他の実施の形態にかかる第1のバッファ装置の構成の概略を示す横断面図である。It is a cross-sectional view which shows the outline of a structure of the 1st buffer apparatus concerning other embodiment. 他の実施の形態にかかる第1のバッファ装置の構成の概略を示す側面図である。It is a side view which shows the outline of a structure of the 1st buffer apparatus concerning other embodiment. 他の実施の形態にかかる第1のバッファ装置の構成の概略を示す横断面図である。It is a cross-sectional view which shows the outline of a structure of the 1st buffer apparatus concerning other embodiment. 他の実施の形態にかかる第1のバッファ装置の構成の概略を示す側面図である。It is a side view which shows the outline of a structure of the 1st buffer apparatus concerning other embodiment. 他の実施の形態にかかるウェハ搬送装置周辺の構成の概略を示す説明図である。It is explanatory drawing which shows the outline of a structure of the wafer conveyance apparatus periphery concerning other embodiment. 他の実施の形態にかかる熱処理装置の構成の概略を示す縦断面図である。It is a longitudinal cross-sectional view which shows the outline of a structure of the heat processing apparatus concerning other embodiment. 他の実施の形態にかかるレジスト塗布装置の構成の概略を示す横断面図である。It is a cross-sectional view which shows the outline of a structure of the resist coating apparatus concerning other embodiment. 他の実施の形態にかかるレジスト塗布装置の構成の概略を示す縦断面図である。It is a longitudinal cross-sectional view which shows the outline of a structure of the resist coating apparatus concerning other embodiment. 他の実施の形態にかかる塗布現像処理システムの内部構成の概略を示す側面図である。It is a side view which shows the outline of the internal structure of the coating and developing treatment system concerning other embodiment. 他の実施の形態にかかる塗布現像処理システムの内部構成の概略を示す側面図である。It is a side view which shows the outline of the internal structure of the coating and developing treatment system concerning other embodiment. 他の実施の形態にかかる第2の処理装置群の処理装置の配置を示す説明図である。It is explanatory drawing which shows arrangement | positioning of the processing apparatus of the 2nd processing apparatus group concerning other embodiment. 他の実施の形態にかかる塗布現像処理システムの内部構成の概略を示す平面図である。It is a top view which shows the outline of the internal structure of the coating and developing treatment system concerning other embodiment. 他の実施の形態にかかる塗布現像処理システムの内部構成の概略を示す平面図である。It is a top view which shows the outline of the internal structure of the coating and developing treatment system concerning other embodiment.

以下、本発明の実施の形態について説明する。図1は本実施の形態にかかる基板処理システムとしての塗布現像処理システム1の内部構成の概略を示す平面図である。また、図2及び図3は、塗布現像処理システム1の内部構成の概略を示す側面図である。   Embodiments of the present invention will be described below. FIG. 1 is a plan view showing an outline of the internal configuration of a coating and developing treatment system 1 as a substrate processing system according to the present embodiment. 2 and 3 are side views showing an outline of the internal configuration of the coating and developing treatment system 1. FIG.

塗布現像処理システム1は、図1に示すように例えば外部との間でカセットCが搬入出されるカセットステーション10と、フォトリソグラフィー処理の中で枚葉式に所定の処理を施す複数の各種処理装置を備えた処理ステーション11と、処理ステーション11に隣接する露光装置12との間でウェハWの受け渡しを行うインターフェイスステーション13とを一体に接続した構成を有している。   As shown in FIG. 1, the coating and developing processing system 1 includes, for example, a cassette station 10 in which a cassette C is carried in and out from the outside, and a plurality of various processing apparatuses that perform predetermined processing in a single wafer type during photolithography processing. And an interface station 13 for transferring the wafer W between the exposure station 12 adjacent to the processing station 11 and the processing station 11 are integrally connected.

カセットステーション10には、カセット載置部としてのカセット載置台20が設けられている。カセット載置台20には、複数、例えば5つのカセット載置板21が設けられている。カセット載置板21は、水平方向のX方向(図1中の上下方向)に一列に並べて設けられている。これらのカセット載置板21には、塗布現像処理システム1の外部に対してカセットを搬入出する際に、カセットCを載置することができる。   The cassette station 10 is provided with a cassette mounting table 20 as a cassette mounting unit. A plurality of, for example, five cassette mounting plates 21 are provided on the cassette mounting table 20. The cassette mounting plates 21 are arranged in a line in the horizontal X direction (vertical direction in FIG. 1). The cassette C can be placed on these cassette placement plates 21 when the cassette is carried in and out of the coating and developing treatment system 1.

カセットステーション10には、X方向に延びる搬送路30上を移動自在な他の基板搬送装置としてのウェハ搬送装置31が設けられている。ウェハ搬送装置31は、鉛直方向、水平方向及び鉛直軸周りにも移動自在であり、各カセット載置板21上のカセットCと、後述する処理ステーション11の第1のバッファ装置41との間で受け渡し装置52を介してウェハWを搬送できる。   The cassette station 10 is provided with a wafer transfer device 31 as another substrate transfer device that can move on a transfer path 30 extending in the X direction. The wafer transfer device 31 is also movable in the vertical direction, the horizontal direction, and the vertical axis, and between the cassette C on each cassette mounting plate 21 and a first buffer device 41 of the processing station 11 described later. The wafer W can be transferred via the transfer device 52.

処理ステーション11の中央部には、基板搬送装置としてのウェハ搬送装置40が設けられている。ウェハ搬送装置40は、鉛直方向、水平方向及び鉛直軸周りに移動自在であり、後述するバッファ装置41〜44との間でウェハWを搬送できる。   A wafer transfer device 40 as a substrate transfer device is provided at the center of the processing station 11. The wafer transfer device 40 is movable in the vertical direction, the horizontal direction, and the vertical axis, and can transfer the wafer W between buffer devices 41 to 44 described later.

ウェハ搬送装置40の周囲には、例えばウェハWを一時的に保管する4つのバッファ装置41〜44が設けられている。第1〜第4のバッファ装置41〜44は、ウェハ搬送装置40を中心とした円環状に設けられたレール45上を移動可能になっている。   Around the wafer conveyance device 40, for example, four buffer devices 41 to 44 for temporarily storing the wafer W are provided. The first to fourth buffer devices 41 to 44 are movable on a rail 45 provided in an annular shape around the wafer transfer device 40.

ウェハ搬送装置40の周囲には、各種処理装置を備えた複数、例えば4つの処理装置群G1〜G4がさらに設けられている。第1の処理装置群G1は、処理ステーション11のカセットステーション10側(図1のY方向負方向側)に配置され、第2の処理装置群G2は、処理ステーション11の正面側(図1のX方向負方向側)に配置され、第3の処理装置群G3は、処理ステーション11のインターフェイスステーション13側(図1のY方向正方向側)に配置され、第4の処理装置群G4は、処理ステーション11の背面側(図1のX方向正方向側)に配置されている。また、第1〜第4の処理装置群G1〜G4は、第1〜第4のバッファ装置41〜44の外側であって、後述するように、各処理装置のウェハ搬送機構150、190が第1〜第4のバッファ装置41〜44との間でウェハWを搬送できるように配置されている。   Around the wafer conveyance device 40, a plurality of, for example, four processing device groups G1 to G4 including various processing devices are further provided. The first processing unit group G1 is disposed on the cassette station 10 side (the Y direction negative direction side in FIG. 1) of the processing station 11, and the second processing unit group G2 is disposed on the front side of the processing station 11 (in FIG. 1). The third processing unit group G3 is arranged on the interface station 13 side (the Y direction positive direction side in FIG. 1) of the processing station 11, and the fourth processing unit group G4 is arranged on the negative side in the X direction. It is arranged on the back side (the X direction positive direction side in FIG. 1) of the processing station 11. The first to fourth processing device groups G1 to G4 are outside the first to fourth buffer devices 41 to 44, and as will be described later, the wafer transfer mechanisms 150 and 190 of the processing devices are the first ones. The wafers W are arranged so as to be transferred between the first to fourth buffer devices 41 to 44.

第1の処理装置群G1には、図2に示すようにウェハWを疎水化処理するアドヒージョン装置50、51、複数のウェハWの受け渡しを行うための受け渡し装置52、ウェハWに所定の液体を供給して処理を行う液処理装置、例えばウェハWのレジスト膜の下層に反射防止膜(以下、「下部反射防止膜」という)を形成する下部反射防止膜形成装置53〜55、ウェハWの熱処理を行う熱処理装置56〜58が下から順に9段に重ねて配置されている。第1の処理装置群G1の最下段には、上述した下部反射防止膜形成装置53〜55に処理液を供給するためのケミカル室59が設けられている。   In the first processing unit group G1, as shown in FIG. 2, adhesion devices 50 and 51 for hydrophobizing the wafer W, a transfer device 52 for transferring a plurality of wafers W, and a predetermined liquid on the wafer W Liquid processing apparatus that supplies and processes, for example, lower antireflection film forming apparatuses 53 to 55 that form an antireflection film (hereinafter referred to as “lower antireflection film”) below the resist film of the wafer W, and heat treatment of the wafer W The heat treatment apparatuses 56 to 58 for performing the above are arranged in nine stages in order from the bottom. A chemical chamber 59 for supplying a processing liquid to the above-described lower antireflection film forming apparatuses 53 to 55 is provided at the lowermost stage of the first processing apparatus group G1.

第2の処理装置群G2には、液処理装置、例えばウェハWにレジスト液を塗布してレジスト膜を形成するレジスト塗布装置60〜65、ウェハWの熱処理を行う熱処理装置66〜68が下から順に9段に重ねて配置されている。第2の処理装置群G2の最下段には、上述したレジスト塗布装置60〜65に処理液を供給するためのケミカル室69が設けられている。   The second processing unit group G2 includes liquid processing units, for example, resist coating units 60 to 65 that apply a resist solution to the wafer W to form a resist film, and thermal processing units 66 to 68 that perform thermal processing of the wafer W from below. They are arranged in 9 stages in order. A chemical chamber 69 for supplying a processing solution to the resist coating apparatuses 60 to 65 described above is provided at the lowermost stage of the second processing apparatus group G2.

第3の処理装置群G3には、液処理装置、例えばウェハWのレジスト膜の上層に反射防止膜(以下、「上部反射防止膜」という)を形成する上部反射防止膜形成装置70〜73、ウェハWの熱処理を行う熱処理装置74〜78が下から順に9段に重ねて配置されている。第3の処理装置群G3の最下段には、上述した上部反射防止膜形成装置70〜73に処理液を供給するためのケミカル室79が設けられている。   The third processing apparatus group G3 includes liquid processing apparatuses, for example, upper antireflection film forming apparatuses 70 to 73 that form an antireflection film (hereinafter referred to as “upper antireflection film”) on the resist film of the wafer W, Heat treatment apparatuses 74 to 78 for performing heat treatment of the wafer W are arranged in nine stages in order from the bottom. A chemical chamber 79 for supplying a processing liquid to the above-described upper antireflection film forming apparatuses 70 to 73 is provided at the lowermost stage of the third processing apparatus group G3.

第4の処理装置群G4には、図3に示すように液処理装置、例えばウェハWに現像液を供給して現像処理する現像処理装置80〜84、ウェハWの熱処理を行う熱処理装置85〜88が下から順に9段に重ねて配置されている。第4の処理装置群G4の最下段には、上述した現像処理装置80〜84に処理液を供給するためのケミカル室89が設けられている。   In the fourth processing unit group G4, as shown in FIG. 3, a liquid processing unit, for example, development processing units 80 to 84 for supplying a developing solution to the wafer W to perform development processing, and thermal processing units 85 to 85 for performing thermal processing of the wafer W are provided. 88 are arranged in nine steps in order from the bottom. A chemical chamber 89 for supplying a processing solution to the development processing apparatuses 80 to 84 described above is provided at the lowermost stage of the fourth processing apparatus group G4.

インターフェイスステーション13には、図1に示すようにウェハ搬送装置90、受け渡し装置91及びウェハWの外周部を露光する周辺露光装置92が設けられている。ウェハ搬送装置90は、鉛直方向、水平方向及び鉛直軸周りに移動自在な搬送アームを有している。ウェハ搬送装置90は、例えば搬送アームにウェハWを支持して、インターフェイスステーション13に隣接した露光装置12と、受け渡し装置91、周辺露光装置92及び第3の処理装置群G3との間でウェハWを搬送できる。   As shown in FIG. 1, the interface station 13 is provided with a wafer transfer device 90, a transfer device 91, and a peripheral exposure device 92 that exposes the outer periphery of the wafer W. The wafer transfer device 90 has a transfer arm that can move around the vertical direction, the horizontal direction, and the vertical axis. The wafer transfer device 90 supports, for example, the wafer W on the transfer arm, and the wafer W between the exposure device 12 adjacent to the interface station 13, the transfer device 91, the peripheral exposure device 92, and the third processing device group G3. Can be transported.

次に、上述したカセットステーション10のウェハ搬送装置31と処理ステーション11のウェハ搬送装置40の構成について説明する。図4は、ウェハ搬送装置31の構成の概略を示す側面図であり、図5は、ウェハ搬送装置31の構成の概略を示す平面図である。   Next, the configuration of the wafer transfer device 31 of the cassette station 10 and the wafer transfer device 40 of the processing station 11 will be described. FIG. 4 is a side view showing the outline of the configuration of the wafer transfer apparatus 31, and FIG. 5 is a plan view showing the outline of the configuration of the wafer transfer apparatus 31.

ウェハ搬送装置31は、図4に示すようにウェハWを保持して搬送する複数、例えば6本のアーム体110を有している。アーム体110は、図5に示すようにその先端が2本の先端部110a、110aに分岐している。各先端部110aには、ウェハWの裏面を吸着して水平に保持する吸着パッド111が設けられている。このような構成により、ウェハ搬送装置31は、一度に複数のウェハWを搬送することができる。なお、アーム体110の数は、本実施の形態の数に限定されず、任意に選択することができる。   As shown in FIG. 4, the wafer transfer device 31 has a plurality of, for example, six arm bodies 110 that hold and transfer the wafer W. As shown in FIG. 5, the arm body 110 has its distal end branched into two distal end portions 110 a and 110 a. Each tip 110a is provided with a suction pad 111 for sucking and holding the back surface of the wafer W horizontally. With such a configuration, the wafer transfer device 31 can transfer a plurality of wafers W at a time. The number of arm bodies 110 is not limited to the number of the present embodiment, and can be arbitrarily selected.

アーム体110は、図4に示すように支持部材112に支持されている。支持部材112の下面には、シャフト113を介して、例えばモータ(図示せず)などを内蔵した駆動機構114が設けられている。この駆動機構114によって、アーム体110は、鉛直方向及び水平方向に移動可能であり、かつ回転することができる。駆動機構114は、図1に示した搬送路30に取り付けられ、ウェハ搬送装置31は、搬送路30上を移動可能になっている。   The arm body 110 is supported by a support member 112 as shown in FIG. On the lower surface of the support member 112, for example, a drive mechanism 114 incorporating a motor (not shown) or the like is provided via a shaft 113. By this drive mechanism 114, the arm body 110 can move in the vertical direction and the horizontal direction, and can rotate. The drive mechanism 114 is attached to the transfer path 30 shown in FIG. 1, and the wafer transfer apparatus 31 is movable on the transfer path 30.

なお、処理ステーション11のウェハ搬送装置40の構成は、上述したウェハ搬送装置31の構成と同様であるので説明を省略する。かかるウェハ搬送装置40においても、アーム体110の数を任意に選択することができ、任意の枚数のウェハWを搬送することができる。   The configuration of the wafer transfer device 40 in the processing station 11 is the same as the configuration of the wafer transfer device 31 described above, and thus the description thereof is omitted. Also in such a wafer transfer device 40, the number of arm bodies 110 can be arbitrarily selected, and an arbitrary number of wafers W can be transferred.

次に、上述した第1〜第4のバッファ装置41〜44の構成について説明する。図6は、第1のバッファ装置41の構成の概略を示す側面図であり、図7は、第1のバッファ装置41の構成の概略を示す横断面図である。   Next, the configuration of the first to fourth buffer devices 41 to 44 will be described. FIG. 6 is a side view showing an outline of the configuration of the first buffer device 41, and FIG. 7 is a cross-sectional view showing an outline of the configuration of the first buffer device 41.

第1のバッファ装置41は、図6に示すように複数のウェハWを鉛直方向に多段に保持して保管するバッファ部120を有している。バッファ部120は、側面が開口した円筒形状のフレーム121を有している。フレーム121は、円板形状の天板121aと、円板形状の底板121bと、天板121aと底板121bとの間に設けられ、鉛直方向に延伸する枠部材121cとを有している。枠部材121cは、例えば図7に示すように天板121a及び底板121bと同心円上に等間隔に3本設けられている。各枠部材121cには、ウェハWを保持するための保持部材122が鉛直方向に所定の間隔で複数設けられている。このような構成により、バッファ部120は、フレーム121の側面の開口部分からウェハWを搬入出して保管することができる。   As shown in FIG. 6, the first buffer device 41 has a buffer unit 120 that holds and stores a plurality of wafers W in multiple stages in the vertical direction. The buffer unit 120 has a cylindrical frame 121 whose side surface is open. The frame 121 includes a disk-shaped top plate 121a, a disk-shaped bottom plate 121b, and a frame member 121c provided between the top plate 121a and the bottom plate 121b and extending in the vertical direction. For example, as shown in FIG. 7, three frame members 121c are provided at equal intervals on a concentric circle with the top plate 121a and the bottom plate 121b. Each frame member 121c is provided with a plurality of holding members 122 for holding the wafer W at predetermined intervals in the vertical direction. With such a configuration, the buffer unit 120 can carry the wafer W in and out of the opening portion on the side surface of the frame 121 and store it.

バッファ部120の下方には、図6に示すようにモータ(図示せず)などを内蔵したバッファ部搬送機構123が設けられている。バッファ部搬送機構123は、図1に示したレール45に取り付けられている。このバッファ部搬送機構123によって、バッファ部120は、レール45上を搬送され、第1〜第4の処理装置群G1〜G4に対向する位置に配置される。   Below the buffer unit 120, as shown in FIG. 6, a buffer unit transport mechanism 123 incorporating a motor (not shown) and the like is provided. The buffer unit transport mechanism 123 is attached to the rail 45 shown in FIG. The buffer unit 120 is transported on the rail 45 by the buffer unit transport mechanism 123 and is disposed at a position facing the first to fourth processing device groups G1 to G4.

バッファ部120内に保管されたウェハWは、図8に示すようにウェハ搬送装置40によって所定の位置に移動される。これによって、第1の処理装置群G1内の後述する各処理装置のウェハ搬送機構150、190が、バッファ部120内の全てのウェハWに対してアクセス可能になっている。   The wafer W stored in the buffer unit 120 is moved to a predetermined position by the wafer transfer device 40 as shown in FIG. As a result, wafer transfer mechanisms 150 and 190 of each processing apparatus described later in the first processing apparatus group G1 can access all the wafers W in the buffer unit 120.

なお、第2〜第4のバッファ装置42〜44の構成は、上述した第1のバッファ装置41の構成と同様であるので説明を省略する。   Note that the configurations of the second to fourth buffer devices 42 to 44 are the same as the configuration of the first buffer device 41 described above, and a description thereof will be omitted.

次に、上述したレジスト塗布装置60〜65の構成について説明する。図9は、レジスト塗布装置60の構成の概略を示す横断面図であり、図10は、レジスト塗布装置60の構成の概略を示す縦断面図である。   Next, the structure of the resist coating apparatuses 60 to 65 will be described. FIG. 9 is a cross-sectional view showing an outline of the configuration of the resist coating apparatus 60, and FIG. 10 is a longitudinal cross-sectional view showing an outline of the configuration of the resist coating apparatus 60.

レジスト塗布装置60は、図9に示すように内部を閉鎖可能な処理容器130を有している。処理容器130の第1のバッファ装置41(第2〜第4のバッファ装置42〜44)に対向する側面には、ウェハWの搬入出口131が形成されている。   As shown in FIG. 9, the resist coating apparatus 60 has a processing container 130 whose inside can be closed. A loading / unloading port 131 for the wafer W is formed on a side surface of the processing container 130 facing the first buffer device 41 (second to fourth buffer devices 42 to 44).

処理容器130内の中央部には、図10に示すようにウェハWを保持して回転させるスピンチャック132が設けられている。スピンチャック132は、水平な上面を有し、当該上面には、例えばウェハWを吸引する吸引口(図示せず)が設けられている。この吸引口からの吸引により、ウェハWをスピンチャック132上に吸着保持できる。   A spin chuck 132 for holding and rotating the wafer W is provided at the center of the processing container 130 as shown in FIG. The spin chuck 132 has a horizontal upper surface, and a suction port (not shown) for sucking, for example, the wafer W is provided on the upper surface. By suction from the suction port, the wafer W can be sucked and held on the spin chuck 132.

スピンチャック132は、例えばモータ(図示せず)などを内蔵した駆動機構133を有し、この駆動機構133によって所定の速度に回転できる。また、駆動機構133には、シリンダなどの昇降駆動源が設けられており、スピンチャック132は上下動可能である。   The spin chuck 132 has a drive mechanism 133 with a built-in motor (not shown), for example, and can be rotated at a predetermined speed by the drive mechanism 133. Further, the drive mechanism 133 is provided with an elevating drive source such as a cylinder, and the spin chuck 132 can move up and down.

スピンチャック132の周囲には、ウェハWから飛散又は落下する液体を受け止め、回収するカップ134が設けられている。カップ132の下面には、回収した液体を排出する排出管135と、カップ132内の雰囲気を排気する排気管136が接続されている。   Around the spin chuck 132, there is provided a cup 134 that receives and collects the liquid scattered or dropped from the wafer W. A lower surface of the cup 132 is connected to a discharge pipe 135 that discharges the collected liquid and an exhaust pipe 136 that exhausts the atmosphere in the cup 132.

図9に示すようにカップ134のX方向負方向(図9の下方向)側には、Y方向(図9の左右方向)に沿って延伸するレール140が形成されている。レール140は、例えばカップ134のY方向負方向(図9の左方向)側の外方からY方向正方向(図9の右方向)側の外方まで形成されている。レール140には、アーム141が取り付けられている。   As shown in FIG. 9, a rail 140 extending along the Y direction (left and right direction in FIG. 9) is formed on the X direction negative direction (downward direction in FIG. 9) side of the cup 134. The rail 140 is formed, for example, from the outside of the cup 134 in the Y direction negative direction (left direction in FIG. 9) to the outside in the Y direction positive direction (right direction in FIG. 9). An arm 141 is attached to the rail 140.

アーム141には、レジスト液を吐出する塗布ノズル142が支持されている。アーム141は、ノズル駆動部143により、レール140上を移動自在である。また、アーム141は、ノズル駆動部143によって昇降自在であり、塗布ノズル142の高さを調節できる。   A coating nozzle 142 that discharges a resist solution is supported on the arm 141. The arm 141 is movable on the rail 140 by the nozzle driving unit 143. The arm 141 can be moved up and down by a nozzle driving unit 143, and the height of the application nozzle 142 can be adjusted.

処理容器130内のスピンチャック132の上方には、図10に示すように基板搬送機構としてのウェハ搬送機構150が設けられている。ウェハ搬送機構150は、ウェハWを保持して搬送する搬送アーム151を有している。搬送アーム151は、図9に示すようにウェハWの搬送方向D(図9中のX方向)に延伸する一対のアーム部152、152と、各アーム部152を支持し、ウェハWの搬送方向Dと直角方向(図9中のY方向)に延伸する支持部153とを有している。各アーム部152の上面には、ウェハWの裏面を吸着して水平に保持する保持部としての吸着パッド154が設けられている。   A wafer transfer mechanism 150 as a substrate transfer mechanism is provided above the spin chuck 132 in the processing container 130 as shown in FIG. The wafer transfer mechanism 150 has a transfer arm 151 that holds and transfers the wafer W. As shown in FIG. 9, the transfer arm 151 supports a pair of arm portions 152, 152 extending in the transfer direction D (X direction in FIG. 9) of the wafer W and the arm portions 152, and the transfer direction of the wafer W. And a support portion 153 extending in the direction perpendicular to D (the Y direction in FIG. 9). On the upper surface of each arm portion 152, a suction pad 154 is provided as a holding portion that sucks and horizontally holds the back surface of the wafer W.

搬送アーム151には、図10に示すように例えばモータ(図示せず)などを内蔵したアーム移動機構155が設けられている。アーム移動機構155は、支持部153をウェハWの搬送方向Dと直角方向に移動させて、一対のアーム部152、152の間隔を調整することができる。また、アーム移動機構155は、搬送アーム151を鉛直方向に移動させることもできる。このように搬送アーム151が上下動することによって、搬送アーム151は、スピンチャック132にウェハWを受け渡すと共に、スピンチャック132からウェハWを受け取ることができる。   As shown in FIG. 10, the transfer arm 151 is provided with an arm moving mechanism 155 incorporating a motor (not shown), for example. The arm moving mechanism 155 can adjust the distance between the pair of arm portions 152 and 152 by moving the support portion 153 in a direction perpendicular to the transfer direction D of the wafer W. The arm moving mechanism 155 can also move the transfer arm 151 in the vertical direction. As the transfer arm 151 moves up and down in this way, the transfer arm 151 can deliver the wafer W to the spin chuck 132 and receive the wafer W from the spin chuck 132.

処理容器130の内側面には、図9に示すようにウェハWの搬送方向Dに延伸する一対のレール156、156が設けられている。アーム移動機構155は、図10に示すようにレール156に取り付けられ、レール156に沿って移動可能になっている。そしてこのアーム移動機構155によって、搬送アーム151は、図11に示すようにウェハWを保持した状態で、第1のバッファ装置41とレジスト塗布装置60との間でウェハWを搬送することができる。   A pair of rails 156 and 156 extending in the transfer direction D of the wafer W are provided on the inner surface of the processing container 130 as shown in FIG. As shown in FIG. 10, the arm moving mechanism 155 is attached to the rail 156 and can move along the rail 156. The arm moving mechanism 155 allows the transfer arm 151 to transfer the wafer W between the first buffer device 41 and the resist coating device 60 while holding the wafer W as shown in FIG. .

なお、レジスト塗布装置61〜65の構成については、上述したレジスト塗布装置60と同様であるので説明を省略する。   In addition, about the structure of the resist coating apparatuses 61-65, since it is the same as that of the resist coating apparatus 60 mentioned above, description is abbreviate | omitted.

また、下部反射防止膜形成装置53〜55、上部反射防止膜形成装置70〜73、現像処理装置80〜84も、上述したレジスト塗布装置60〜65と同様の構成を有し、ウェハ搬送機構150を備えている。さらに、アドヒージョン装置50、51も同様に、ウェハ搬送機構150を備えている。   The lower antireflection film forming devices 53 to 55, the upper antireflection film forming devices 70 to 73, and the development processing devices 80 to 84 also have the same configuration as the resist coating devices 60 to 65 described above, and the wafer transfer mechanism 150. It has. Further, the adhesion devices 50 and 51 are similarly provided with a wafer transfer mechanism 150.

次に、上述した熱処理装置56〜58、66〜68、74〜78、85〜88の構成について説明する。図12は、熱処理装置56の構成の概略を示す横断面図であり、図13は、熱処理装置56の構成の概略を示す縦断面図である。   Next, the structure of the heat processing apparatus 56-58, 66-68, 74-78, 85-88 mentioned above is demonstrated. FIG. 12 is a cross-sectional view schematically showing the configuration of the heat treatment apparatus 56, and FIG. 13 is a vertical cross-sectional view showing the outline of the configuration of the heat treatment apparatus 56.

熱処理装置56は、図12に示すように内部を閉鎖可能な処理容器160を有している。処理容器130の第1のバッファ装置41(第2〜第4のバッファ装置42〜44)に対向する側面には、ウェハWの搬入出口161が形成されている。また、熱処理装置56は、図13に示すように処理容器160内に、ウェハWを加熱処理する加熱部162と、ウェハWを冷却処理する冷却部163を備えている。   The heat processing apparatus 56 has the processing container 160 which can close an inside, as shown in FIG. A loading / unloading port 161 for the wafer W is formed on a side surface of the processing container 130 that faces the first buffer device 41 (second to fourth buffer devices 42 to 44). As shown in FIG. 13, the heat treatment apparatus 56 includes a heating unit 162 that heat-processes the wafer W and a cooling unit 163 that cools the wafer W in the processing container 160.

加熱部162には、ウェハWを載置して加熱する熱板170が設けられている。熱板170は、厚みのある略円盤形状を有している。熱板170は、水平な上面を有し、当該上面には、例えばウェハWを吸引する吸引口(図示せず)が設けられている。この吸引口からの吸引により、ウェハWを熱板170上に吸着保持できる。   The heating unit 162 is provided with a hot plate 170 for placing and heating the wafer W. The hot plate 170 has a substantially disk shape with a large thickness. The heat plate 170 has a horizontal upper surface, and a suction port (not shown) for sucking the wafer W, for example, is provided on the upper surface. By suction from the suction port, the wafer W can be sucked and held on the hot plate 170.

熱板170の内部には、給電により発熱するヒータ171が取り付けられている。このヒータ171の発熱により熱板170を所定の設定温度に調節できる。   Inside the hot plate 170, a heater 171 that generates heat by power feeding is attached. The heat plate 170 can be adjusted to a predetermined set temperature by the heat generated by the heater 171.

熱板170には、上下方向に貫通する複数の貫通孔172が形成されている。貫通孔172には、昇降ピン173が設けられている。昇降ピン173は、シリンダなどの昇降駆動機構174によって上下動できる。昇降ピン173は、貫通孔172内を挿通して熱板170の上面に突出し、ウェハWを支持して昇降できる。   A plurality of through holes 172 penetrating in the vertical direction are formed in the hot plate 170. A lift pin 173 is provided in the through hole 172. The lift pins 173 can be moved up and down by a lift drive mechanism 174 such as a cylinder. The elevating pins 173 are inserted through the through holes 172 and protrude from the upper surface of the hot plate 170 so that the elevating pins 173 can move up and down while supporting the wafer W.

熱板170には、当該熱板170の外周部を保持する環状の保持部材175が設けられている。保持部材175には、当該保持部材175の外周を囲み、昇降ピン173を収容する筒状のサポートリング176が設けられている。   The heating plate 170 is provided with an annular holding member 175 that holds the outer peripheral portion of the heating plate 170. The holding member 175 is provided with a cylindrical support ring 176 that surrounds the outer periphery of the holding member 175 and accommodates the lifting pins 173.

加熱部162に隣接する冷却部163には、ウェハWを載置して冷却する冷却板180が設けられている。冷却板180は、厚みのある略円盤形状を有している。冷却板180は、水平な上面を有し、当該上面には、例えばウェハWを吸引する吸引口(図示せず)が設けられている。この吸引口からの吸引により、ウェハWを冷却板180上に吸着保持できる。   The cooling unit 163 adjacent to the heating unit 162 is provided with a cooling plate 180 for mounting and cooling the wafer W. The cooling plate 180 has a thick substantially disk shape. The cooling plate 180 has a horizontal upper surface, and a suction port (not shown) for sucking the wafer W, for example, is provided on the upper surface. The wafer W can be sucked and held on the cooling plate 180 by suction from the suction port.

冷却板180の内部には、例えばペルチェ素子などの冷却部材(図示せず)が内蔵されており、冷却板180を所定の設定温度に調整できる。   A cooling member (not shown) such as a Peltier element is built in the cooling plate 180, and the cooling plate 180 can be adjusted to a predetermined set temperature.

冷却部163のその他の構成は、加熱部162と同様の構成を有している。すなわち、冷却板180には、上下方向に貫通する複数の貫通孔181が形成されている。貫通孔181には、昇降ピン182が設けられている。昇降ピン182は、シリンダなどの昇降駆動機構183によって上下動できる。昇降ピン182は、貫通孔181内を挿通して冷却板180の上面に突出し、ウェハWを支持して昇降できる。   Other configurations of the cooling unit 163 have the same configuration as the heating unit 162. That is, the cooling plate 180 is formed with a plurality of through holes 181 penetrating in the vertical direction. A lift pin 182 is provided in the through hole 181. The lift pins 182 can be moved up and down by a lift drive mechanism 183 such as a cylinder. The elevating pins 182 are inserted through the through holes 181 and protrude from the upper surface of the cooling plate 180, and can move up and down while supporting the wafer W.

冷却板180には、当該冷却板180の外周部を保持する環状の保持部材184が設けられている。保持部材184には、当該保持部材184の外周を囲み、昇降ピン182を収容する筒状のサポートリング185が設けられている。   The cooling plate 180 is provided with an annular holding member 184 that holds the outer periphery of the cooling plate 180. The holding member 184 is provided with a cylindrical support ring 185 that surrounds the outer periphery of the holding member 184 and accommodates the lifting pins 182.

熱板170と冷却板180の上方には、基板搬送機構としてのウェハ搬送機構190が設けられている。ウェハ搬送機構190は、図12に示すようにウェハWの外周部を保持して搬送する搬送アーム191を有している。搬送アーム191は、ウェハWの外周に適合する形状、例えば略3/4円環状に構成されたアーム部192と、このアーム部192と一体に形成され、かつアーム部192を支持するための支持部193とを有している。アーム部192には、ウェハWの外周部を直接支持する保持部194が例えば3箇所設けられている。保持部194はアーム部192の内円周に等間隔に設けられ、アーム部192の内側に突出している。   Above the hot plate 170 and the cooling plate 180, a wafer transfer mechanism 190 as a substrate transfer mechanism is provided. The wafer transfer mechanism 190 has a transfer arm 191 that holds and transfers the outer periphery of the wafer W as shown in FIG. The transfer arm 191 has a shape that fits the outer periphery of the wafer W, for example, an arm portion 192 that is formed in an approximately 3/4 annular shape, and a support that is formed integrally with the arm portion 192 and supports the arm portion 192. Part 193. In the arm part 192, for example, three holding parts 194 that directly support the outer peripheral part of the wafer W are provided. The holding portions 194 are provided at equal intervals on the inner circumference of the arm portion 192, and project inside the arm portion 192.

搬送アーム191には、図13に示すように例えばモータ(図示せず)などを内蔵したアーム移動機構195が設けられている。アーム移動機構195は、搬送アーム191を支持し、鉛直方向に延伸する鉛直移動部196と、鉛直移動部196を支持し、ウェハWの搬送方向Dと直角方向(図12中のY方向)に延伸する水平移動部197とを有している。この鉛直移動部196によって、搬送アーム191は鉛直方向に移動することができる。このように搬送アーム191が上下動することによって、搬送アーム191は、昇降ピン173、182にウェハWを受け渡すと共に、昇降ピン173、182からウェハWを受け取ることができる。   As shown in FIG. 13, the transfer arm 191 is provided with an arm moving mechanism 195 containing a motor (not shown), for example. The arm moving mechanism 195 supports the transfer arm 191 and supports the vertical moving unit 196 extending in the vertical direction and the vertical moving unit 196 in the direction perpendicular to the transfer direction D of the wafer W (Y direction in FIG. 12). And a horizontally moving portion 197 that extends. The vertical movement unit 196 allows the transfer arm 191 to move in the vertical direction. As the transfer arm 191 moves up and down in this way, the transfer arm 191 can deliver the wafer W to the lift pins 173 and 182 and receive the wafer W from the lift pins 173 and 182.

処理容器160の内側面には、図12に示すようにウェハWの搬送方向D(図12中のX方向)に延伸する一対のレール198、198が設けられている。アーム移動機構195の水平移動部197は、レール198に取り付けられ、レール198に沿って移動可能になっている。このアーム移動機構195によって、搬送アーム191は、ウェハWを加熱部162と冷却部163との間を搬送することができる。また、搬送アーム191は、ウェハWを保持した状態で、第1のバッファ装置41と熱処理装置56との間でウェハWを搬送することができる。   As shown in FIG. 12, a pair of rails 198 and 198 extending in the transfer direction D (X direction in FIG. 12) of the wafer W are provided on the inner surface of the processing container 160. The horizontal moving unit 197 of the arm moving mechanism 195 is attached to the rail 198 and can move along the rail 198. By this arm moving mechanism 195, the transfer arm 191 can transfer the wafer W between the heating unit 162 and the cooling unit 163. Further, the transfer arm 191 can transfer the wafer W between the first buffer device 41 and the heat treatment device 56 while holding the wafer W.

なお、熱処理装置57、58、66〜68、85〜88の構成については、上述した熱処理装置56と同様であるので説明を省略する。   In addition, about the structure of the heat processing apparatus 57, 58, 66-68, 85-88, since it is the same as that of the heat processing apparatus 56 mentioned above, description is abbreviate | omitted.

また、熱処理装置74〜78は、上述した熱処理装置56の構成に加えて、図14及び図15に示すように処理容器160の搬入出口161に対向する側面に、ウェハWの搬入出口199が形成されている。   In addition to the configuration of the heat treatment apparatus 56 described above, the heat treatment apparatuses 74 to 78 have a wafer W loading / unloading port 199 formed on the side surface facing the loading / unloading window 161 of the processing container 160 as shown in FIGS. 14 and 15. Has been.

例えば熱処理装置74は、図14に示すように第1のバッファ装置41(第2〜第4のバッファ装置42〜44)側からウェハ搬送装置90側に搬送されるウェハWに対して熱処理を行う。かかる場合、熱処理装置74の加熱部162はウェハ搬送装置90側に配置され、冷却部163は第1のバッファ装置41側に配置されている。なお、熱処理装置75、76も熱処理装置74と同様に配置されている。   For example, as shown in FIG. 14, the heat treatment apparatus 74 performs heat treatment on the wafer W transferred from the first buffer apparatus 41 (second to fourth buffer apparatuses 42 to 44) side to the wafer transfer apparatus 90 side. . In such a case, the heating unit 162 of the heat treatment apparatus 74 is disposed on the wafer transfer apparatus 90 side, and the cooling unit 163 is disposed on the first buffer apparatus 41 side. The heat treatment apparatuses 75 and 76 are also arranged in the same manner as the heat treatment apparatus 74.

また、例えば熱処理装置77は、図15に示すようにウェハ搬送装置90側から第1のバッファ装置41(第2〜第4のバッファ装置42〜44)側に搬送されるウェハWに対して熱処理を行う。かかる場合、熱処理装置77の加熱部162は第1のバッファ装置41側に配置され、冷却部163はウェハ搬送装置90側に配置されている。なお、熱処理装置78も熱処理装置77と同様に配置されている。   Further, for example, the heat treatment apparatus 77 heat-treats the wafer W transferred from the wafer transfer apparatus 90 side to the first buffer apparatus 41 (second to fourth buffer apparatuses 42 to 44) side as shown in FIG. I do. In such a case, the heating unit 162 of the heat treatment device 77 is disposed on the first buffer device 41 side, and the cooling unit 163 is disposed on the wafer transfer device 90 side. The heat treatment apparatus 78 is arranged in the same manner as the heat treatment apparatus 77.

したがって、熱処理装置74〜78においては、処理容器160内に搬送されたウェハWが、先ず冷却部163において温度調節され、その後加熱部162において加熱されるようになっている。   Therefore, in the heat treatment apparatuses 74 to 78, the temperature of the wafer W transferred into the processing container 160 is first adjusted in the cooling unit 163 and then heated in the heating unit 162.

本実施の形態にかかる塗布現像処理システム1は以上のように構成されている。次に、この塗布処理システム1で行われるウェハWの処理について説明する。図16は、かかるウェハ処理の主な工程を示すフローチャートである。   The coating and developing treatment system 1 according to the present embodiment is configured as described above. Next, processing of the wafer W performed in the coating processing system 1 will be described. FIG. 16 is a flowchart showing the main steps of such wafer processing.

先ず、1ロットの複数枚のウェハWを収容したカセットCが、カセットステーション10の所定のカセット載置板21に載置される。このとき、処理ステーション11内では、第1のバッファ装置41が第1の処理装置群G1に対向して配置されている。その後、ウェハ搬送装置31によってカセットC内のウェハWが取り出され、処理ステーション11の受け渡し装置52を介して第1のバッファ装置41に搬送される。そして、複数のウェハWが第1のバッファ装置41に一時的に保管される。   First, a cassette C containing a plurality of wafers W in one lot is placed on a predetermined cassette placement plate 21 of the cassette station 10. At this time, in the processing station 11, the first buffer device 41 is arranged to face the first processing device group G1. Thereafter, the wafer W in the cassette C is taken out by the wafer transfer device 31 and transferred to the first buffer device 41 via the transfer device 52 of the processing station 11. The plurality of wafers W are temporarily stored in the first buffer device 41.

次に、第1のバッファ装置41に保管されたウェハWは、熱処理装置58のウェハ搬送機構190によって当該熱処理装置58に搬送され、温度調節される(図16の工程S1)。温度調節後、ウェハWは、ウェハ搬送機構190によって第1のバッファ装置41に戻される。   Next, the wafer W stored in the first buffer device 41 is transferred to the heat treatment apparatus 58 by the wafer transfer mechanism 190 of the heat treatment apparatus 58, and the temperature is adjusted (step S1 in FIG. 16). After the temperature adjustment, the wafer W is returned to the first buffer device 41 by the wafer transfer mechanism 190.

その後、ウェハWは、下部反射防止膜形成装置55のウェハ搬送機構150によって当該下部反射防止膜形成装置55に搬送され、ウェハW上に下部反射防止膜が形成される(図16の工程S2)。下部反射防止膜形成後、ウェハWは、ウェハ搬送機構150によって第1のバッファ装置41に戻される。   Thereafter, the wafer W is transferred to the lower antireflection film forming apparatus 55 by the wafer transfer mechanism 150 of the lower antireflection film forming apparatus 55, and a lower antireflection film is formed on the wafer W (step S2 in FIG. 16). . After forming the lower antireflection film, the wafer W is returned to the first buffer device 41 by the wafer transfer mechanism 150.

その後、ウェハWは、熱処理装置57のウェハ搬送機構190によって当該熱処理装置57に搬送され、温度調節される。温度調節後、ウェハWは、ウェハ搬送機構190によって第1のバッファ装置41に戻される。   Thereafter, the wafer W is transferred to the heat treatment apparatus 57 by the wafer transfer mechanism 190 of the heat treatment apparatus 57, and the temperature is adjusted. After the temperature adjustment, the wafer W is returned to the first buffer device 41 by the wafer transfer mechanism 190.

その後、ウェハWは、アドヒージョン装置51のウェハ搬送機構150によって当該アドヒージョン装置51に搬送され、疎水化処理される(図16の工程S3)。疎水化処理後、ウェハWは、ウェハ搬送機構150によって第1のバッファ装置41に戻される。   Thereafter, the wafer W is transferred to the adhesion device 51 by the wafer transfer mechanism 150 of the adhesion device 51 and subjected to a hydrophobic treatment (step S3 in FIG. 16). After the hydrophobic treatment, the wafer W is returned to the first buffer device 41 by the wafer transfer mechanism 150.

次に、第1のバッファ装置41は、バッファ部搬送機構123によってレール45上を移動し、第2の処理装置群G2に対向して配置される。   Next, the first buffer device 41 is moved on the rail 45 by the buffer unit transport mechanism 123 and is disposed to face the second processing device group G2.

その後、ウェハWは、レジスト塗布装置65のウェハ搬送機構150によって当該レジスト塗布装置65に搬送され、ウェハW上にレジスト膜が形成される(図16の工程S4)。レジスト膜形成後、ウェハWは、ウェハ搬送機構150によって第1のバッファ装置41に戻される。   Thereafter, the wafer W is transported to the resist coating device 65 by the wafer transport mechanism 150 of the resist coating device 65, and a resist film is formed on the wafer W (step S4 in FIG. 16). After the resist film is formed, the wafer W is returned to the first buffer device 41 by the wafer transfer mechanism 150.

その後、ウェハWは、熱処理装置68の搬送機構190によって当該熱処理装置68に搬送されて、プリベーク処理される(図16の工程S5)。プリベーク処理後、ウェハWは、ウェハ搬送機構190によって第1のバッファ装置41に戻される。   Thereafter, the wafer W is transferred to the heat treatment apparatus 68 by the transfer mechanism 190 of the heat treatment apparatus 68, and is pre-baked (step S5 in FIG. 16). After the pre-baking process, the wafer W is returned to the first buffer device 41 by the wafer transfer mechanism 190.

次に、第1のバッファ装置41は、バッファ部搬送機構123によってレール45上を移動し、第3の処理装置群G3に対向して配置される。   Next, the first buffer device 41 is moved on the rail 45 by the buffer unit transport mechanism 123 and is disposed to face the third processing device group G3.

その後、ウェハWは、上部反射防止膜形成装置73のウェハ搬送機構150によって当該上部反射防止膜形成装置73に搬送され、ウェハW上に上部反射防止膜が形成される(図16の工程S6)。上部反射防止膜後、ウェハWは、ウェハ搬送機構150によって第1のバッファ装置41に戻される。   Thereafter, the wafer W is transferred to the upper antireflection film forming apparatus 73 by the wafer transfer mechanism 150 of the upper antireflection film forming apparatus 73, and an upper antireflection film is formed on the wafer W (step S6 in FIG. 16). . After the upper antireflection film, the wafer W is returned to the first buffer device 41 by the wafer transfer mechanism 150.

その後、ウェハWは、熱処理装置74のウェハ搬送機構190によって当該熱処理装置74に搬送され、温度調節される。温度調整後、ウェハ搬送装置90によって熱処理装置74から周辺露光装置92に搬送され、周辺露光処理される(図16の工程S7)。   Thereafter, the wafer W is transferred to the heat treatment apparatus 74 by the wafer transfer mechanism 190 of the heat treatment apparatus 74 and the temperature is adjusted. After the temperature adjustment, the wafer is transferred from the heat treatment apparatus 74 to the peripheral exposure apparatus 92 by the wafer transfer apparatus 90 and subjected to the peripheral exposure process (step S7 in FIG. 16).

その後、ウェハWは、ウェハ搬送装置90によって露光装置12に搬送され、露光処理される(図16の工程S8)。   Thereafter, the wafer W is transferred to the exposure apparatus 12 by the wafer transfer apparatus 90 and subjected to exposure processing (step S8 in FIG. 16).

その後、ウェハWは、ウェハ搬送装置90によって露光装置12から熱処理装置77に搬送され、露光後ベーク処理される(図16の工程S9)。露光後ベーク処理、ウェハWは、熱処理装置77のウェハ搬送機構190によって第1のバッファ装置41に搬送される。   Thereafter, the wafer W is transferred from the exposure apparatus 12 to the heat treatment apparatus 77 by the wafer transfer apparatus 90 and subjected to post-exposure baking (step S9 in FIG. 16). The post-exposure bake process and the wafer W are transferred to the first buffer device 41 by the wafer transfer mechanism 190 of the heat treatment device 77.

次に、第1のバッファ装置41は、バッファ部搬送機構123によってレール45上を移動し、第4の処理装置群G4に対向して配置される。   Next, the first buffer device 41 is moved on the rail 45 by the buffer unit transport mechanism 123 and is disposed to face the fourth processing device group G4.

その後、ウェハWは、現像処理装置84のウェハ搬送機構150によって現像処理装置84に搬送され、現像される(図16の工程S10)。現像処理後、ウェハWは、ウェハ搬送機構150によって第1のバッファ装置41に戻される。   Thereafter, the wafer W is transferred to the development processing apparatus 84 by the wafer transfer mechanism 150 of the development processing apparatus 84 and developed (step S10 in FIG. 16). After the development processing, the wafer W is returned to the first buffer device 41 by the wafer transfer mechanism 150.

その後、ウェハWは、熱処理装置88の搬送機構190によって当該熱処理装置88に搬送されて、ポストベーク処理される(図16の工程S11)。ポストベーク処理後、ウェハWは、ウェハ搬送機構190によって第1のバッファ装置41に戻される。   Thereafter, the wafer W is transferred to the heat treatment apparatus 88 by the transfer mechanism 190 of the heat treatment apparatus 88 and subjected to post-bake processing (step S11 in FIG. 16). After the post-baking process, the wafer W is returned to the first buffer device 41 by the wafer transfer mechanism 190.

次に、第1のバッファ装置41は、バッファ部搬送機構123によってレール45上を移動し、第1の処理装置群G1に対向して配置される。その後、ウェハWは、受け渡し装置52を介してウェハ搬送装置31に搬送される。その後、ウェハWは、ウェハ搬送装置31によって所定のカセット載置板21のカセットCに搬送される。こうして、一連のフォトリソグラフィー工程が終了する。   Next, the first buffer device 41 is moved on the rail 45 by the buffer unit transport mechanism 123 and is disposed to face the first processing device group G1. Thereafter, the wafer W is transferred to the wafer transfer device 31 via the delivery device 52. Thereafter, the wafer W is transferred to the cassette C of the predetermined cassette mounting plate 21 by the wafer transfer device 31. Thus, a series of photolithography steps is completed.

以上の実施の形態によれば、第1〜第4の処理装置群G1〜G4の各処理装置がウェハWを搬送する搬送機構150、190を有しているので、各処理装置において要求されるタイミングで、当該処理装置にウェハWを搬入出することができる。すなわち、処理装置でウェハWを処理ができる状態になれば、当該処理装置の搬送機構150、190によって、装置内にウェハWを迅速に搬入することができる。また、処理装置でウェハWの処理が終了すれば、当該処理装置の搬送機構150、190によって、装置からウェハWを迅速に搬出することができる。この結果、従来のように処理装置での基板の搬送待ちが発生せず、基板を効率よく搬送することができる。   According to the above embodiment, each processing apparatus of the first to fourth processing apparatus groups G1 to G4 has the transport mechanisms 150 and 190 for transporting the wafer W, and thus is required in each processing apparatus. At the timing, the wafer W can be carried in and out of the processing apparatus. That is, when the wafer W can be processed by the processing apparatus, the wafer W can be quickly loaded into the apparatus by the transfer mechanisms 150 and 190 of the processing apparatus. Further, when the processing of the wafer W is completed in the processing apparatus, the wafer W can be quickly unloaded from the apparatus by the transfer mechanisms 150 and 190 of the processing apparatus. As a result, the substrate can be transported efficiently without waiting for the transport of the substrate in the processing apparatus as in the prior art.

また、バッファ部搬送機構123によってバッファ部120を第1〜第4の処理装置群G1〜G4に対向する位置に搬送できるので、一の処理装置群から後続処理を行う他の処理装置群に複数のウェハWを一度に搬送することができる。   Further, since the buffer unit 120 can be transported to a position facing the first to fourth processing device groups G1 to G4 by the buffer unit transporting mechanism 123, a plurality of processing units can be transferred from one processing device group to another processing device group that performs subsequent processing. The wafers W can be transferred at once.

さらに、ウェハ搬送装置40によってバッファ部120内のウェハWを所定の位置(鉛直方向の位置)に移動させることができるので、各処理装置の搬送機構150、190は、所定のウェハWをバッファ部120から処理装置内に搬送することができる。   Further, since the wafer W in the buffer unit 120 can be moved to a predetermined position (vertical position) by the wafer transfer device 40, the transfer mechanisms 150 and 190 of each processing apparatus transfer the predetermined wafer W to the buffer unit. It can be conveyed from 120 into the processing apparatus.

また、ウェハ搬送装置31、40は、複数のウェハWを保持することができ、一度に複数のウェハWを搬送することができる。   Further, the wafer transfer apparatuses 31 and 40 can hold a plurality of wafers W and can transfer a plurality of wafers W at a time.

このように本実施の形態によれば、ウェハWの搬送効率を格段に向上させることができる。しかも、塗布現像処理システム1は複数の第1〜第4のバッファ装置41〜44を有しているので、塗布現像処理システム1内で多数のウェハWに対して処理を行うことができる。したがって、ウェハ処理のスループットを向上させることができる。   Thus, according to the present embodiment, the transfer efficiency of the wafer W can be remarkably improved. In addition, since the coating and developing treatment system 1 has the plurality of first to fourth buffer devices 41 to 44, it is possible to perform processing on a large number of wafers W in the coating and developing treatment system 1. Therefore, the throughput of wafer processing can be improved.

以上の実施の形態の第1のバッファ装置41は、図17及び図18に示すように別の構成のバッファ部210を有していてもよい。バッファ部210は、側面が開口した円筒形状を有するフレーム211を有している。フレーム211は、フレーム211は、円板形状の天板211aと、円板形状の底板211bと、天板211aと底板211bとの間に設けられ、鉛直方向に延伸する枠部材211cとを有している。枠部材211cは、例えば天板211a及び底板211bと同心円上に等間隔に3本設けられている。枠部材211cには、フレーム211の内部を複数に区画する平板状部材212が鉛直方向に所定の間隔で複数設けられている。平板状部材212の上面中央部には、ウェハWを保持する保持部材としての保持ピン213が例えば3本設けられている。このような構成により、バッファ部210は、フレーム211の側面の開口部分からウェハWを搬入出して保管することができる。かかる場合、バッファ部210は、複数のウェハWを鉛直方向に多段に保持して保管することができる。なお、バッファ部210の下方には、上述したバッファ部搬送機構123が設けられている。   The first buffer device 41 of the above embodiment may include a buffer unit 210 having another configuration as shown in FIGS. 17 and 18. The buffer unit 210 includes a frame 211 having a cylindrical shape with an open side surface. The frame 211 has a disk-shaped top plate 211a, a disk-shaped bottom plate 211b, and a frame member 211c provided between the top plate 211a and the bottom plate 211b and extending in the vertical direction. ing. For example, three frame members 211c are provided at equal intervals on a concentric circle with the top plate 211a and the bottom plate 211b. The frame member 211c is provided with a plurality of flat plate-like members 212 that divide the inside of the frame 211 into a plurality at predetermined intervals in the vertical direction. For example, three holding pins 213 as holding members for holding the wafer W are provided at the center of the upper surface of the flat plate member 212. With such a configuration, the buffer unit 210 can carry the wafer W in and out of the opening portion on the side surface of the frame 211 and store it. In such a case, the buffer unit 210 can hold and store a plurality of wafers W in multiple stages in the vertical direction. Note that the buffer unit transport mechanism 123 described above is provided below the buffer unit 210.

なお、第2〜第4のバッファ装置42〜44についても、上述したバッファ部210を有していてもよい。   Note that the second to fourth buffer devices 42 to 44 may also include the buffer unit 210 described above.

以上の実施の形態のバッファ部120のフレーム121は、図19に示すように側面が開口した直方体形状を有していてもよい。かかる場合、天板121a及び底板121bは、四角形状に形成され、枠部材121cは、例えば天板121a及び底板121bの四隅に設けられる。各枠部材121cには、ウェハWを保持するための保持部材122が所定の間隔で複数設けられる。このような構成により、バッファ部120は、フレーム121の側面の開口部分からウェハWを搬入出して保管することができる。なお、バッファ部210についても同様に、直方体形状のフレーム211を有していてもよい。   The frame 121 of the buffer unit 120 of the above embodiment may have a rectangular parallelepiped shape whose side surface is open as shown in FIG. In such a case, the top plate 121a and the bottom plate 121b are formed in a square shape, and the frame member 121c is provided at, for example, the four corners of the top plate 121a and the bottom plate 121b. Each frame member 121c is provided with a plurality of holding members 122 for holding the wafer W at predetermined intervals. With such a configuration, the buffer unit 120 can carry the wafer W in and out of the opening portion on the side surface of the frame 121 and store it. Similarly, the buffer unit 210 may have a rectangular parallelepiped frame 211.

また、以上の実施の形態の第1のバッファ装置41は、図20及び図21に示すように別の構成のバッファ部220を有していてもよい。バッファ部220は、鉛直方向に延伸する支持部材221を有している。支持部材221は、例えば円板形状の底板222に支持されている。支持部材221には、部材223を介して支持板224が鉛直方向に所定の間隔で複数設けられている。各支持板224の上面中央部には、ウェハWを保持する保持部材としての保持ピン225が例えば3本設けられている。かかる場合、バッファ部220は、複数のウェハWを鉛直方向に多段に保持して保管することができる。なお、バッファ部220の下方には、上述したバッファ部移動機構123が設けられている。   Further, the first buffer device 41 of the above embodiment may include a buffer unit 220 having another configuration as shown in FIGS. The buffer unit 220 includes a support member 221 that extends in the vertical direction. The support member 221 is supported by a disk-shaped bottom plate 222, for example. The support member 221 is provided with a plurality of support plates 224 at predetermined intervals in the vertical direction via the member 223. At the center of the upper surface of each support plate 224, for example, three holding pins 225 as holding members for holding the wafer W are provided. In such a case, the buffer unit 220 can hold and store a plurality of wafers W in multiple stages in the vertical direction. Note that the buffer unit moving mechanism 123 described above is provided below the buffer unit 220.

なお、第2〜第4のバッファ装置42〜44についても、上述したバッファ部220を有していてもよい。   Note that the second to fourth buffer devices 42 to 44 may also include the buffer unit 220 described above.

以上の実施の形態の第1のバッファ装置41において、バッファ部120を鉛直方向に移動させ、鉛直方向の中心軸周りに回転させてもよい。かかる場合、図22に示すようにバッファ部120とバッファ部搬送機構123との間に、シャフト230が設けられる。そして、バッファ部搬送機構123は、上述したようにバッファ部120をレール45上で搬送する機能を有すると共に、シャフト230を用いてバッファ部120を鉛直方向に移動させ、また鉛直方向の中心軸周りに回転させるバッファ部移動機構としての機能も有している。   In the first buffer device 41 of the above embodiment, the buffer unit 120 may be moved in the vertical direction and rotated around the central axis in the vertical direction. In such a case, a shaft 230 is provided between the buffer unit 120 and the buffer unit transport mechanism 123 as shown in FIG. The buffer unit transport mechanism 123 has a function of transporting the buffer unit 120 on the rail 45 as described above, moves the buffer unit 120 in the vertical direction using the shaft 230, and rotates around the central axis in the vertical direction. It also has a function as a buffer unit moving mechanism that rotates the motor.

このようにバッファ部120が鉛直方向に移動可能に構成されることによって、第1〜第4の処理装置群G1〜G4の各処理装置のウェハ搬送機構150、190は、バッファ部120内の全てのウェハWに対してアクセスすることができる。これによって、ウェハWがバッファ部120内のどの位置に保管されていても、搬送機構150、190は所定のウェハWを処理装置に搬送することができる。   Since the buffer unit 120 is configured to be movable in the vertical direction in this way, the wafer transfer mechanisms 150 and 190 of the processing apparatuses of the first to fourth processing apparatus groups G1 to G4 are all in the buffer unit 120. The wafer W can be accessed. Accordingly, the transfer mechanisms 150 and 190 can transfer a predetermined wafer W to the processing apparatus regardless of the position in the buffer unit 120 where the wafer W is stored.

また、バッファ部120が回転可能に構成されることによって、各処理装置の搬送機構150、190やウェハ搬送装置40がバッファ部120にアクセスする際、バッファ部120の枠部材121cとの干渉を避けて円滑にウェハWを搬送することができる。   In addition, since the buffer unit 120 is configured to be rotatable, when the transfer mechanisms 150 and 190 of each processing apparatus and the wafer transfer device 40 access the buffer unit 120, interference with the frame member 121c of the buffer unit 120 is avoided. Thus, the wafer W can be smoothly transferred.

なお、第2〜第4のバッファ装置42〜44についても、上述したシャフト230及びバッファ部搬送機構123を有していてもよい。また、バッファ部210、220についても、同様に上述したシャフト230及びバッファ部搬送機構123を有していてもよい。   Note that the second to fourth buffer devices 42 to 44 may also include the shaft 230 and the buffer unit transport mechanism 123 described above. Similarly, the buffer units 210 and 220 may have the shaft 230 and the buffer unit transport mechanism 123 described above.

以上の実施の形態では、第1〜第4のバッファ装置41〜44のバッファ部120は、バッファ部搬送機構123によってレール45上を移動していたが、図23に示すように回転テーブル240を用いてバッファ部120を移動させてもよい。4つのバッファ部120は、回転テーブル240上に固定して設けられている。バッファ部120は、回転テーブル240と同心円上(ウェハ搬送装置40を中心とする円周上)に等間隔に配置され、第1〜第4の処理装置群G1〜G4に対向できるように配置されている。また、回転テーブル240の中央部にはウェハ搬送装置40が配置され、ウェハ搬送装置40の駆動機構114は回転テーブル240内に固定されている。回転テーブル240の下面には、シャフト241を介して、例えばモータ(図示せず)などを内蔵した駆動機構242が設けられている。この駆動機構242によって、回転テーブル241がウェハ搬送装置40を中心に回転し、バッファ部120を移動させることができる。   In the above embodiment, the buffer unit 120 of the first to fourth buffer devices 41 to 44 is moved on the rail 45 by the buffer unit transport mechanism 123. However, as shown in FIG. It may be used to move the buffer unit 120. The four buffer units 120 are fixedly provided on the rotary table 240. The buffer unit 120 is arranged on the concentric circle with the rotary table 240 (on the circumference centered on the wafer transfer apparatus 40) at equal intervals, and is arranged so as to be opposed to the first to fourth processing apparatus groups G1 to G4. ing. A wafer transfer device 40 is disposed at the center of the turntable 240, and the drive mechanism 114 of the wafer transfer device 40 is fixed in the turntable 240. A drive mechanism 242 including a motor (not shown), for example, is provided on the lower surface of the rotary table 240 via a shaft 241. By this drive mechanism 242, the rotary table 241 rotates around the wafer transfer device 40, and the buffer unit 120 can be moved.

以上の実施の形態の熱処理装置56は、1つのウェハ搬送機構190を有していたが、複数のウェハ搬送機構190を有していてもよい。例えば図24に示すように、2つのウェハ搬送機構190、190が鉛直方向に並べて設けられる。かかる場合、例えば一の搬送機構190によって搬送されたウェハWに熱処理が行われた後、すぐに他の搬送機構190によって搬送されたウェハWに熱処理を行うことができる。したがって、ウェハ処理のスループットをさらに向上させることができる。   Although the heat treatment apparatus 56 of the above embodiment has one wafer transfer mechanism 190, it may have a plurality of wafer transfer mechanisms 190. For example, as shown in FIG. 24, two wafer transfer mechanisms 190, 190 are provided side by side in the vertical direction. In this case, for example, after the heat treatment is performed on the wafer W transferred by one transfer mechanism 190, the heat treatment can be performed on the wafer W transferred by another transfer mechanism 190 immediately. Therefore, the throughput of wafer processing can be further improved.

また、レジスト塗布装置60についても、同様に複数のウェハ搬送機構150を有していてもよい。   Similarly, the resist coating apparatus 60 may have a plurality of wafer conveyance mechanisms 150.

以上の実施の形態のレジスト塗布装置60は、図25及び図26に示すように別の構成のウェハ搬送機構250を有していてもよい。ウェハ搬送機構250は、ウェハWを保持して搬送する多関節状の搬送アーム251を例えば2つ有している。各搬送アーム251は、複数、例えば4つのアーム部252を有している。4つのアーム部252は、一のアーム部252は、その端部において他のアーム部252に屈曲自在に連結されている。各アーム部252の間には動力が伝達され、搬送アーム251は屈伸及び旋回可能になっている。先端のアーム部252aには、ウェハWの裏面を吸着して水平に保持する保持部としての吸着パッド253が設けられている。   The resist coating apparatus 60 of the above embodiment may have a wafer transport mechanism 250 having another configuration as shown in FIGS. The wafer transfer mechanism 250 has, for example, two articulated transfer arms 251 that hold and transfer the wafer W. Each transfer arm 251 has a plurality of, for example, four arm portions 252. The four arm portions 252 are connected such that one arm portion 252 is flexibly connected to another arm portion 252 at an end portion thereof. Power is transmitted between the arm portions 252 so that the transfer arm 251 can bend and stretch. The front arm portion 252a is provided with a suction pad 253 as a holding portion that sucks and horizontally holds the back surface of the wafer W.

基端のアーム部252bの下面には、アーム移動機構254が設けられている。アーム移動機構254は、アーム部252bを支持するシャフト255と、シャフト255の下方に設けられ、例えばモータ(図示せず)などを内蔵した駆動機構256とを有している。このアーム移動機構254によって、搬送アーム251は、鉛直方向に移動することができる。そして、搬送アーム251は、ウェハWを保持した状態で、第1のバッファ装置41とレジスト塗布装置60との間でウェハWを搬送することができる。   An arm moving mechanism 254 is provided on the lower surface of the base arm portion 252b. The arm moving mechanism 254 includes a shaft 255 that supports the arm portion 252b, and a drive mechanism 256 that is provided below the shaft 255 and incorporates, for example, a motor (not shown). With this arm moving mechanism 254, the transfer arm 251 can move in the vertical direction. The transfer arm 251 can transfer the wafer W between the first buffer device 41 and the resist coating device 60 while holding the wafer W.

なお、搬送アーム251の数は、本実施の形態に限定されず任意に選択することができる。   Note that the number of transfer arms 251 is not limited to the present embodiment and can be arbitrarily selected.

以上の実施の形態では、レジスト塗布装置60などの液処理装置にウェハ搬送機構150、250を設け、熱処理装置56などの熱処理装置にウェハ搬送機構190を設けていたが、液処理装置にウェハ搬送機構190を設け、熱処理装置にウェハ搬送機構150、250を設けてもよい。   In the above embodiment, the wafer transfer mechanisms 150 and 250 are provided in the liquid processing apparatus such as the resist coating apparatus 60, and the wafer transfer mechanism 190 is provided in the heat treatment apparatus such as the heat treatment apparatus 56. A mechanism 190 may be provided, and the wafer transfer mechanisms 150 and 250 may be provided in the heat treatment apparatus.

以上の実施の形態の第1〜第4の処理装置群G1〜G4において、各処理装置の配置は任意に選択することができる。   In the first to fourth processing device groups G1 to G4 of the above embodiments, the arrangement of the processing devices can be arbitrarily selected.

例えば一の処理装置群に液処理装置のみを配置し、他の処理装置群に熱処理装置のみを配置してもよい。例えば図27及び図28に示すように、第1の処理装置群G1には、アドヒージョン装置50、51、受け渡し装置52、熱処理装置56〜58、66〜68が下から順に9段に重ねて配置されている。第2の処理装置群G2には、レジスト塗布装置60〜65、下部反射防止膜形成装置53〜55が下から順に9段に重ねて配置されている。第3の処理装置群G3には、熱処理装置74〜78、85〜88が下から順に9段に重ねて配置されている。第4の処理装置群G4には、現像処理装置80〜84、上部反射防止膜形成装置70〜73が下から順に9段に重ねて配置されている。かかる場合でも、第1〜第4のバッファ装置41〜44を、第1〜第4の処理装置群G1〜G4に対向する位置に移動させて、一連のウェハ処理を行うことができる。   For example, only the liquid processing apparatus may be disposed in one processing apparatus group, and only the heat treatment apparatus may be disposed in another processing apparatus group. For example, as shown in FIGS. 27 and 28, in the first processing device group G1, the adhesion devices 50 and 51, the delivery device 52, and the heat treatment devices 56 to 58 and 66 to 68 are arranged in nine stages in order from the bottom. Has been. In the second processing apparatus group G2, resist coating apparatuses 60 to 65 and lower antireflection film forming apparatuses 53 to 55 are arranged in nine stages in order from the bottom. In the third treatment device group G3, heat treatment devices 74 to 78 and 85 to 88 are arranged in nine stages in order from the bottom. In the fourth processing unit group G4, development processing units 80 to 84 and upper antireflection film forming units 70 to 73 are arranged in nine stages in order from the bottom. Even in such a case, the first to fourth buffer devices 41 to 44 can be moved to positions facing the first to fourth processing device groups G1 to G4 to perform a series of wafer processing.

また、一の処理装置群には、液処理装置と熱処理装置とを備えた処理装置層が鉛直方向に多段に配置されていてもよい。例えば図29に示すように第2の処理装置群G2には、処理装置層L1〜L3が上から順に3段に配置されている。処理装置層L1には、熱処理装置66、下部反射防止膜形成装置53、レジスト塗布装置60が上から順に配置されている。処理装置層L2には、熱処理装置67、下部反射防止膜形成装置54、レジスト塗布装置61が上から順に配置されている。処理装置層L3には、熱処理装置68、下部反射防止膜形成装置55、レジスト塗布装置62が上から順に配置されている。かかる場合、ウェハ搬送装置40によって第1のバッファ装置41のバッファ部120を各処理装置層L1〜L3の高さ分だけ鉛直方向に移動させて、ウェハW上に下部反射防止膜とレジスト膜を形成する処理を行うことができる。これによって、ウェハ搬送装置40によるバッファ部120内の移動距離を短くできるので、ウェハWの搬送効率をより一層向上させることができ、ウェハ処理のスループットをさらに向上させることができる。なお、他の処理装置群G1、G3、G4についても同様に、液処理装置と熱処理装置とを備えた処理装置層を鉛直方向に多段に配置してもよい。   Moreover, the processing apparatus layer provided with the liquid processing apparatus and the heat processing apparatus may be arrange | positioned at one stage at multiple stages in one processing apparatus group. For example, as shown in FIG. 29, in the second processing unit group G2, processing unit layers L1 to L3 are arranged in three stages in order from the top. In the processing apparatus layer L1, a heat treatment apparatus 66, a lower antireflection film forming apparatus 53, and a resist coating apparatus 60 are arranged in this order from the top. In the processing apparatus layer L2, a heat treatment apparatus 67, a lower antireflection film forming apparatus 54, and a resist coating apparatus 61 are arranged in order from the top. In the processing apparatus layer L3, a heat treatment apparatus 68, a lower antireflection film forming apparatus 55, and a resist coating apparatus 62 are arranged in this order from the top. In such a case, the wafer transfer device 40 moves the buffer unit 120 of the first buffer device 41 in the vertical direction by the height of the processing device layers L1 to L3, so that the lower antireflection film and the resist film are formed on the wafer W. The process to form can be performed. Thereby, since the moving distance in the buffer unit 120 by the wafer transfer device 40 can be shortened, the transfer efficiency of the wafer W can be further improved, and the throughput of the wafer processing can be further improved. Similarly, for other processing device groups G1, G3, and G4, processing device layers including a liquid processing device and a heat treatment device may be arranged in multiple stages in the vertical direction.

以上の実施の形態では、第1の処理装置群G1には受け渡し装置52が設けられていたが、この受け渡し装置52を液処理装置や熱処理装置などの他の処理装置に置き換え、ウェハ搬送装置31から第1のバッファ装置41に複数のウェハWを直接搬送してもよい。かかる場合、ウェハWをさらに効率よく搬送することができる。   In the above embodiment, the transfer device 52 is provided in the first processing device group G1. However, the transfer device 52 is replaced with another processing device such as a liquid processing device or a heat treatment device, and the wafer transfer device 31 is replaced. A plurality of wafers W may be directly transferred from the first to the first buffer device 41. In such a case, the wafer W can be more efficiently transferred.

以上の実施の形態では、第4の処理装置群G4には下部反射防止膜形成装置70〜73、熱処理装置74〜78が配置されていたが、第1〜第4のバッファ装置41〜44とウェハ搬送装置90との間でウェハWの受け渡しを行うための受け渡し装置を設けてもよい。かかる場合、例えば熱処理装置74がインターフェイスステーション13に設けられる。そして、ウェハW上に上部反射防止膜を形成後、ウェハWが受け渡し装置を介してインターフェイスステーション13の熱処理装置74に搬送され、周辺露光処理前のウェハWの温度調節が行われる。   In the above embodiment, the lower antireflection film forming devices 70 to 73 and the heat treatment devices 74 to 78 are arranged in the fourth processing device group G4. However, the first to fourth buffer devices 41 to 44 and A delivery device for delivering the wafer W to and from the wafer transfer device 90 may be provided. In such a case, for example, the heat treatment apparatus 74 is provided in the interface station 13. Then, after forming the upper antireflection film on the wafer W, the wafer W is transferred to the heat treatment device 74 of the interface station 13 via the transfer device, and the temperature of the wafer W before the peripheral exposure processing is adjusted.

また、インターフェイスステーション13に設けていた周辺露光装置92を第4の処理装置群G4に設けてもよい。   Further, the peripheral exposure device 92 provided in the interface station 13 may be provided in the fourth processing device group G4.

以上の実施の形態では、第1〜第4のバッファ装置41〜44は移動可能に構成されていたが、図30に示すように処理ステーション11内に固定して配置されていてもよい。ウェハ搬送装置40の周囲には、第1〜第4のバッファ装置41〜44がウェハ搬送装置40を中心とした円周上に等間隔に配置される。第1のバッファ装置41は、処理ステーション11のカセットステーション10側(図30のY方向負方向側)に配置され、第2のバッファ装置42は、処理ステーション11の正面側(図1のX方向負方向側)に配置され、第3のバッファ装置43は、処理ステーション11のインターフェイスステーション13側(図1のY方向正方向側)に配置され、第4のバッファ装置44は、処理ステーション11の背面側(図1のX方向正方向側)に配置されている。第1〜第4のバッファ装置41〜44に対向する位置には、第1〜第4の処理装置群G1〜G4がそれぞれ配置される。そして、第1〜第4のバッファ装置41〜44間のウェハWの搬送は、ウェハ搬送装置44によって行われる。かかる場合、第1〜第4のバッファ装置41〜44と第1〜第4の処理装置群G1〜G4を処理ステーション11内に密に配置することができ、塗布現像処理システム1全体の占有面積を小さくすることができる。   In the above embodiment, the first to fourth buffer devices 41 to 44 are configured to be movable. However, they may be fixedly arranged in the processing station 11 as shown in FIG. Around the wafer conveyance device 40, first to fourth buffer devices 41 to 44 are arranged at equal intervals on a circumference centered on the wafer conveyance device 40. The first buffer device 41 is disposed on the cassette station 10 side (Y direction negative direction side in FIG. 30) of the processing station 11, and the second buffer device 42 is on the front side of the processing station 11 (X direction in FIG. 1). The third buffer device 43 is disposed on the interface station 13 side (the Y direction positive direction side in FIG. 1) of the processing station 11, and the fourth buffer device 44 is disposed on the processing station 11. It arrange | positions at the back side (X direction positive direction side of FIG. 1). First to fourth processing device groups G1 to G4 are arranged at positions facing the first to fourth buffer devices 41 to 44, respectively. The wafer W is transferred between the first to fourth buffer devices 41 to 44 by the wafer transfer device 44. In this case, the first to fourth buffer devices 41 to 44 and the first to fourth processing device groups G1 to G4 can be densely arranged in the processing station 11, and the area occupied by the entire coating and developing processing system 1 Can be reduced.

また、上記実施の形態において、図31に示すように第2のバッファ装置42と第4のバッファ装置44に対向する位置に、液処理装置や熱処理装置を備えた他の処理装置群G5、G6をそれぞれ配置してもよい。例えば第5の処理装置群G5には第2の処理装置群2と同様の処理装置が配置され、第6の処理装置群G6には第4の処理装置群G4と同様の処理装置が配置されている。かかる場合、塗布現像処理システム1内でより多数のウェハWに対して処理を行うことができ、ウェハ処理のスループットをさらに向上させることができる。   In the above embodiment, as shown in FIG. 31, other processing device groups G5 and G6 having a liquid processing device and a heat treatment device at positions facing the second buffer device 42 and the fourth buffer device 44, respectively. May be arranged respectively. For example, the fifth processing device group G5 includes processing devices similar to the second processing device group 2, and the sixth processing device group G6 includes processing devices similar to the fourth processing device group G4. ing. In such a case, a larger number of wafers W can be processed in the coating and developing processing system 1, and the throughput of the wafer processing can be further improved.

以上、添付図面を参照しながら本発明の好適な実施の形態について説明したが、本発明はかかる例に限定されない。当業者であれば、特許請求の範囲に記載された思想の範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。本発明はこの例に限らず種々の態様を採りうるものである。本発明は、基板がウェハ以外のFPD(フラットパネルディスプレイ)、フォトマスク用のマスクレチクルなどの他の基板である場合にも適用できる。   The preferred embodiments of the present invention have been described above with reference to the accompanying drawings, but the present invention is not limited to such examples. It is obvious for those skilled in the art that various modifications or modifications can be conceived within the scope of the idea described in the claims, and these naturally belong to the technical scope of the present invention. It is understood. The present invention is not limited to this example and can take various forms. The present invention can also be applied to a case where the substrate is another substrate such as an FPD (flat panel display) other than a wafer or a mask reticle for a photomask.

本発明は、例えば半導体ウェハ等の基板の処理システムに有用である。   The present invention is useful for a processing system for a substrate such as a semiconductor wafer.

1 塗布現像処理システム
10 カセットステーション
11 処理ステーション
13 インターフェイスステーション
20 カセット載置台
31 ウェハ搬送装置
40 ウェハ搬送装置
41 第1のバッファ装置
42 第2のバッファ装置
43 第3のバッファ装置
44 第4のバッファ装置
45 レール
50、51 アドヒージョン装置
53〜55 下部反射防止膜形成装置
56〜58、66〜68、74〜78、85〜88 熱処理装置
60〜65 レジスト塗布装置
70〜73 上部反射防止膜形成装置
80〜84 現像処理装置
120 バッファ部
121 フレーム
122 保持部材
123 バッファ部搬送機構
150 ウェハ搬送機構
151 搬送アーム
152 アーム部
154 吸着パッド
155 アーム移動機構
190 ウェハ搬送機構
191 搬送アーム
192 アーム部
194 保持部
195 アーム移動機構
C カセット
G1〜G4 第1〜第4の処理装置群
W ウェハ
DESCRIPTION OF SYMBOLS 1 Coating | development processing system 10 Cassette station 11 Processing station 13 Interface station 20 Cassette mounting base 31 Wafer transfer apparatus 40 Wafer transfer apparatus 41 1st buffer apparatus 42 2nd buffer apparatus 43 3rd buffer apparatus 44 4th buffer apparatus 45 Rail 50, 51 Adhesion device 53-55 Lower antireflection film forming device 56-58, 66-68, 74-78, 85-88 Heat treatment device 60-65 Resist coating device 70-73 Upper antireflection film forming device 80- 84 Development processing device 120 Buffer unit 121 Frame 122 Holding member 123 Buffer unit transfer mechanism 150 Wafer transfer mechanism 151 Transfer arm 152 Arm unit 154 Suction pad 155 Arm moving mechanism 190 Wafer transfer mechanism 191 Arm 192 Arm portion 194 holding section 195 arm moving mechanism C cassette G1~G4 first to fourth processing unit group W wafer

Claims (22)

基板の処理システムであって、
複数の基板を鉛直方向に多段に留置し、基板搬送装置を中心とする円周上を移動する複数のバッファ部と、
基板に所定の処理を行う処理装置を鉛直方向に多段に備え、前記円周の外側に配置された複数の処理装置群と、を有し、
前記基板搬送装置は、前記バッファ部間で基板を搬送し、
前記処理装置は、当該処理装置と前記バッファ部との間で基板を搬送する基板搬送機構を有することを特徴とする、基板処理システム。
A substrate processing system,
A plurality of substrates placed in multiple stages in the vertical direction, and a plurality of buffer units that move on a circumference centered on the substrate transfer device;
A plurality of processing apparatus groups provided in multiple stages in the vertical direction with processing apparatuses for performing predetermined processing on the substrate, and disposed outside the circumference,
The substrate transport device transports a substrate between the buffer units,
The substrate processing system, wherein the processing apparatus includes a substrate transport mechanism for transporting a substrate between the processing apparatus and the buffer unit.
前記バッファ部は、前記円周上で移動させるバッファ部搬送機構を有することを特徴とする、請求項1に記載の基板処理システム。 The substrate processing system according to claim 1, wherein the buffer unit has a buffer unit transport mechanism that moves on the circumference. 前記複数のバッファ部は、回転テーブル上の前記円周上に配置され、
前記回転テーブルは、前記基板搬送装置を中心に回転可能であることを特徴とする、請求項1に記載の基板処理システム。
The plurality of buffer units are arranged on the circumference on a rotary table,
The substrate processing system according to claim 1, wherein the turntable is rotatable about the substrate transfer device.
前記バッファ部は、鉛直方向に移動させるバッファ部移動機構を有することを特徴とする、請求項1〜3のいずれかに記載の基板処理システム。 The substrate processing system according to claim 1, wherein the buffer unit includes a buffer unit moving mechanism that moves the buffer unit in a vertical direction. 前記バッファ部移動機構は、前記バッファ部の鉛直方向の中心軸周りに当該バッファ部を回転させることを特徴とする、請求項4に記載の基板処理システム。 The substrate processing system according to claim 4, wherein the buffer unit moving mechanism rotates the buffer unit around a central axis in a vertical direction of the buffer unit. 前記基板搬送装置は、複数の基板を保持可能であることを特徴とする、請求項1〜5のいずれかに記載の基板処理システム。 The substrate processing system according to claim 1, wherein the substrate transfer apparatus can hold a plurality of substrates. 複数の基板を収容するカセットを基板処理システムの外部との間で搬入出する際に載置するカセット載置部と、
前記カセット載置部とカセット載置部側の前記バッファ部との間で基板を搬送する他の基板搬送装置と、を有することを特徴とする、請求項1〜6のいずれかに記載の基板処理システム。
A cassette placement unit for placing a cassette containing a plurality of substrates when carrying in and out of the substrate processing system;
The substrate according to claim 1, further comprising: another substrate transport device that transports a substrate between the cassette mounting unit and the buffer unit on the cassette mounting unit side. Processing system.
前記他の基板搬送装置は、複数の基板を保持可能であることを特徴とする、請求項7に記載の基板処理システム。 The substrate processing system according to claim 7, wherein the other substrate transfer apparatus is capable of holding a plurality of substrates. 前記複数の処理装置群は、基板に所定の液体を供給して処理を行う液処理装置が鉛直方向に多段に配置された液処理装置群と、基板に所定の温度で熱処理を行う熱処理装置が鉛直方向に多段に配置された熱処理装置群と、を有することを特徴とする、請求項1〜8のいずれかに記載の基板処理システム。 The plurality of processing apparatus groups include a liquid processing apparatus group in which liquid processing apparatuses that perform processing by supplying a predetermined liquid to a substrate are arranged in multiple stages, and a heat processing apparatus that performs heat processing on the substrate at a predetermined temperature. The substrate processing system according to claim 1, comprising a group of heat treatment apparatuses arranged in multiple stages in the vertical direction. 前記複数の処理装置群は、基板に所定の液体を供給して処理を行う液処理装置と、基板に所定の温度で熱処理を行う熱処理装置とが鉛直方向に多段に配置された処理装置群を有することを特徴とする、請求項1〜8のいずれかに記載の基板処理システム。 The plurality of processing apparatus groups include a processing apparatus group in which a liquid processing apparatus that supplies a predetermined liquid to a substrate and performs processing and a heat processing apparatus that performs heat processing on the substrate at a predetermined temperature are arranged in multiple stages in the vertical direction. The substrate processing system according to claim 1, wherein the substrate processing system is provided. 一の前記処理装置群には、前記液処理装置と前記熱処理装置とを備えた処理装置層が鉛直方向に多段に配置されていることを特徴とする、請求項10に記載の基板処理システム。 11. The substrate processing system according to claim 10, wherein in one processing apparatus group, processing apparatus layers including the liquid processing apparatus and the heat treatment apparatus are arranged in multiple stages in a vertical direction. 前記バッファ部は、内部に基板を保管するフレームと、前記フレームにおいて鉛直方向に所定の間隔で複数設けられ、基板を保持する保持部材と、を有することを特徴とする、請求項1〜11のいずれかに記載の基板処理システム。 The said buffer part has a frame which stores a board | substrate inside, and the holding member which is provided with two or more by predetermined spacing in the perpendicular direction in the said frame, and hold | maintains a board | substrate of Claim 1-11 characterized by the above-mentioned. The substrate processing system according to any one of the above. 前記バッファ部は、内部に基板を保管するフレームと、前記フレームにおいて鉛直方向に所定の間隔で複数設けられ、当該フレームの内部を複数に区画する平板状部材と、前記平板状部材の上面に設けられ、基板を保持する保持部材と、を有することを特徴とする、請求項1〜11のいずれかに記載の基板処理システム。 The buffer unit includes a frame for storing a substrate therein, a plurality of flat members provided at predetermined intervals in the vertical direction in the frame, and a plurality of flat members that divide the inside of the frame into a plurality of members, and an upper surface of the flat member. The substrate processing system according to claim 1, further comprising a holding member that holds the substrate. 前記フレームは、側面が開口した円筒形状を有することを特徴とする、請求項12又は13に記載の基板処理システム。 The substrate processing system according to claim 12, wherein the frame has a cylindrical shape with an open side surface. 前記フレームは、側面が開口した直方体形状を有することを特徴とする、請求項12又は13に記載の基板処理システム。 The substrate processing system according to claim 12, wherein the frame has a rectangular parallelepiped shape with side surfaces opened. 前記バッファ部は、鉛直方向に延伸する支持部材と、前記支持部材において鉛直方向に所定の間隔で設けられ、基板を保持する保持部材と、を有することを特徴とする、請求項1〜11のいずれかに記載の基板処理システム。 The said buffer part has the supporting member extended | stretched to a perpendicular direction, and the holding member which is provided in the said supporting member at the predetermined | prescribed space | interval in the perpendicular direction, and hold | maintains a board | substrate characterized by the above-mentioned. The substrate processing system according to any one of the above. 前記基板搬送機構は、一対のアーム部と、前記アーム部に設けられ、基板を保持する保持部とを備えた搬送アームと、前記一対のアーム部の間隔を調整すると共に、前記搬送アームを水平方向に移動させるアーム移動機構と、を有することを特徴とする、請求項1〜16のいずれかに記載の基板処理システム。 The substrate transfer mechanism adjusts the interval between the pair of arm portions, a transfer arm provided on the arm portion and a holding portion for holding the substrate, and the pair of arm portions. The substrate processing system according to claim 1, further comprising an arm moving mechanism that moves in a direction. 前記基板搬送機構は、基板の外周に適合する形状を有するアーム部と、前記アーム部に設けられ、基板を保持する保持部と、を備えた搬送アームと、前記搬送アームを水平方向に移動させるアーム移動機構と、を有することを特徴とする、請求項1〜16のいずれかに記載の基板処理システム。 The substrate transfer mechanism includes a transfer arm provided with an arm portion having a shape that fits an outer periphery of the substrate, a holding portion that is provided on the arm portion and holds the substrate, and moves the transfer arm in a horizontal direction. The substrate processing system according to claim 1, further comprising an arm moving mechanism. 前記アーム移動機構は、前記搬送アームを鉛直方向に移動させることを特徴とする、請求項17又は18に記載の基板処理システム。 19. The substrate processing system according to claim 17, wherein the arm moving mechanism moves the transfer arm in a vertical direction. 前記基板搬送機構は、基板を保持して搬送する搬送アームを有し、前記搬送アームは、屈曲自在に連結された複数のアーム部と、先端の前記アーム部に設けられ、基板を保持する保持部と、を有することを特徴とする、請求項1〜16のいずれかに記載の基板処理システム。 The substrate transport mechanism includes a transport arm that holds and transports a substrate, and the transport arm is provided in a plurality of flexibly connected arm portions and the arm portion at the tip, and holds the substrate. The substrate processing system according to claim 1, wherein the substrate processing system includes a unit. 前記基板搬送機構は、前記搬送アームを鉛直方向に移動させるアーム移動機構を有することを特徴とする、請求項20に記載の基板処理システム。 21. The substrate processing system according to claim 20, wherein the substrate transport mechanism includes an arm moving mechanism that moves the transport arm in a vertical direction. 前記基板搬送機構は、前記搬送アームを複数有することを特徴とする、請求項17〜21のいずれかに記載の基板処理システム。 The substrate processing system according to claim 17, wherein the substrate transfer mechanism includes a plurality of transfer arms.
JP2009025761A 2009-02-06 2009-02-06 Substrate processing system Expired - Fee Related JP4665037B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009025761A JP4665037B2 (en) 2009-02-06 2009-02-06 Substrate processing system
KR20100010488A KR101478856B1 (en) 2009-02-06 2010-02-04 Substrate processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009025761A JP4665037B2 (en) 2009-02-06 2009-02-06 Substrate processing system

Publications (2)

Publication Number Publication Date
JP2010182919A JP2010182919A (en) 2010-08-19
JP4665037B2 true JP4665037B2 (en) 2011-04-06

Family

ID=42756170

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009025761A Expired - Fee Related JP4665037B2 (en) 2009-02-06 2009-02-06 Substrate processing system

Country Status (2)

Country Link
JP (1) JP4665037B2 (en)
KR (1) KR101478856B1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012023297A1 (en) 2010-08-18 2012-02-23 三菱電機株式会社 Air conditioning control device, air conditioning control method and program
JP5243585B2 (en) * 2011-09-09 2013-07-24 中外炉工業株式会社 Substrate supply device
US9412633B2 (en) 2011-11-23 2016-08-09 Nidec Sankyo Corporation Workpiece transfer system
WO2018008113A1 (en) * 2016-07-06 2018-01-11 富士機械製造株式会社 Circuit forming device
JP6917227B2 (en) * 2017-07-14 2021-08-11 株式会社ディスコ Inline system

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6357158A (en) * 1986-08-29 1988-03-11 Hitachi Ltd Flexible transporting system
JPH04186861A (en) * 1990-11-21 1992-07-03 Hitachi Ltd Method and equipment for carrying many kinds
JPH0621197A (en) * 1992-03-10 1994-01-28 Tempress Bv Working apparatus of microcircuit wafer
JPH0650345U (en) * 1992-12-01 1994-07-08 光洋リンドバーグ株式会社 Multi-chamber semiconductor processing equipment
JPH07183230A (en) * 1993-12-24 1995-07-21 Kokusai Electric Co Ltd Semiconductor manufacturing device
WO1996025760A1 (en) * 1995-02-15 1996-08-22 Hitachi, Ltd. Method and device for manufacturing semiconductor
JPH09171961A (en) * 1996-11-26 1997-06-30 Tokyo Electron Ltd Resist processor
JPH1079412A (en) * 1996-09-02 1998-03-24 C Bui Res:Kk Apparatus for manufacturing semiconductor
JPH10125764A (en) * 1996-10-15 1998-05-15 Applied Materials Inc Apparatus and method for treating wafer at high productivity
JPH10144765A (en) * 1996-11-11 1998-05-29 Canon Sales Co Inc Substrate processing system
JP2000124301A (en) * 1998-10-13 2000-04-28 Tokyo Electron Ltd Container mounting unit, container housing apparatus and treating apparatus
WO2001075965A1 (en) * 2000-04-05 2001-10-11 Tokyo Electron Limited Treating device
JP2003226425A (en) * 2002-02-06 2003-08-12 Seiko Epson Corp Work rotating device and work processing device equipped with the same
JP2004146449A (en) * 2002-10-22 2004-05-20 Tokyo Electron Ltd Substrate processing apparatus
JP2004273553A (en) * 2003-03-05 2004-09-30 Tokyo Electron Ltd Substrate processing equipment and method therefor
JP2005093951A (en) * 2003-09-19 2005-04-07 Dainippon Screen Mfg Co Ltd Edge exposure device, edge exposure method, and substrate processing apparatus having it
JP2005228771A (en) * 2004-02-10 2005-08-25 Shinko Electric Co Ltd Substrate transfer method and its apparatus
JP2007123397A (en) * 2005-10-26 2007-05-17 Hitachi Kokusai Electric Inc Semiconductor manufacturing method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2783731B2 (en) * 1992-08-12 1998-08-06 シャープ株式会社 Work storage case
JPH07147310A (en) * 1993-11-22 1995-06-06 Ebara Corp Method and apparatus for transportation
KR100515740B1 (en) * 1998-08-14 2005-09-20 동경 엘렉트론 주식회사 Substrate processing apparatus
KR101413243B1 (en) * 2006-11-01 2014-06-27 주식회사 원익아이피에스 Wafer transfer module and thin-film evaporation apparatus comprising the same

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6357158A (en) * 1986-08-29 1988-03-11 Hitachi Ltd Flexible transporting system
JPH04186861A (en) * 1990-11-21 1992-07-03 Hitachi Ltd Method and equipment for carrying many kinds
JPH0621197A (en) * 1992-03-10 1994-01-28 Tempress Bv Working apparatus of microcircuit wafer
JPH0650345U (en) * 1992-12-01 1994-07-08 光洋リンドバーグ株式会社 Multi-chamber semiconductor processing equipment
JPH07183230A (en) * 1993-12-24 1995-07-21 Kokusai Electric Co Ltd Semiconductor manufacturing device
WO1996025760A1 (en) * 1995-02-15 1996-08-22 Hitachi, Ltd. Method and device for manufacturing semiconductor
JPH1079412A (en) * 1996-09-02 1998-03-24 C Bui Res:Kk Apparatus for manufacturing semiconductor
JPH10125764A (en) * 1996-10-15 1998-05-15 Applied Materials Inc Apparatus and method for treating wafer at high productivity
JPH10144765A (en) * 1996-11-11 1998-05-29 Canon Sales Co Inc Substrate processing system
JPH09171961A (en) * 1996-11-26 1997-06-30 Tokyo Electron Ltd Resist processor
JP2000124301A (en) * 1998-10-13 2000-04-28 Tokyo Electron Ltd Container mounting unit, container housing apparatus and treating apparatus
WO2001075965A1 (en) * 2000-04-05 2001-10-11 Tokyo Electron Limited Treating device
JP2003226425A (en) * 2002-02-06 2003-08-12 Seiko Epson Corp Work rotating device and work processing device equipped with the same
JP2004146449A (en) * 2002-10-22 2004-05-20 Tokyo Electron Ltd Substrate processing apparatus
JP2004273553A (en) * 2003-03-05 2004-09-30 Tokyo Electron Ltd Substrate processing equipment and method therefor
JP2005093951A (en) * 2003-09-19 2005-04-07 Dainippon Screen Mfg Co Ltd Edge exposure device, edge exposure method, and substrate processing apparatus having it
JP2005228771A (en) * 2004-02-10 2005-08-25 Shinko Electric Co Ltd Substrate transfer method and its apparatus
JP2007123397A (en) * 2005-10-26 2007-05-17 Hitachi Kokusai Electric Inc Semiconductor manufacturing method

Also Published As

Publication number Publication date
JP2010182919A (en) 2010-08-19
KR101478856B1 (en) 2015-01-02
KR20100090648A (en) 2010-08-16

Similar Documents

Publication Publication Date Title
JP4439464B2 (en) Substrate transport method and substrate transport apparatus
JP4464993B2 (en) Substrate processing system
JP2009094460A (en) Substrate treatment device
JP4665037B2 (en) Substrate processing system
JP3774283B2 (en) Processing system
TW201332036A (en) Substrate treatment system, substrate transfer method and computer-readable storage medium
JP4924186B2 (en) Coating and developing apparatus and method, and storage medium
JP3649048B2 (en) Resist coating / developing apparatus, and substrate heating processing apparatus and substrate transfer apparatus used therefor
JP3485990B2 (en) Transfer method and transfer device
JP4880004B2 (en) Substrate processing system
JP3483693B2 (en) Transfer device, transfer method and processing system
JP2013069874A (en) Substrate processing system, substrate transfer method, program and computer storage medium
KR102175077B1 (en) Transfer robot and Apparatus for treating substrate with the robot
JPH09275127A (en) Substrate treatment equipment
JP2001168167A (en) Treating system and method
JP2010192559A (en) Substrate processing system
JP5216713B2 (en) Coating processing apparatus, coating processing method, program, and computer storage medium
JP5661584B2 (en) Substrate processing system, substrate transfer method, program, and computer storage medium
JP2010192685A (en) Substrate conveying device and substrate processing system
JP2003031640A (en) Substrate processor
JP2010192688A (en) Substrate processing system, substrate processing method, program and computer storage medium
JP3901967B2 (en) Substrate processing unit and substrate processing apparatus
JP2002208554A (en) System for treating substrate
JP3962490B2 (en) Development processing apparatus and development processing method
JP4401865B2 (en) Substrate transfer device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100917

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110107

R150 Certificate of patent or registration of utility model

Ref document number: 4665037

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140114

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees