JP4297976B2 - 温度制御された半導体の基板ホルダ - Google Patents

温度制御された半導体の基板ホルダ Download PDF

Info

Publication number
JP4297976B2
JP4297976B2 JP53540797A JP53540797A JP4297976B2 JP 4297976 B2 JP4297976 B2 JP 4297976B2 JP 53540797 A JP53540797 A JP 53540797A JP 53540797 A JP53540797 A JP 53540797A JP 4297976 B2 JP4297976 B2 JP 4297976B2
Authority
JP
Japan
Prior art keywords
substrate
substrate support
temperature
manufacturing
thermoelectric modules
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP53540797A
Other languages
English (en)
Other versions
JP2000508119A (ja
JP2000508119A5 (ja
Inventor
ディンサ,ラジンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2000508119A publication Critical patent/JP2000508119A/ja
Publication of JP2000508119A5 publication Critical patent/JP2000508119A5/ja
Application granted granted Critical
Publication of JP4297976B2 publication Critical patent/JP4297976B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Description

発明の分野
本発明は温度制御された基板サポートと基板の製造方法に関連したものである。特に、本発明は半導体製造装置内の工程中、基板の全体にわたって所望の温度分布を維持するための温度制御された半導体の基板サポートと、集積化したチップを製造するための基板製造方法に関するものである。
発明の背景
半導体デバイスあるいは集積化チップの製作は因襲的に半導体製造装置内でSi、GeあるいはGaAsのような半導体ウエハの基板に作りだされる。一般的にウエハはリソグラフィ、化学的蒸着、プラズマエッチング等の一連の半導体プロセスを通り抜ける。集積化チップの数は生産された数量を参照すると、ウエハの全体的な質とウエハがどのように製造されるかということに依存する。生産高に影響を及ぼす一つのパラメータは製造工程中のウエハの表面温度である。より均一なウエハの表面温度は、より高い生産高を与える。
ウエハ温度は通常、プラテン、チャックあるいはウエハレスト上の電極のような基板サポートの温度制御により制御される。そして、製造中のウエハ/サポート表面の界面全体にわたって熱伝達係数を制御する。ウエハは一般に、基板サポート面にクランプされる。
因襲的に、ウエハの温度は電極の内側の冷却溝を循環する冷却剤、一般的には液体、により制御される。ウエハと電極との間の熱伝達係数の改善のため、例えばヘリウムガスの裏面ガス圧が一般的に採用されている。
外部の冷蔵室、クーリングパス、そしてヘリウムガスの供給装置はウエハ表面温度を制御するための因襲的なアプローチを高価で複雑なものとする。また、製造工程中にあるウエハ全体の温度を変化させるための応答時間が鈍いのは、要求される熱伝達界面の数と遠隔に位置する冷却室のためである。
因襲的なアプローチによる他の問題は半導体製造工程中にあるウエハの全体にわたって作られる温度差から生じる。製造工程中にあるウエハ上の均一な温度は、均一なプラズマ、ウエハ/電極界面での裏面ガス分布、電極表面にわたる均一な温度分布など多数の因子に依存する。プラズマの大部分は半導体製造プロセスを促進させる、高いイオンの衝撃エネルギは結果としてウエハの温度を上昇させる傾向がある。従って、例えば、ウエハの中心に製造プロセスが集中したならば、中心部は工程中にあるウエハのエッジ部より高温になる。
因襲的なアプローチにおいて、一つの冷却溝とその構内を循環する液体とが唯一存在するために、ウエハ表面の全体にわたって温度分布を制御することは不可能である。製造工程中において、適した温度でウエハ表面部を維持するために、ウエハの他の部分は集積化チップの製造のための用途として不適切なものとなる。従って、製造工程中にあるウエハ全体にわたって最適な温度分布を維持するために因襲的なアプローチを用いることは不可能である。
過去において、集積化チップは相対的に大きかったので、ウエハ表面の重要な量を用いることなく、少数の集積化チップは一枚のウエハから作ることができた。例えば、一般的にウエハ表面のエッジ部分はチップ製造のために使用されないままである。少数の大型チップは一枚のウエハから作ることができるので大部分は未使用のままで、ウエハ表面全体にわたって均一な温度分布を維持するための臨界ではなかった。
半導体産業の傾向はより小型の集積化チップを製造する方向に向かっているので、ウエハ表面温度を均一にすることはより重要になる。例えば、チップサイズが0.25ミクロン以下の単一チップ上の半導体デバイスの超大規模(ULSI)は一枚のウエハからチップの高い生産高を供給するために全ての製造工程にわたって良好なプロセスコントロールが必要となる。これらチップに関して最大の生産高を得るために、ウエハの表面全体にわたって温度を均一に維持することは重要である。半導体デバイスのサイズはだんだん小型化され、基板サイズはチップあたりの製造コストを圧縮するために拡大するので、ウエハ温度の制御は半導体製造産業にとって重要な挑戦となっている。
従って、簡単で、半導体製造工程中において基板表面全体にわたって均一な温度を維持するための実時間制御を提供する費用のかからない解は必要性があり、半導体デバイスの高い生産高を提供する。
発明の要約
本発明に従うと、温度制御された基板サポートは基板の処理のための半導体製造システムに包含される。基板レスト上の基板サポート面はプロセスチャンバー内に挿入され集積化チップを製造するための処理が施される。基板は処理の間中、基板サポート面に静電的にクランプされる。その基板サポート面は処理の間中、基板の温度管理において主要な役割を果たすものである。基板サポートは基板サポート面と熱伝達接触する複数の熱電気モジュールと制御された電流供給部を含む。これらのモジュールそれぞれに対して電流供給を制御することにより、所望の温度分布は処理の間中、基板全体を均一な温度にするために基板サポート面全体にわたり維持され得る。熱電気モジュールは均一な基板温度を与えるために、電流供給部から制御された電流に対した応答で基板サポート面の温度を制御する。基板サポート面はRFバイアスされた電極であってもよく、基板は半導体ウエハであってもよい。電流供給部は所望のRF周波数に対して適合したフィルターを含むものでもよく、RF減結合板は電極から熱電気モジュールを電気的に絶縁するために、電極と複数の熱電気モジュールとの間に用意されてもよい。ヒートシンクのような付加的な熱管理システムは熱電気モジュールと熱伝達接触してもよく、例えば、電極の反対側で使用されるパワーの総量を減じて、有効で効果的な温度制御を提供するため基板温度の制御を補助する。ヒートシンクは電極から熱を引き寄せ、必要とされたときに電極に熱を供給する。一度処理された、基板はプロセスチャンバーから除去される。挿入、処置、そして除去は複数の基板に対して繰り返されてもよい。
【図面の簡単な説明】
図1は温度制御された半導体の基板サポートと、その本発明に従った基板の製造方法とで採用された半導体製造システムを示し、
図2aと2bは本発明による熱電気のモジュール配列の典型的な平面を示す図であり、更に、
図3は本発明による温度制御された基板サポートで採用された典型的な熱電気デバイスの詳細を示す図である。
好ましい実施形態の詳細な説明
図1は温度制御された基板サポートとその本発明に従った基板の製造方法とで採用された半導体製造システムを示すものである。図1によると、基板120を製造するための半導体製造システム100は基板サポートと基板サポート面を囲うプロセスチャンバー130から構成される。基板120は、例えば、半導体ウエハあるいはフラットパネルディスプレイである。基板サポート面は、例えば、チャック、プラテン、あるいは図1に示されるような電極110から構成される。基板サポートはまた、無線周波数(RF)の電源、熱電気の温度コントロールシステムをも備える。ウエハ120は基板サポート110に機械的あるいは基板サポート110の片側に作られた、あるいは接合された構造の静電チャックによってクランプされる。不図示ではあるが、基板サポートはウエハの把持システムを備えるものでもある。
処理されるべきウエハはプロセスチャンバー130内に挿入される。プロセスチャンバー130は処理のプロセス環境と、ウエハ把持のためのインタフェース、ガスの供給システム等を準備する。そのプロセスチャンバーは、例えば、真空チャンバーから成るものでもよい。ウエハは集積化チップを作るために典型的な処理が施され、除去される。全ウエハ製造システムの一部であるウエハ把持システムはプロセスチャンバー内にウエハを供給し、排出するために使用されるものである。挿入、処理、そして除去の工程は複数のウエハに関して繰り返されるものとする。
処理の間中、基板レスト上の基板サポートの片側には静電気的な把持構造を備えてもよい。基板サポートのもう一方の側は、処理の間中、基板のサポートの温度を制御するするための熱電気システムを備えてもよい。その熱電気システムは複数の熱電気モジュール140から構成される。その複数の熱電気モジュールは、従来の熱電気モジュール、MelcorあるいはThermodex Corporationで製造されたようなもの、から組み立てられるものであってもよい。熱電気モジュールは基板サポートの表面と熱伝達接触する。
熱電気モジュール140は基板サポートの動力インタフェースを通じて電源から供給される電力に応じて電極110の温度を制御する。例えば、図1に示されるように、制御された電流は導線142と144とを備える電流インタフェースを通じて電流供給部180により熱電気モジュール140に供給される。熱電気モジュール140は接合材150と電極110とにより保護される。接合材はより高い熱伝導性の、エポキシのような接合材であってもよい。
電流供給部180は従来の電源により形成されてもよい。電流供給部は、例えば、単一の電流源、個々の熱電気モジュールに対応した多数の電流源、あるいは、熱電気モジュールの集合に対応した複数の電流源により構成されるものであってもよい。電流供給部により供給される電流の範囲は、例えば、0から20アンペアであり、半導体製造システムの熱負荷に依存するのものである。
半導体の熱電気モジュール140は基板サポートの温度を制御し、それによって処理中のウエハの温度を制御している。例えば、熱電気モジュールに対するパワーの制御によって、要求される温度分布は処理中の基板全体にわたって均一な温度を維持するために、基板サポート面の全体にわたって制御され得る。その代わりとして、所望の温度分布が処理中の基板にわたって維持される。
RFパワーを伴う基板サポートを準備することは所望の処理結果を生々するのに必要なことである。この場合、電流供給部180は半導体製造システムの所望されるRFに対して適合したフィルタを包含するものであってもよい。また、電極110に対して良好な熱伝導を与える熱電気モジュールを電気的に絶縁するために、減結合板160は選択的に電極と複数の熱電気モジュールとの間に挿入されてもよい。RF減結合板は、BeO,AlNなどのような熱伝導性のセラミック材料で作られてもよい。
コントローラ195は電流供給部180によって供給される電流を制御するために使用される。コントローラはウエハの温度分布に関する統計上のデータに基づいて電流を制御することができる。この場合、コントローラは前もってセットされた一定の電流を供給するために供給電流を制御する。コントローラは処理中に得られた検知温度情報に応じて電流を制御する。検知された温度情報は赤外線(IR)カメラ190のようなセンサから得られる。カメラ190はプロセスチャンバー内の窓135を通じてウエハ表面にわたる温度を検知する。検知された温度情報に基づいて、コントローラ195は供給電流により熱電気モジュールに対して供給される電流を調節し、リアルタイムにウエハの温度制御を行う。
熱電気モジュール140は処理中のウエハ120の表面にわたり、所望の温度分布を与えるために電流供給部180により供給された電流に応じて電極の温度を制御する。電極を冷却するために、熱電気モジュールは電極方向から例えば、周囲の環境に熱を貯える。電極を加熱するために、熱電気モジュールは、例えば周囲の環境から電極方向に熱を集める。
電流供給部は全ての熱電気モジュールに同じ値を持った電流を供給してもよい。その代わり、個々の熱電気モジュール、あるいは、熱電気モジュールの集合に供給される電流はウエハの動的な温度制御を認めて、異なった値を持つものでもよい。例えば、ウエハ表面は中心と端とでの異なった電流により加熱あるいは冷却されるため、電極110の中心に配列された熱電気モジュールに対して供給される電流は、電極110の端に配列された熱電気モジュールに印加される電流に比べて異なるものでもよい。処理中においてウエハ表面全体にわたる所望の温度分布を維持するために、この動的な温度制御は半導体製造プロセスに従い、ウエハ表面の中心と端とで、温度の相違を補償する。
より効率的に熱電気モジュールにより温度制御を行うために、更に、ヒートシンク170にような熱制御システムが提供される。図1に示されるように、ヒートシンク170は、電極110の反対側で、熱電気モジュールの下部に配置される。ヒートシンク170は空冷あるいは水冷であってもよい。ヒートシンクは電極の温度制御において、能動的に機能していない間、熱電気システムの反対側で加熱負荷を制御するためのパワー要求の切り捨てに役立つ。
図1を参照すると、ヒートシンク170は熱電気モジュールと熱伝達接触する。ヒートシンクは電極から熱を奪い取ることにより電極を冷却する役割を果たす。また、ヒートシンクは熱源のように作用して、電極に熱を供給して、電極を加熱する役割を果たす。ヒートシンクはアルミニウムのような従来のヒートシンク材によって形成されてもよい。ヒートシンクは接合材150を伴い、熱電気モジュールに固定される。これに代わり、異なった接合材が使用されてもよい。
図2aと2bとは、本発明に従った熱電気モジュールの配列を示す平面図である。図2aに示したように、熱電気モジュールは同心円内に配列されるものでもよい。この配列は、例えば、処理中の半導体ウエハの温度制御のために使用されている。代わりに、図2bに示されたように、熱電気モジュールは矩形の繰り返しにより配列されたものでもよい。この配列は、例えば、処理中のフラットパネルディスプレイの温度制御のために使用される。図2aと2bとに示される配列で、熱電気モジュール間の間隔と熱電気モジュールの数は基板全体にわたり温度分布を制御するために必要な程度に依存する。例えば、小型のチップを大量に要されるウエハの処理は、より高い温度の均一さがもとめられる。従って、密な間隔の幾つかのモジュールが使用される。対照的に、大型のチップが少量要求されるようなウエハの処理は、温度の不均一さは問題とならず、間隔の広がった少数のモジュールが使用されてもよい。熱電気モジュールの数は、例えば、1から30の範囲であり、熱電気モジュール間の間隔は10から20ミリメーターの範囲にある。図2aと2bとは例示的な配列であるが、熱電気モジュールは任意に所望のパターンに配列されたものでもよい。
それぞれの熱電気モジュールは少なくとも一つの熱電気デバイスを含む。熱電気デバイスはペルチエ効果により動作する接合点により接続された異なる電気伝導性の材料から形成された2つの要素から構成される。従って、2つの異なる導体の接合点を電流が流れるとき、その電流の方向に依存してその接合点を冷却あるいは加熱する。導体流れる直流電流は一方から他方に熱の移動を引き起こし、低温側と高温側とを作る。基板サポート上の異なる位置で、複数の熱電気モジュールを使用した基板サポートの適切な設計により、基板サポート面の全体にわたる温度勾配は、処理中のウエハ全体にわたり所望の温度分布を維持するために必要なレベルにあるように制御できる。更に、熱電気モジュールは電極上に直接配置されているので、任意の温度に関する応答時間はウエハ表面全体にわたり変化し、従来の冷却方法に比べてより速くなるだろう。
図3は本発明に従った熱電気デバイスを例示的に示したものである。図3を参照すると、熱電気デバイス140aは、電気的には直列に接続され、熱的にはコネクタ152により並列に接続された、pドープされた半導体要素146とnドープされた半導体要素148から形成されたペルティエ電対から構成される。コネクタ152は、銅のように熱的及び電気的伝導性材料により形成されてもよい。
電流供給部180によって供給された電流は、電極110での温度の変化の結果、熱電気モジュール140の導線142と144とに流れる。
電極を冷却するために、電流は電極からヒートシンクに向けてポンプされるべき熱を発生させる方向に電流供給部180から供給される。例えば、電流は熱電気モジュールをp型半導体146からn型半導体148へと流れ、電極からヒートシンクに向けてポンプされるべき熱を発生させ、電極の熱を増加させ、ヒートシンクの熱を減少させる。例えば、電流は熱電気モジュールをn型半導体148からp型半導体146に向けて流れ、ヒートシンクから電極に熱を引き寄せ、電極を加熱する。
この方法において、熱電気モジュール近傍で配置された電極の温度は制御でき、ウエハ表面上で温度を均一に保つことを可能にする。pとnの半導体を電子が急速に移動するために、従来の方法に比べてより良い温度制御を提供する。

Claims (27)

  1. 真空プロセスチャンバー内で、基板を製造するための半導体製造システムにおける温度制御された基板サポートであって、
    前記真空プロセスチャンバーで囲まれており、無線周波数でバイアスされた電極を備えている基板サポート面と熱伝達接触をする複数の熱電気モジュールと、
    前記基板の中心部と端部領域における温度情報を取得するための温度センサと、
    前記複数の熱電気モジュールに接続され、前記基板の中心部と端部領域において基板サポート面の温度を制御し、前記真空プロセスチャンバー内における基板の半導体製造工程の間、当該基板全体にわたって所望の温度分布を与えるために、前記熱電気モジュールに対して制御された電流を供給するための電気供給インタフェースと、
    前記基板の中心部と端部領域における前記複数の熱電気モジュールに対して前記電流供給インタフェースにより供給される電流を前記温度センサにより取得された前記温度情報に基づいて制御するコントローラと、
    前記基板サポート面と前記複数の熱電気モジュールとの間を電気的に絶縁する無線周波数の減結合板と、
    を備えることを特徴とする基板サポート。
  2. 前記基板はウエハを含むことを特徴とする請求項1に記載の基板サポート。
  3. 前記ウエハは半導体ウエハであることを特徴とする請求項2に記載の基板サポート。
  4. 前記複数の熱電気モジュールと熱伝達接触するヒートシンクを更に備えることを特徴とする請求項1に記載の基板サポート。
  5. 前記ヒートシンクは水冷あるいは空冷されることを特徴とする請求項に記載の基板サポート。
  6. 前記複数の熱電気モジュールに印加される電流は異なる値であることを特徴とする請求項1に記載の基板サポート。
  7. 前記複数の熱電気モジュールに印加される電流は同じ値であることを特徴とする請求項1に記載の基板サポート。
  8. 前記複数の熱電気モジュールは同心円状に配列されていることを特徴とする請求項1に記載の基板サポート。
  9. 前記複数の熱電気モジュールは複数の矩形が同心状に配列されていることを特徴とする請求項1に記載の基板サポート。
  10. 温度制御された基板サポートと、基板サポート面を囲む真空プロセスチャンバーを備える半導体製造システムにおける基板製造方法であって、当該方法は、
    前記基板の中心部と端部領域における温度情報を取得する工程と、
    前記基板サポート面のそれぞれの部分の温度を制御するため基板サポート面と熱伝達接触で複数の熱電気モジュールに、前記温度情報に基づき制御された電流を供給する工程と、
    半導体製造工程の間、前記基板の中心部と端部領域における前記基板サポート面の温度を制御し、基板全体にわたって所望の温度分布を与えるために、前記温度情報に基づいて電流を制御する工程と、
    を備え、
    前記基板サポート面は電極を備え、前記電極は無線周波数でバイアスされており、
    前記複数の熱電気モジュールは無線周波数の減結合板によって、前記基板サポート面から電気的に絶縁されていることを特徴とする基板製造方法。
  11. 前記基板はウエハを含むことを特徴とする請求項10に記載の基板製造方法。
  12. 前記ウエハは半導体ウエハであることを特徴とする請求項11に記載の基板製造方法。
  13. 前記複数の熱電気モジュールと熱伝達接触するヒートシンクは当該熱電気モジュールから熱を奪い、当該熱電気モジュールに熱を与えることを特徴とする請求項10に記載の基板製造方法。
  14. 前記ヒートシンクは水冷あるいは空冷されることを特徴とする請求項13に記載の基板製造方法。
  15. 前記基板を前記真空プロセスチャンバーに挿入する工程と、
    前記真空プロセスチャンバー内の前記基板を処理する工程と、
    前記真空プロセスチャンバーから前記基板を除去する工程と、
    を更に備えることを特徴とする請求項10に記載の基板製造方法。
  16. 前記挿入、処理、除去の工程は前記真空プロセスチャンバー内で複数の基板に対して独立に繰り返されることを特徴とする請求項15に記載の基板製造方法。
  17. 前記複数の熱電気モジュールに印加される電流は異なる値であることを特徴とする請求項10に記載の基板製造方法。
  18. 前記複数の熱電気モジュールに印加される電流は同じ値であることを特徴とする請求項10に記載の基板製造方法。
  19. 前記複数の熱電気モジュールは同心円状に配列されていることを特徴とする請求項10に記載の基板製造方法。
  20. 前記複数の熱電気モジュールは複数の矩形が同心状に配列されていることを特徴とする請求項10に記載の基板製造方法。
  21. 前記真空プロセスチャンバーはプラズマエッチングチャンバー、または化学的蒸着チャンバーを含むことを特徴とする請求項1に記載の基板サポート。
  22. プラズマエッチングまたは化学的蒸着により、前記基板を処理する工程を更に備えることを特徴とする請求項10に記載の基板製造方法。
  23. 前記温度分布は、前記基板全体にわたって均一であることを特徴とする請求項10に記載の基板製造方法。
  24. 前記熱電気モジュールは10mmから20mmの間隔で配置されていることを特徴とする請求項1に記載の基板サポート。
  25. 前記温度センサは赤外線カメラであることを特徴とする請求項1に記載の基板サポート。
  26. 前記熱電気モジュールは30までの数により構成されることを特徴とする請求項1に記載の基板サポート。
  27. 前記電流供給インタフェースは、前記基板全体にわたって動的な温度分布を与えることを特徴とする請求項1に記載の基板サポート。
JP53540797A 1996-03-29 1997-03-27 温度制御された半導体の基板ホルダ Expired - Lifetime JP4297976B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/623,713 US5740016A (en) 1996-03-29 1996-03-29 Solid state temperature controlled substrate holder
US08/623,713 1996-03-29
PCT/US1997/004960 WO1997037375A1 (en) 1996-03-29 1997-03-27 Solid state temperature controlled substrate holder

Publications (3)

Publication Number Publication Date
JP2000508119A JP2000508119A (ja) 2000-06-27
JP2000508119A5 JP2000508119A5 (ja) 2004-12-09
JP4297976B2 true JP4297976B2 (ja) 2009-07-15

Family

ID=24499133

Family Applications (1)

Application Number Title Priority Date Filing Date
JP53540797A Expired - Lifetime JP4297976B2 (ja) 1996-03-29 1997-03-27 温度制御された半導体の基板ホルダ

Country Status (5)

Country Link
US (1) US5740016A (ja)
EP (1) EP0890185B1 (ja)
JP (1) JP4297976B2 (ja)
DE (1) DE69734151T2 (ja)
WO (1) WO1997037375A1 (ja)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6058012A (en) * 1996-08-26 2000-05-02 Compaq Computer Corporation Apparatus, method and system for thermal management of an electronic system having semiconductor devices
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US5901030A (en) * 1997-12-02 1999-05-04 Dorsey Gage, Inc. Electrostatic chuck employing thermoelectric cooling
US5996353A (en) * 1998-05-21 1999-12-07 Applied Materials, Inc. Semiconductor processing system with a thermoelectric cooling/heating device
EP1154466A4 (en) * 1999-01-29 2003-08-27 Tokyo Electron Ltd PLASMA WORKING METHOD AND APPARATUS
DE19907497C2 (de) * 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6214121B1 (en) 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
JP4548626B2 (ja) * 1999-10-04 2010-09-22 株式会社小松製作所 熱電モジュールおよび熱電モジュールを用いた温度調整プレート
US6271459B1 (en) * 2000-04-26 2001-08-07 Wafermasters, Inc. Heat management in wafer processing equipment using thermoelectric device
DE10059665C1 (de) * 2000-12-01 2002-07-11 Steag Hamatech Ag Verfahren zum thermischen Behandeln von Substraten
US6508062B2 (en) 2001-01-31 2003-01-21 Applied Materials, Inc. Thermal exchanger for a wafer chuck
AU2002240261A1 (en) * 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6519157B1 (en) * 2001-10-23 2003-02-11 Nlight Photonics Corporation System and method for mounting a stack-up structure
US7276814B2 (en) * 2002-01-02 2007-10-02 Ruggedcom Inc. Environmentally hardened ethernet switch
CA2366941C (en) * 2002-01-02 2010-06-29 Marzio Paride Pozzuoli Power supply circuit for an intelligent eclectronic device
US6825681B2 (en) * 2002-07-19 2004-11-30 Delta Design, Inc. Thermal control of a DUT using a thermal control substrate
US7341673B2 (en) * 2003-08-12 2008-03-11 Lam Research Corporation Methods and apparatus for in situ substrate temperature monitoring by electromagnetic radiation emission
US6907742B2 (en) * 2002-12-19 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for controlling wafer temperature
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
KR100562705B1 (ko) * 2003-12-26 2006-03-23 삼성전자주식회사 반도체 제조 설비용 온도 조절 장치
US8028531B2 (en) * 2004-03-01 2011-10-04 GlobalFoundries, Inc. Mitigating heat in an integrated circuit
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
FR2878077B1 (fr) * 2004-11-18 2007-05-11 St Microelectronics Sa Composant electronique vertical autorefroidi
US20070283709A1 (en) * 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
JP4769752B2 (ja) * 2007-03-23 2011-09-07 トヨタ自動車株式会社 半導体装置および電動車両
US8669497B2 (en) * 2007-03-30 2014-03-11 Tokyo Electron Limited Apparatus and method for predictive temperature correction during thermal processing
US8227025B2 (en) * 2007-11-02 2012-07-24 Gvd Corporation Conductive polymer coatings and methods of forming the same
US8600543B2 (en) * 2008-11-10 2013-12-03 Kelk Ltd. Apparatus and method for controlling temperature of semiconductor wafers
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US9155772B2 (en) * 2008-12-08 2015-10-13 Philip Morris Usa Inc. Soft, chewable and orally dissolvable and/or disintegrable products
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8640714B2 (en) * 2009-11-12 2014-02-04 Philip Morris Usa Inc. Oral chewable tobacco product and method of manufacture thereof
KR101644673B1 (ko) * 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
JP5437910B2 (ja) * 2010-05-18 2014-03-12 株式会社Kelk 温調装置
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP5715444B2 (ja) * 2011-02-28 2015-05-07 東京エレクトロン株式会社 載置装置
US9673037B2 (en) 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
JP5915026B2 (ja) * 2011-08-26 2016-05-11 住友大阪セメント株式会社 温度測定用板状体及びそれを備えた温度測定装置
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
WO2013130918A1 (en) 2012-02-29 2013-09-06 Harris, Jonathan, H. Transient liquid phase, pressureless joining of aluminum nitride components
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
KR20170127724A (ko) * 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US20180366354A1 (en) * 2017-06-19 2018-12-20 Applied Materials, Inc. In-situ semiconductor processing chamber temperature apparatus
KR102091515B1 (ko) * 2018-02-22 2020-03-20 주식회사 에프에스티 정전척 및 반도체처리장치의 제어장치
JP6993297B2 (ja) * 2018-06-28 2022-01-13 株式会社日立製作所 電力変換装置
JP7316179B2 (ja) 2019-10-04 2023-07-27 東京エレクトロン株式会社 基板支持台、及びプラズマ処理装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3733887A (en) * 1972-01-31 1973-05-22 Borg Warner Method and apparatus for measuring the thermal conductivity and thermo-electric properties of solid materials
US4119403A (en) * 1977-06-15 1978-10-10 W. R. Grace & Co. Method and apparatus for temperature controlled samplers
US4320626A (en) * 1980-05-12 1982-03-23 Fogel Commercial Refrigerator Company Portable beverage chiller/warmer
US4402185A (en) * 1982-01-07 1983-09-06 Ncr Corporation Thermoelectric (peltier effect) hot/cold socket for packaged I.C. microprobing
US4491173A (en) * 1982-05-28 1985-01-01 Temptronic Corporation Rotatable inspection table
JPS59124140A (ja) * 1982-12-29 1984-07-18 Fujitsu Ltd 静電吸着装置
US4584061A (en) * 1985-01-02 1986-04-22 Shelton Robert E Vacuum desalinization device
JPH01152639A (ja) * 1987-12-10 1989-06-15 Canon Inc 吸着保持装置
ES2054357T3 (es) * 1989-05-08 1994-08-01 Philips Nv Aparato y metodo para tratar substratos planos bajo una presion reducida.
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5097207A (en) * 1989-11-03 1992-03-17 John H. Blanz Company, Inc. Temperature stable cryogenic probe station
US5198753A (en) * 1990-06-29 1993-03-30 Digital Equipment Corporation Integrated circuit test fixture and method
US5203401A (en) * 1990-06-29 1993-04-20 Digital Equipment Corporation Wet micro-channel wafer chuck and cooling method
CA2050843C (en) * 1990-09-18 1999-08-03 Kazuo Ohtsubo Noise eliminating element and electrical circuit having the same
US5088006A (en) * 1991-04-25 1992-02-11 International Business Machines Corporation Liquid film interface cooling system for semiconductor wafer processing
KR100188454B1 (ko) * 1991-05-28 1999-06-01 이노우에 아키라 기판 처리 장치
US5469708A (en) * 1994-09-23 1995-11-28 Harrison; Howard R. Water cooler

Also Published As

Publication number Publication date
US5740016A (en) 1998-04-14
DE69734151D1 (de) 2005-10-13
EP0890185A1 (en) 1999-01-13
DE69734151T2 (de) 2006-06-29
JP2000508119A (ja) 2000-06-27
WO1997037375A1 (en) 1997-10-09
EP0890185B1 (en) 2005-09-07

Similar Documents

Publication Publication Date Title
JP4297976B2 (ja) 温度制御された半導体の基板ホルダ
US10879053B2 (en) Temperature controlled substrate support assembly
US8536494B2 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6847014B1 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US9824904B2 (en) Method and apparatus for controlling spatial temperature distribution
KR101643828B1 (ko) 반도체 처리를 위한 평면형 열적 존을 갖는 열적 플레이트
CN100440422C (zh) 具有动态温度控制的基片支架
US5667622A (en) In-situ wafer temperature control apparatus for single wafer tools
JPH06244143A (ja) 処理装置
KR100459944B1 (ko) 고체온도제어기판지지대
JP3165515B2 (ja) 処理装置
KR20210040786A (ko) 기판 지지대, 및 플라스마 처리 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040329

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040329

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070918

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071214

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080805

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080930

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090204

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090305

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090319

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090415

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120424

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120424

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130424

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130424

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140424

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term