JP4157963B2 - 絶縁ゲート型電界効果トランジスタ及びその製造方法 - Google Patents

絶縁ゲート型電界効果トランジスタ及びその製造方法 Download PDF

Info

Publication number
JP4157963B2
JP4157963B2 JP2002576022A JP2002576022A JP4157963B2 JP 4157963 B2 JP4157963 B2 JP 4157963B2 JP 2002576022 A JP2002576022 A JP 2002576022A JP 2002576022 A JP2002576022 A JP 2002576022A JP 4157963 B2 JP4157963 B2 JP 4157963B2
Authority
JP
Japan
Prior art keywords
region
gate
source
oxide layer
field plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002576022A
Other languages
English (en)
Other versions
JP2004519862A (ja
Inventor
スティーヴン ティー ピーケ
レイモンド ジェイ グロヴェル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP BV
Original Assignee
NXP BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP BV filed Critical NXP BV
Publication of JP2004519862A publication Critical patent/JP2004519862A/ja
Application granted granted Critical
Publication of JP4157963B2 publication Critical patent/JP4157963B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/404Multiple field plate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7817Lateral DMOS transistors, i.e. LDMOS transistors structurally associated with at least one other device
    • H01L29/7821Lateral DMOS transistors, i.e. LDMOS transistors structurally associated with at least one other device the other device being a breakdown diode, e.g. Zener diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7824Lateral DMOS transistors, i.e. LDMOS transistors with a substrate comprising an insulating layer, e.g. SOI-LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0255Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using diodes as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0878Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Bipolar Transistors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、絶縁ゲート型電界効果トランジスタ構造体(insulated gate field effect transistor structure)に関し、更には当該構造体の製造方法にも関する。
【0002】
【従来の技術】
絶縁ゲート型電界効果トランジスタ( I G F E T ) 構造体において、ソース・ドレイン間の半導体チャネル領域は前記チャネルから絶縁されているゲートによって制御される。I G F E T 構造体は概して金属酸化膜半導体( M O S (Metal Oxide Semiconductor) 構造体として実現される。
【0003】
二重拡散MOS (DMOS (double diffused MOS ) ) 構造体が使用されてもよい。当該構造体において、ドリフト領域として知られている長い横方向の経路がドレイン拡散部とソース拡散部との間に延在する。前記ドリフト領域は、前記ゲートによって制御されるチャネル領域において、ドレイン・ソース間に印加されるいかなる高電圧も約2 0 V まで減衰させる。
【0004】
トランジスタの電圧容量を最大限にするために、前記ドリフト領域は理想的には長くなり得るし、又は低くドーピングされ得る。都合の悪いことに当該特性は、トランジスタがオンのとき、前記ドリフト領域により、比較的高い抵抗がデバイス全体にもたらされ得るということを意味し得る。これに対処するために、前記デバイスがターンオフされるとき、前記M O S F E T チャネルとソースとの間、及び前記チャネルと前記基板との間の逆バイアス接合部は電荷キャリアのドリフト領域全体を空乏化するように当該領域の厚さを選択すると共に、前記ドリフト領域を適切なドーピングプロファイルでドーピングすることが知られている。これにより、スイッチングオフされる際に高電圧が前記トランジスタの両端に印加されるとき、前記ドリフト領域において均一な電界が生成される。早期のアバランシュ降伏を開始させる、電界のピークがないため、前記降伏電圧は最大限化される。完全に空乏化されたドリフト領域(fully depleted drift region)は、 RESURF(reduced surface field)( 低表面電界) 状態にあると称される。RESURF構造において、前記デバイスがターンオフされるとき、前記ドリフト領域の全部の厚さは空乏化される。
【0005】
当該技術を使用するラテラルMOSデバイスは、フィリップスエレクトロニクスノースアメリカ社(Philips Electronics North America Corp) が有する米国特許第5 4 1 2 2 4 1 号に記載されている。前記デバイスは図1に記載されている。
【0006】
前記デバイスは、半導体基板1 0 5 上の埋め込み酸化物層1 0 3 上に形成される一つのシリコン層1 0 1 を有するシリコンオンインシュレータ( SOI(silicon on insulator) ) デバイスである。ソース 1 0 7 及びドレイン 1 0 9 の各領域は前記シリコン層に形成されると共にソースコンタクト1 0 8 及びドレインコンタクト1 1 0 に各々接続される。
【0007】
ゲート層1 1 1 は、チャネルを形成するシリコン層1 0 1 の一部の上に設けられる。当該ゲート層はゲート酸化物1 1 2 によって前記チャネルから分離されている。前記ゲート層はゲートコンタクト1 1 3 に接続されている。よく知られているように、前記ゲートはソース1 0 7 とドレイン1 0 9 との間の導電率(conduction) を制御する。
【0008】
前記チャネルと前記ドレインとの間において、ドリフト領域1 1 9 はシリコン層1 0 1 に設けられる。これにより、高電圧(例えば1 0 O V 又はそれより高い電圧) がソース・ドレイン間に印加されることが可能となる。ソース・ドレイン間に印加される高電圧は前記ドリフト領域において少なくとも部分的に降下させられてもよく、その結果前記チャネル内の電圧降下は低減されている。
【0009】
フィールドプレート領域1 1 5 はL O C O S 酸化物層1 1 4 上にゲート層1 1 1 と一体で形成され、シリコン層1 0 1 におけるドリフト領域1 1 9 上に横方向に延在する。前記デバイスがターンオフされると、前記フィールドプレート領域は前記ドリフト領域を空乏化して、その結果RESURF効果がもたらされる。
【0010】
ドリフト領域1 1 9 は、例えばウエハ表面上の他の帯電した汚染物質(charged contaminant) 又は水分によってもたらされ得る、衝突電界(impinging electric field) の影響からフィールドプレート領域1 1 5によって保護されている。電界はフィールドプレート領域1 1 5 上で消滅するであろう。
【0011】
更に、前記フィールドプレート領域は前記ゲートに接続されているため、ドリフト領域1 1 9 はトップから空乏化されていてもよい。このことは、前記デバイスが前記ドリフト領域においてむしろ可能なレベルよりも高いドーピングレベルで設計され得ることを意味している。このことは、米国特許第5 4 1 2 2 4 1 号のデバイスが低いオン抵抗(on-resistance) で構成され得ることを意味している。
【0012】
当該シリコンオンインシュレータデバイス構造体は、その構造により、ゲート・ ドレイン間容量(Cgd) の低い値と、前記デバイスをスイッチングするためにゲート及びドレインを介して供給されることが必要とされる電荷(Qgd ) とを示す。Cgd 及びQgdの当該低い値により、高速スイッチング、すなわちゲート電圧変化に対する高速応答がもたらされる。
【0013】
【発明が解決しようとする課題】
しかしながら、S O I デバイスと他のI G F E T 型デバイスとの両方において、高速スイッチングに対する必要性が残されたままである。
【0014】
I G F E T デバイスは、過電圧に対して非常に感度が高い。高すぎる電圧が前記ゲートと前記チャネルとの間にもたらされる場合、前記ゲート絶縁部は前記デバイスを降伏( ブレークダウン) させると共に破壊し得る。当該高電圧は、単にI G F E T デバイスが搭載される回路内にもたらされてもよく、又は代わりに前記電圧が静電気(electrostatic) であってもよい。従って非常に有利なことに、前記ゲートに対して好適な保護がもたらされる。これは静電破壊保護(electrostatic discharge protection)として知られている。
【0015】
【課題を解決するための手段】
本発明によれば、半導体層において横方向に間隔をおいて配置されるソース領域及びドレイン領域と、前記ソース領域と前記ドレイン領域との間の前記半導体層におけるチャネル及びドリフト領域と、前記チャネル及び前記ドリフト領域上の酸化物層と、前記チャネル上に設けられるゲート領域、前記ドリフト領域上に設けられるフィールドプレート領域、及び前記フィールドプレート領域と前記ゲート領域との間に少なくとも一つのダイオードとなる少なくとも一つのpn接合部を備えるようにドーピングされる、前記酸化物層上に設けられる上部半導体層と、前記ソース領域及び前記フィールドプレート領域を電気的に接続するソースコンタクトとを有する絶縁ゲート型電界効果トランジスタが提供される。
【0016】
従ってこのような絶縁ゲート型電界効果トランジスタにより、製造するのに都合のよい単純な構造で少なくとも一つの静電保護ダイオードがもたらされる。本発明による構造においてもたらされる前記一つのダイオード又は複数のダイオードは、ツェナー動作によって静電破壊から前記ゲートを保護する。高すぎる電圧がゲート・ソース間にもたらされる場合、前記一つのダイオード又は複数のダイオードは逆降伏( リバースブレークダウン) (reverse breakdown) となり、電流はゲート・ソース間に流れることが可能となる。
【0017】
更に前記ソース接続フィールドプレート(source connected field plate)領域 は、ゲート・ドレイン間のファラデースクリーン(Faraday screen) としての役割を果たすので、C g d と、それ故にゲート及びドレインを介して印加されることが必要とされる電荷とは低減される。これにより前記スイッチング期間は短縮される。
【0018】
対照的に、米国特許第5 4 1 2 2 4 1 号のゲート接続フィールドプレート(gate-connected field plate) 領域により、前記ドリフト領域は、前記ゲート、従って前記フィールドプレート領域にかかる好適な電圧によって空乏化され得る。当該従来技術の構成体は通常、C g d を本発明のように低減させるのではなく、増大させる。従って当該従来技術の構成体はスイッチング期間を増大させる可能性が高くなるであろう。
【0019】
前記フィールドプレート領域、ゲート電極、及びダイオードを形成する層の領域を規定するのはドーパントマスクであるため、前記上部半導体層自体を微細にパターニングする必要はない。ゲート電極に接続されていないフィ- ルドプレート領域が必要とされる場合、一見必要と思われ得る分離フィールドプレート(separated field plate) 領域及びゲート電極層が形成される必要もない。
【0020】
当該特徴により製造が簡略化される。
【0021】
前記トランジスタ構造体は、好ましくは第一の導電形のソース及びドレインを有するように形成される。前記ドリフト領域は同じ導電形であってもよいが低くドーピングされ、前記チャネルは逆の導電形の半導体領域において形成されてもよい。第一の導電形はn形であってもよい。
【0022】
前記上部半導体層のp及びn形領域は交互に配置され、好ましくは少なくとも一つのダイオードを形成する。
【0023】
少なくとも一対の背中合わせのダイオード(back-to-back diode) は、前記ゲートと前記フィールドプレート領域との間の前記上部半導体層に設けられてもよい。
【0024】
複数の背中合わせのダイオードは、降伏が発生する前にソース・ゲート間の最大電圧を増大させるために設けられてもよい。
【0025】
一見すると、ゲート・ソース間容量C g d の増大は、低減されたC g d のいかなる利点も打ち消してしまう態様となり得る。しかしながら通常このようにはならない。従来の回路構成において、ミラー効果(Miller effect)が効き始める。全入力容量Cinputは、C g s とミラー容量( C M = ( 1 + g M R L ) C g d ) との和によってもたらされる。ここでR L は負荷抵抗であり、g M はトランスコンダクタンスである。このことは、スイッチング速度がC gd によって律速され得るので本発明によるデバイスは概して改善されたスイッチング速度を有していることを意味している。
【0026】
前記ゲート電極及び前記フィールドプレート領域を含む前記上部半導体層は多結晶シリコンのような何れの従来の半導体物質からもたらされてもよい。前記フィールドプレート領域はn形又はp形でドーピングされてもよい。
【0027】
前記ドリフト領域は直線的に緩やかな勾配で変化されてもよい。すなわち前記ドリフト領域は、直線的に変化しドレインから離れる向きに減少するドーパント濃度を有していてもよい。これにより、一定の濃度のドリフト領域と比べて改善された降伏特性がもたらされ得る。
【0028】
本発明は、基板、前記基板上の埋め込み酸化物層、及び前記埋め込み酸化物層上に堆積される半導体層を備えるS O I 構造体で実現されてもよい。ソース領域、ドレイン領域、チャネル、及びドリフト領域は、前記堆積された半導体層における注入部から形成されてもよい。当該SOI構造体は、本来的により低い容量という利点をもたらす。
【0029】
前記酸化物層は、前記ドリフト領域上のL O C O S 層と、前記チャネル上のゲート酸化物層とを含んでいてもよい。
【0030】
前記ドーピング濃度は好ましくは、前記トランジスタがターンオフされるとき、前記空乏領域が前記ドリフト領域を介して広がるようにもたらされる。すなわち前記トランジスタは低表面電界( R E S U R F ) トランジスタである。前記空乏領域は前記半導体及びドレインに延在していてもよい。
【0031】
本発明は、半導体層に、ソース領域、ドレイン領域、及び、前記ソース領域とドレイン領域との間にチャネル及びドリフト領域を形成するステップと、チャネル及びドリフト領域上に酸化物層を形成するステップと、前記酸化物層上に上部半導体層を堆積するステップと、前記チャネル領域上にゲート領域、前記ドリフト領域上にフィールドプレート領域、及び前記フィールドプレート領域と前記ゲート領域との間に少なくとも一つのダイオードとなる少なくとも一つのpn接合部を形成するために、前記上部半導体層において交互のp及びn形領域を拡散するステップと、前記ソース領域及び前記フィールドプレート領域に接続されるソースコンタクトを形成するステップとを何れかの順序で含む絶縁ゲート型電界効果トランジスタの製造方法にも関する。
【0032】
従って前記方法は、一体型静電破壊保護部及びソース接続フィールドプレート領域を備える半導体トランジスタ構造体を製造する簡単な方法をもたらす。
【0033】
前記ドリフト領域上の前記酸化物層は、シリコンの局所酸化によって形成されてもよい。
【0034】
本発明の実施例は、添付図面を参照して純粋に例によって記載されるであろう。
【0035】
全ての図は概略的であり、寸法が異なることは注意されるべきである。同じ参照番号は概して異なる実施例又は変形された実施例において対応する特徴又は類似の特徴を参照するために使用されている。
【0036】
【発明の実施の形態】
図2を参照すると、ソース領域9及びドレイン領域11が、半導体基板1上の半導体層5内に形成されている。前記ソース領域とドレイン領域との間に半導体領域7及びドリフト領域13がもたらされている。LOCOS酸化物層17は、前記トランジスタの前記チャネルを形成する、半導体領域7の一部をカバーしている。LOCOS酸化物層17はドリフト領域13もカバーしている。多結晶シリコン層21はドーピングされ、半導体領域7の前記チャネル部分の上に第一の導電形で高度にドーピングされているゲート領域23を有している。多結晶シリコン層はドーピングされ、前記ドリフト領域上に同じ導電形で高度にドーピングされているフィールドプレート領域25を有している。また前記多結晶シリコン層はパターニングされ、前記フィールドプレート領域25と前記ゲート領域との間に少なくとも一つのpn接合部26を形成する交互のp形領域29及びn形領域27を有している。図に示されている例において、二対の背中合わせのpn接合部(back-to-back p-n junction)26、すなわち背中合わせのダイオードが設けられている。
【0037】
酸化物層33は前記構造体をカバーし、酸化物層33におけるコンタクトビア35を介してソース領域9、ドレイン領域11、ゲート領域23、及びフィールドプレート領域25にコンタクトが施される。ソースコンタクト39はソース領域9とフィールドプレート領域25との両方に接続され、ドレインコンタクト43はドレイン領域11に接続され、ゲートコンタクト41はゲート領域に接続される。
【0038】
使用において、ソース接続フィールドプレート領域25は、ゲート領域23とドレイン領域11との間のシールドとしての役割を果たす。当該シールドは、ゲート領域23とドレイン領域11との間の容量C g d を低減している。従って、ゲート領域23とドレイン領域11との間に所与の電圧を発生させるためにもたらされることが必要な電荷Q g d も低減される。これにより、前記デバイスのスイッチング速度が大幅に高速化され、前記デバイスの周波数特性が向上させられる。
【0039】
従来の回路構成において、ゲート領域23における入力容量は、ゲート・ソース間容量C g s とミラー容量C M = ( 1 + g M R L ) C g d との和となる。ここでR L は負荷抵抗であり、g M はトランスコンダクタンスである。このことは、スイッチング速度がC g d によって律速されるので、本発明によるデバイスが、フィールドプレート領域25とゲート領域23との間の容量によってもたらされるゲート・ソース間容量C g s のいかなる増加にもかかわらず、改善されたスイッチング速度をもたらすことを意味している。
【0040】
更に前記構造体は、ゲート領域23とソース領域に接続されたフィールドプレート領域25との間の多結晶シリコン層21における交互のp形領域29及びn形領域27から形成される背中合わせのダイオード、又はより正確には当該領域間のpn接合部26から形成される複数対の背中合わせのダイオードを有している。前記ダイオードは保護ダイオードとしての役割を果たす。示されている実施例において、前記ダイオードは各々、7V のツェナー降伏電圧(Zener breakdown voltage) を有している。従って前記二対は各々の方向に14V までサポートし得る。よって前記ゲートは14V より高い電圧から保護される。
【0041】
前記デバイスがスイッチオフされるとき、前記ソース領域に接続されたフィールドプレート領域25はドリフト領域13の空乏化をもたらしてもよい。ドリフト領域13の完全空乏化は、前記デバイスが低表面電界( R E S U R F ) を有しているので、ドリフト領域13のある部分においてアバランシュ降伏( avalanche breakdown) をもたらし得るほどの非常に高い電界がもたらされることなく、より高い電圧がソース領域9とドレイン領域 1 1 との間に印加されてもよいことを意味している。
【0042】
本発明の更なる特徴は、前記デバイスのスイッチオフを補助するために半導体基板1のバックサイド( 裏面) (backside) がソース電位でバイアスされていてもよいことにある。ドリフト領域13は空乏化され、当該空乏領域はnドレイン領域11に延在するであろう。ソース電位でバイアスする電圧を供給するための、半導体基板1に対するコネクションをもたらすためにバックサイドコンタクト(図示略) が前記基板の背面に設けられてもよい。
【0043】
開示されている構造体は、上記の高速スイッチング及び高い降伏電圧に加えて、低いオン抵抗(on-state resistance) をもたらしてもよい。
【0044】
更に既存の構造体に比べてプロセスがほとんど複雑化されずに、記載の構造体は製造され得る。実際フィールドプレート領域25がゲート領域23と同じ物質から形成される実施例において更なるマスキングステップは必要とされない。フィールドプレート領域25がゲート領域23と異なる物質から形成される実施例に対してたった一つの更なるマスキングステップが必要とされるのみである。
【0045】
当業者は評価するであろうが、本発明の変形例においてn形ドーピングされたチャネル領域と p 形のソース領域及びドレイン領域とを設けることによってp 形チャネルデバイスをもたらすことも可能である。
【0046】
シリコンオンインシュレータ( SOI) 構造体を使用する、本発明によるデバイスの第二の実施例の製造は、この場合図3を参照して記載されるであろう。
【0047】
図3aを参照すると、本発明の第二の実施例によるデバイスの製造における第一のステップは、半導体基板1 上にシリコンでなる半導体層5と埋め込み酸化物層( buried oxide layer) 3とを形成することである。これは半導体基板1上にシリコンウエハを接着させると共に前記ウエハを薄くして半導体層5を形成することによってなされる。半導体基板1及び半導体層5の面上に面同士対向して位置される酸化物層は埋め込み酸化物層3を形成する。
【0048】
代わりに、前記埋め込み層構造体を形成するための他の技術が使用されてもよい。例えば半導体層5により後続される埋め込み酸化物層3を堆積(deposit) 又は成長(grow) させることによって形成されてもよい。
【0049】
次に薄いスクリーン酸化物層(thin screen oxide layer) 12が目標の厚さ550オングストロームまで成長させられる。それから初期注入(initial implant) が行われ、前記半導体層5の上部14にバックグラウンド燐ドーピング(background phosphorous doping) がもたらされる。前記ドーピングは1 . 4 × 1 0 1 2 c m - 2 のドーズ量まで行われ、前記注入は160kevで行われる。
【0050】
それから1400オングストローム厚のL P C V D 窒化物層(nitride layer)15が、スクリーン酸化物層12上に直接堆積される。当該窒化物層は二つの機能を有している。すなわち当該窒化物層は後続するL O C O S 酸化のためのマスクをもたらすと共に、後続するnウェル( n - w e l l ) 注入のための更なるスクリーンももたらす。
【0051】
後続する堆積において、L P C V D 層はフォトリソグラフィ及びドライエッチングを使用してパターニングされる。前記ドライエッチングステップにおいて、下部のスクリーン酸化物層の、ある程度の浸食(erosion) が発生する。
【0052】
その結果、図3aに示されている構造体がもたらされる。それ故に元のスクリーン酸化物層は7:1のH F でディップエッチ(dip etch) によって除去され、新たなスクリーン酸化物層12が以前と同じ厚さまで成長させられる。
【0053】
勾配の緩やかなドリフト領域( graded drift region) 13がそれから半導体層5に形成される( 図3 b 参照) 。当該勾配の緩やかなドリフト領域13の形成は、1 9 9 4 年4 月5 日発行の米国特許第5 3 0 0 4 4 8号に記載のように行われる。当該プロセスにおいて、マスク16がパターニングされ、異なる大きさの複数の開ロ部(opening)18がもたらされる。それから前記シリコンを横方向に変化するドーパント濃度でドーピングするように燐が注入される。勾配の緩やかなドリフト領域13においてほぼ均一な勾配のドーピングレベルを得るためにアニーリング(annealing) ステップが施される。
【0054】
勾配の緩やかなドリフト領域13を薄くすると共に、前記ドリフト領域上にLOCOS酸化物層17を成長させるためにシリコン上の局所酸化( L O C O S (local oxidation on silicon) ) プロセスが施される。まず酸プレ洗浄( a c i d p r e c l e a n ) が行われ、それから露出されている半導体層5の酸化によってL O C O S 酸化物層17が成長させられる。これにより1 μm のシリコンが消費され、2 . 2 μm の酸化物が生成させられる。窒化物層15はL O C O S マスクとして機能する。
【0055】
窒化物層15はそれから、あらゆる表面の酸窒化物(oxynidtride)を除去するために、ドライエッチ(dry etch) に後続されるウェットエッチ(wet etch) によって除去される。そのときスクリーン酸化物層12は3 0 秒( 3 0 s ) のディップエッチによって除去され、その結果、図3cの構造体がもたらされる。
【0056】
L O C O S シリコン端部におけるバーズビーク(birds beak)効果のため、前記表面は、ゲート酸化に適しているこの段階ではもたらされない。従って半導体層5の表面は、45秒の7 : 1 のH F のディップエッチによって後続される犠牲酸化(sacrificial oxidation) によって除去される。
【0057】
目標の厚さ800オングストローム の薄いゲート酸化物層はそれからp 形半導体層領域7 及び前記ドリフト領域の端部の上に成長させられる。多結晶シリコン層21はそれからゲート酸化物層及びL O C O S 酸化物層17の上に11250オングストローム の厚さまで形成される( 図3 d 参照) 。
【0058】
多結晶シリコン層21はそれから注入によってn 及びp 形の交互の帯部でドーピングされる。n 形ゲート領域23はゲート酸化物層上に形成され、n - p 形フィールドプレート領域25は勾配の緩やかなドリフト領域13上に形成される。ゲート領域23とフィールドプレート領域25との間に複数の交互のストリップをなすn形領域27及びp形領域29が複数の背中合わせのダイオード31を形成している(図3 e 参照) 。
【0059】
次に2 μm厚のレジスト層32が形成され、残りの構造体は保護される一方、前記ソース領域がレジストから明らかとなるようにパターニングされる。二段階のエッチングプロセスにより、前記ソース領域上の物質は除去される。まず前記ゲート酸化物のトップまでエッチングするために垂直方向のドライエッチが施される。当該ドライエッチは、2μm のアンダカットを備えるマスクの端部の下からレジストを除去するオーバエッチ(overetch) によって後続される高ドーピング密度の半導体領域36をもたらすためにA D P 注入( A D P implant) がそれから施される( 図3 f 参照) 。前記レジストがそれから除去され、低ドーピング密度に注入された半導体領域34がもたらされる。前記低ドーピング密度注入により、前記ゲート多結晶シリコンの端部に対して自己整合(selfalign) される低ドーピングp 形注入部(lightly doped body implant) が形成される。次にゲート酸化物層19が前記ソース領域から除去される。
【0060】
p 形注入部アニールは、チャネルとなる半導体領域7を形成するために、前記ドーパント原子を前記低ドーピング注入部から多結晶シリコンゲートの下部に拡散させる。当該ステップにおいて、スクリーン酸化物層12も前記ソース領域上に成長させられる。
【0061】
フォトリソグラフィ規定マスクを使用するドライエッチプロセスにより、不要な多結晶シリコン層21が除去される。前記マスクにより特に前記ドレイン領域から多結晶シリコンが除去される。しかしながら、前記ソース領域は既にパターニングされているため、当該ステップの間に前記マスクは前記ソース領域をカバーする。スクリーン酸化物層12はそれから前記露出されたドレイン領域上に成長させられる。
【0062】
図3 h を参照すると、更なるn形拡散がそれから施され、前記ソース領域及びドレイン領域が規定される。
【0063】
知られているように、それから前記表面上に更なる酸化物層がTEOS (tetra-ethylortho-silicate) ) ( テトラエトキシシラン (tetra-ethoxy silane) としても知られている ) 酸化物層33として形成される。複数のコンタクトビア(contact via) 35がTEOS 酸化物層33内に形成される。ソースコンタクト39、ケートコンタクト41、及びドレインコンタクト43を形成するために、メタライゼイション層(metallization layer)37が前記TEOS酸化物層33上に堆積される。図4に示されているように、ソースコンタクト39ソース領域9とフィールドプレート領域25との両方に接続されるように延在する。理解され得るように、前記ソース領域9における前記コンタクトは、高ドーピング密度の半導体領域36にも接続されている。半導体基板1がバイアスされるように半導体基板1のバックサイド上に背面コンタクト45が設けられている。
【0064】
前記ダイオードは、特に静電破壊( 静電放電) ( E S D (ekectrostatic discharge) ) に対する保護に適している保護ダイオードとしての役割を果たす。
【0065】
図2の実施例の構造体によれば、ソース領域に接続されたフィールドプレート領域はゲート・ドレイン間容量C g d を低減する。当該低減効果は、シリコンオンインシュレータ(silicon on insulator) 構造体によってもたらされる本来的に低い容量よりも大きい。従って前記デバイスのスイッチング期間が短縮される。
【0066】
更に多結晶シリコン層21におけるp n 接合部26によりゲート領域23とフィールドプレート領域25との間に形成されている前記保護ダイオードによって、前記ゲートは過電圧及び静電破壊から保護される。
【0067】
このように前記構造は都合のよいことに、製造の複雑化を著しくもたらすことなく、良好なスイッチング期間と静電破壊保護との両方をもたらす。
【0068】
前記デバイスは、前記デバイスがターンオフされるときドリフト領域13は完全に空乏化されるR E S U R F 構造体としての役割を果たし得る。ドリフト領域13における前記空乏領域はドレイン領域11及び低ドーピングの半導体領域34に延在し得る。電圧は、空乏化されたドリフト領域の間で均一に降下してもよい。
【0069】
当該空乏化されたドリフト領域によって、降伏が発生する前にソース・ドレイン間により高い電圧がもたらされ得る。ドリフト領域13が、単にフィールドプレート領域25又は半導体基板1からではなく両側から空乏化されることを可能にすることによって、前記ドリフト領域のドーピング量は、むしろ前記デバイスがターンオフされるときの前記ドリフト領域の完全空乏化に適合し得るドーピング量よりも高くなってもよい。これにより今度は前記オン抵抗が低減され得る。
【0070】
前記構造体は、L O C O S 酸化物層及びゲート酸化物層上に一つの多結晶シリコン層しか必要としていない。これにより製造が容易化される。フィールドプレート領域とゲート領域との間の分離は、p及びn形ストリップ、すなわち前記拡散部によって単純に規定される。
【0071】
p n 接合部における前記空乏領域によってもたらされるC g s のいかなる増大も最小限にするために、p 形ストリップは前記接合部において、又は前記接合部の近くにおいて低くドーピングされてもよい。代わりに又は更に、n形ストリップが代わりに低くドーピングされてもよい。
【0072】
前記ソース領域近傍のp 形の半導体領域36は前記構造体の耐久性を向上し得る。更に当該領域はオーミックコンタクト(ohmic contact) としての役割を果たし得る。
【0073】
本発明は記載の実施例に限定されるものではなく、当業者は代案に容易に想到するであろう。当該代案のうちのいくつかは純粋に例示によって以下に記載されるであろう。
【0074】
p及びn形ドーピングされた前記領域は互いに置き換えられてもよい。
【0075】
本発明の代わりの実施例において、フィールドプレート領域25はゲート領域23に対して逆極性を有していてもよいし、各極性のダイオードの数が異なっていてもよい。
【0076】
前記ゲート領域及びフィールドプレート領域は酸化物から構成される必要はなく、窒化物のような他の好適な物質から構成されていてもよい。
【0077】
使用されている半導体はシリコンに限定されるものではなく、ガリウム砒素(Gallium Arsenide) 、又は他の何れかの好適な半導体であってもよい。
【0078】
前記例において、前記フィールドプレート領域と前記ゲート電極との間に多くの交互のp形領域29及びn形領域27が示されているが、更に多くの領域がもたらされてもよい。代わりに、より少ない領域しかもたらされていなくてもよい。例えばn形ゲート電極とn形フィールドプレート領域との間に形成されている単一のp型領域は、一対の背中合わせのpn接合部をもたらすであろう。
【0079】
いかなる好適な絶縁層もTEOS層の代わりに使用されてもよい。
【図面の簡単な説明】
【図1】 従来技術のR E S U R F デバイスを示している。
【図2】本発明の第一の実施例の概略図である。
【図3a 】本発明によるトランジスタ構造体の第二の実施例及びその製造態様を示している。
【図3b】本発明によるトランジスタ構造体の第二の実施例及びその製造態様を示している。
【図3c】本発明によるトランジスタ構造体の第二の実施例及びその製造態様を示している。
【図3d 】本発明によるトランジスタ構造体の第二の実施例及びその製造態様を示している。
【図3e】本発明によるトランジスタ構造体の第二の実施例及びその製造態様を示している。
【図3f】本発明によるトランジスタ構造体の第二の実施例及びその製造態様を示している。
【図3g】本発明によるトランジスタ構造体の第二の実施例及びその製造態様を示している。
【図3f】本発明によるトランジスタ構造体の第この実施例及びその製造態様を示している。
【図4】 図3のトランジスタ構造体の上面図である。
【符号の説明】
1 半導体基板
3 埋め込み酸化物層
5 半導体層
7 半導体領域
9 ソース領域
11 ドレイン領域
12 スクリーン酸化物層
13 ドリフト領域
14 上部
15 窒化物層
16 マスク
17 LOCOS酸化物層
18 開口部
19 ゲート酸化物層
21 多結晶シリコン層
23 ゲート領域
25 フィールドプレート領域
26 pn接合部
27 n形領域
29 p形領域
33 TEOS酸化物層
34 半導体領域
35 コンタクトビア
36 半導体領域
39 ソースコンタクト
41 ゲートコンタクト
43 ドレインコンタクト
45 背面コンタクト

Claims (9)

  1. 半導体層において横方向に間隔をおいて配置されるソース領域及びドレイン領域と、
    前記ソース領域と前記ドレイン領域との間の前記半導体層におけるチャネル及びドリフト領域と、
    前記チャネル及び前記ドリフト領域上の酸化物層と、
    前記チャネル上に設けられるゲート領域、前記ドリフト領域上に設けられるフィールドプレート領域、及び前記フィールドプレート領域と前記ゲート領域との間に少なくとも一つのダイオードとなる少なくとも一つのpn接合部を備えるようにドーピングされる、前記酸化物層上に設けられる上部半導体層と、
    前記ソース領域及び前記フィールドプレート領域を電気的に接続するソースコンタクトとを有する絶縁ゲート型電界効果トランジスタ。
  2. 基板と、前記基板の上及び前記半導体層の下に埋め込み酸化物層とを更に有する請求項1に記載の絶縁ゲート型電界効果トランジスタ。
  3. 前記上部半導体層が、複数の背中合わせのダイオードを形成する交互のp及びn形領域を備えるようにドーピングされる請求項1又は2に記載の絶縁ゲート型電界効果トランジスタ。
  4. 前記酸化物層が、前記ド リフト領域上のLOCOS層と、前記チャネル上のゲート酸化物層とを含む請求項1乃至3の何れか一項に記載の絶縁ゲート型電界効果トランジスタ。
  5. 前記ドリフト領域は、前記ドレイン領域に隣接する領域がより高いドーパント濃度と前記チャネルに隣接する領域がより低いドーパント濃度とを備える、横方向に変化するドーパント濃度を有する請求項1乃至4の何れか一項に記載の絶縁ゲート型電界効果トランジスタ。
  6. 前記ドリフト領域の厚さとドーピング濃度とは、前記絶縁ゲート型電界効果トランジスタがターンオフされるとき、前記ドリフト領域がその厚さと長さに渡って完全空乏化されるように設定される、請求項1乃至5の何れか一項に記載の絶縁ゲート型電界効果トランジスタ。
  7. 半導体層に、ソース領域、ドレイン領域、及び、前記ソース領域とドレイン領域との間にチャネル及びドリフト領域を形成するステップと、
    チャネル及びドリフト領域上に酸化物層を形成するステップと、
    前記酸化物層上に上部半導体層を堆積するステップと、
    前記チャネル領域上にゲート領域、前記ドリフト領域上にフィールドプレート領域、及び前記フィールドプレート領域と前記ゲート領域との間に少なくとも一つのダイオードとなる少なくとも一つのpn接合部を形成するために、前記上部半導体層において交互のp及びn形領域を拡散するステップと、
    前記ソース領域及び前記フィールドプレート領域に接続されるソースコンタクトを形成するステップと
    を何れかの順序で含む絶縁ゲート型電界効果トランジスタの製造方法
  8. 前記フィールドプレート領域と前記ゲート領域との間に少なくとも一対の背中合わせのダイオードを形成するステップを含む請求項7に記載の絶縁ゲート型電界効果トランジスタの製造方法。
  9. 前記半導体層はシリコンであり、前記シリコンの局所酸化によって前記ドリフト領域上に前記酸化物層を形成するステップを更に含む請求項7又は8に記載の絶縁ゲート型トランジスタの製造方法。
JP2002576022A 2001-03-23 2002-03-20 絶縁ゲート型電界効果トランジスタ及びその製造方法 Expired - Fee Related JP4157963B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GBGB0107408.7A GB0107408D0 (en) 2001-03-23 2001-03-23 Field effect transistor structure and method of manufacture
PCT/IB2002/000970 WO2002078091A2 (en) 2001-03-23 2002-03-20 Field effect transistor structure and method of manufacture

Publications (2)

Publication Number Publication Date
JP2004519862A JP2004519862A (ja) 2004-07-02
JP4157963B2 true JP4157963B2 (ja) 2008-10-01

Family

ID=9911482

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002576022A Expired - Fee Related JP4157963B2 (ja) 2001-03-23 2002-03-20 絶縁ゲート型電界効果トランジスタ及びその製造方法

Country Status (8)

Country Link
US (1) US6677642B2 (ja)
EP (1) EP1378009B1 (ja)
JP (1) JP4157963B2 (ja)
KR (1) KR20030005385A (ja)
AT (1) ATE375008T1 (ja)
DE (1) DE60222751T2 (ja)
GB (1) GB0107408D0 (ja)
WO (1) WO2002078091A2 (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6621138B1 (en) * 2002-10-21 2003-09-16 Micrel, Inc. Zener-like trim device in polysilicon
US7501669B2 (en) 2003-09-09 2009-03-10 Cree, Inc. Wide bandgap transistor devices with field plates
DE10345347A1 (de) * 2003-09-19 2005-04-14 Atmel Germany Gmbh Verfahren zur Herstellung eines DMOS-Transistors mit lateralem Driftregionen-Dotierstoffprofil
SE0302810D0 (sv) * 2003-10-24 2003-10-24 Infineon Technologies Ag Monolithically integrated circuit comprising a thin film resistor, and fabrication method thereof
JP4821090B2 (ja) * 2004-03-23 2011-11-24 セイコーエプソン株式会社 半導体装置の製造方法
JP4618629B2 (ja) 2004-04-21 2011-01-26 三菱電機株式会社 誘電体分離型半導体装置
US7573078B2 (en) * 2004-05-11 2009-08-11 Cree, Inc. Wide bandgap transistors with multiple field plates
US7550783B2 (en) * 2004-05-11 2009-06-23 Cree, Inc. Wide bandgap HEMTs with source connected field plates
US9773877B2 (en) 2004-05-13 2017-09-26 Cree, Inc. Wide bandgap field effect transistors with source connected field plates
US11791385B2 (en) * 2005-03-11 2023-10-17 Wolfspeed, Inc. Wide bandgap transistors with gate-source field plates
US7592211B2 (en) * 2006-01-17 2009-09-22 Cree, Inc. Methods of fabricating transistors including supported gate electrodes
US7709269B2 (en) * 2006-01-17 2010-05-04 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes
DE102006023429B4 (de) * 2006-05-18 2011-03-10 Infineon Technologies Ag ESD-Schutz-Element zur Verwendung in einem elektrischen Schaltkreis
US8823057B2 (en) 2006-11-06 2014-09-02 Cree, Inc. Semiconductor devices including implanted regions for providing low-resistance contact to buried layers and related devices
JP5105160B2 (ja) 2006-11-13 2012-12-19 クリー インコーポレイテッド トランジスタ
US7692263B2 (en) 2006-11-21 2010-04-06 Cree, Inc. High voltage GaN transistors
KR100848245B1 (ko) * 2007-06-25 2008-07-24 주식회사 동부하이텍 반도체 소자 및 그 제조방법
JP5206028B2 (ja) * 2008-03-03 2013-06-12 株式会社デンソー 半導体装置
US20100117153A1 (en) * 2008-11-07 2010-05-13 Honeywell International Inc. High voltage soi cmos device and method of manufacture
JP5748353B2 (ja) * 2011-05-13 2015-07-15 株式会社豊田中央研究所 横型半導体装置
JP5700027B2 (ja) * 2012-12-07 2015-04-15 トヨタ自動車株式会社 半導体装置
JP6221284B2 (ja) * 2013-03-19 2017-11-01 富士通セミコンダクター株式会社 半導体装置及び半導体装置の製造方法
CN103325835B (zh) * 2013-05-28 2015-10-21 电子科技大学 一种具有结型场板的soi功率ldmos器件
US9679981B2 (en) 2013-06-09 2017-06-13 Cree, Inc. Cascode structures for GaN HEMTs
US9847411B2 (en) 2013-06-09 2017-12-19 Cree, Inc. Recessed field plate transistor structures
US9755059B2 (en) 2013-06-09 2017-09-05 Cree, Inc. Cascode structures with GaN cap layers
US9236449B2 (en) * 2013-07-11 2016-01-12 Globalfoundries Inc. High voltage laterally diffused metal oxide semiconductor
US9093568B1 (en) * 2014-04-16 2015-07-28 Infineon Technologies Ag Semiconductor diode
CN104183646A (zh) * 2014-08-29 2014-12-03 电子科技大学 一种具有延伸栅结构的soi ldmos器件
CN104681621B (zh) 2015-02-15 2017-10-24 上海华虹宏力半导体制造有限公司 一种源极抬高电压使用的高压ldmos及其制造方法
CN105590960B (zh) * 2015-12-28 2018-11-23 电子科技大学 横向绝缘栅双极型晶体管
CN107680996A (zh) * 2017-09-14 2018-02-09 电子科技大学 横向功率器件
US10937872B1 (en) * 2019-08-07 2021-03-02 Vanguard International Semiconductor Corporation Semiconductor structures
CN111725071B (zh) * 2020-07-20 2021-06-18 西安电子科技大学 一种硅基结型积累层和缓冲层横向双扩散场效应晶体管及其制作方法
CN112466955B (zh) * 2020-12-04 2022-10-11 重庆邮电大学 一种具有体内导电沟道的薄层soi-ldmos器件
KR102363450B1 (ko) * 2020-12-22 2022-02-15 (주) 트리노테크놀로지 클램핑 다이오드 및 클램핑 전압을 일정하게 유지하는 종단 구조

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4810664A (en) * 1986-08-14 1989-03-07 Hewlett-Packard Company Method for making patterned implanted buried oxide transistors and structures
US4922327A (en) * 1987-12-24 1990-05-01 University Of Toronto Innovations Foundation Semiconductor LDMOS device with upper and lower passages
DE69209678T2 (de) 1991-02-01 1996-10-10 Philips Electronics Nv Halbleiteranordnung für Hochspannungsverwendung und Verfahren zur Herstellung
US5246870A (en) 1991-02-01 1993-09-21 North American Philips Corporation Method for making an improved high voltage thin film transistor having a linear doping profile
JP2906749B2 (ja) * 1991-07-11 1999-06-21 日産自動車株式会社 半導体装置のゲート保護装置
DE69225552T2 (de) * 1991-10-15 1999-01-07 Texas Instruments Inc Lateraler doppel-diffundierter MOS-Transistor und Verfahren zu seiner Herstellung
JPH07326743A (ja) * 1994-05-31 1995-12-12 Fuji Electric Co Ltd プレーナ型半導体素子
KR100225411B1 (ko) * 1997-03-24 1999-10-15 김덕중 LDMOS(a lateral double-diffused MOS) 트랜지스터 소자 및 그의 제조 방법
JP3315356B2 (ja) * 1997-10-15 2002-08-19 株式会社東芝 高耐圧半導体装置
KR100275758B1 (ko) * 1998-12-17 2001-02-01 김덕중 제너 다이오드를 내장한 수평형 모스 게이트형 반도체 소자 및그 제조 방법

Also Published As

Publication number Publication date
EP1378009A2 (en) 2004-01-07
GB0107408D0 (en) 2001-05-16
DE60222751D1 (de) 2007-11-15
DE60222751T2 (de) 2008-07-17
ATE375008T1 (de) 2007-10-15
WO2002078091A3 (en) 2003-05-30
EP1378009B1 (en) 2007-10-03
US6677642B2 (en) 2004-01-13
WO2002078091A2 (en) 2002-10-03
KR20030005385A (ko) 2003-01-17
JP2004519862A (ja) 2004-07-02
US20020137318A1 (en) 2002-09-26

Similar Documents

Publication Publication Date Title
JP4157963B2 (ja) 絶縁ゲート型電界効果トランジスタ及びその製造方法
US5597765A (en) Method for making termination structure for power MOSFET
US5304827A (en) Performance lateral double-diffused MOS transistor
US6091086A (en) Reverse blocking IGBT
US7417266B1 (en) MOSFET having a JFET embedded as a body diode
US6876035B2 (en) High voltage N-LDMOS transistors having shallow trench isolation region
EP0562271B1 (en) High voltage structure with oxide isolated source and resurf drift region in bulk silicon
US7033892B2 (en) Trench power MOSFET in silicon carbide and method of making the same
EP1340263B1 (en) Trench-gate field-effect transistors and their manufacture
US6468837B1 (en) Reduced surface field device having an extended field plate and method for forming the same
US5382536A (en) Method of fabricating lateral DMOS structure
US6664593B2 (en) Field effect transistor structure and method of manufacture
KR20100036978A (ko) 트랜지스터-타입 보호 장치, 반도체 집적 회로, 및 그의 제조 방법
US7074681B2 (en) Semiconductor component and method of manufacturing
JPH09503626A (ja) 高いラッチアップ耐性を備えた炭化ケイ素ベースのmis構造
US6420767B1 (en) Capacitively coupled DTMOS on SOI
US6359298B1 (en) Capacitively coupled DTMOS on SOI for multiple devices
US20020185695A1 (en) Lateral DMOS structure with lateral extension structure for reduced charge trapping in gate oxide
KR19990069332A (ko) 트랜치 게이트형 전력용 모스 소자 및 그 제조방법
US20040061170A1 (en) Reverse blocking IGBT
US6727527B1 (en) Reverse blocking IGBT

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20041221

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050317

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070524

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070529

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20070629

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070829

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071001

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080421

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080502

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080527

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080625

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20080605

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110725

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees