JP3789138B2 - 有機極性溶媒を含有するフォトレジスト組成物中の混入金属イオンをイオン交換により低減する方法 - Google Patents

有機極性溶媒を含有するフォトレジスト組成物中の混入金属イオンをイオン交換により低減する方法 Download PDF

Info

Publication number
JP3789138B2
JP3789138B2 JP52731098A JP52731098A JP3789138B2 JP 3789138 B2 JP3789138 B2 JP 3789138B2 JP 52731098 A JP52731098 A JP 52731098A JP 52731098 A JP52731098 A JP 52731098A JP 3789138 B2 JP3789138 B2 JP 3789138B2
Authority
JP
Japan
Prior art keywords
exchange resin
photoresist composition
photoresist
ppb
washing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP52731098A
Other languages
English (en)
Other versions
JP2001506375A (ja
JP2001506375A5 (ja
Inventor
ラーマン・エム・ダリル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AZ Electronic Materials Japan Co Ltd
Original Assignee
AZ Electronic Materials Japan Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AZ Electronic Materials Japan Co Ltd filed Critical AZ Electronic Materials Japan Co Ltd
Publication of JP2001506375A publication Critical patent/JP2001506375A/ja
Publication of JP2001506375A5 publication Critical patent/JP2001506375A5/ja
Application granted granted Critical
Publication of JP3789138B2 publication Critical patent/JP3789138B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

発明の背景
本発明は、金属イオンレベルが非常に低いフォトレジスト組成物を製造する方法に関する。本発明は、このような感光性フォトレジスト組成物を基体にコーティングし、画像形成しそして現像する方法に関する。
フォトレジスト組成物は、小型電子部品の作製、例えばコンピューターチップおよび集積回路の製作のためのマイクロリソグラフィ工程に使用される。一般に、これらの工程では、フォトレジスト組成物の膜の薄いコーティングが、最初に集積回路の製作に使用されるシリコンウエハーのような基体材料に適用される。このコーティングされた基体は、次いでフォトレジスト組成物中の全ての溶媒を蒸発させ、そしてコーティングを基体上に固着するためにベーキングされる。このベーキングされた基体のコーティングされた表面は、次いで放射線で像様露光される。
この放射線露光は、コーティングされた表面の露光された領域において化学的転化を起こす。可視光、紫外(UV)光、電子線およびX-線放射エネルギーが、現在マイクロリソグラフィ工程において一般に使用される放射線である。この像様露光の後に、基体のコーティングされた表面の放射線露光領域または非露光領域のどちらかを溶解し、そして除去するために、コーティングされた基体は現像剤溶液で処理される。
長い間高密度集積回路およびコンピューターチップの作製において金属混入が問題となっており、これはしばしば欠陥の増加、収率の損失、減成および性能の低下につながる。プラズマ工程では、金属、例えばナトリウムおよび鉄がフォトレジスト中に存在する場合、それらは特にプラズマ除去の際に汚染の原因となる。しかしながら、これらの問題は、例えば高温アニールサイクルの際に混入物のHClゲッタリングを適用することによって作製工程の際に相当量克服することができる。
半導体デバイスはより複雑化しているので、これらの問題を克服することがより困難になっている。液状ポジ型フォトレジストでシリコンウエハーをコーティングし、引き続いて例えば酸素マイクロ波プラズマで除去した場合には、半導体デバイスの性能および安定性はしばしば減少する。プラズマ除去工程を繰り返すので、デバイスのさらなる減成がしばしば生ずる。このような問題の第一の原因は、フォトレジスト中への金属イオン,特にナトリウムおよび鉄イオンの混入にあると認められる。フォトレジスト中の1.0PPM未満の金属レベルがこのような半導体デバイスの特性に悪影響を及ぼすことが見出された。
ノボラック樹脂は液状フォトレジスト調製物中に頻繁に使用される膜形成性ポリマー性バインダーである。これらの樹脂は代表的には酸性触媒、例えばシュウ酸の存在下にホルムアルデヒドおよび一種またはそれ以上の多置換フェノール類とを縮合反応させることによって製造される。
フォトレジスト組成物にはネガ型とポジ型の二種類がある。ネガ型フォトレジスト組成物が放射線に像様露光された場合には、レジスト組成物の放射線で露光された領域が、現像溶液に対して溶解性が低くなり(例えば、架橋反応が起こるため)、一方でフォトレジストコーティングの非露光領域は、そのような溶液に対して比較的溶解性を保つ。従って、露光されたネガ型レジストを現像剤で処理することにより、フォトレジストコーティングの非露光領域が除去され、そしてコーティングにネガ型画像が作られる。これによって、その上にフォトレジスト組成物が付着していた、下の基体表面の所望の部分が裸出する。
一方で、ポジ型フォトレジスト組成物を、放射線に像様露光した場合には、放射線に露光されたフォトレジスト組成物の領域は、現像溶液に対して溶解性が高くなり(例えば、転位反応が起こるため)、一方で非露光領域は、現像溶液に比較的不溶性のままで残る。従って、露光されたポジ型フォトレジストを現像剤で処理することにより、コーティングの露光された領域が除去され、そしてフォトレジストコーティングにポジ型画像が作られる。ここでも、その下にある基体表面の所望の部分が裸出する。
この現像操作の後に、今や部分的に保護されていない基体を、基体エッチング溶液またはプラズマガスなどで処理することができる。このエッチング溶液またはプラズマガスは、現像の際にフォトレジストコーティングが取り除かれた基体の領域をエッチングする。フォトレジストコーティングが依然として残る基体の領域は保護され、従って放射線の像様露光に使用されたフォトマスクに対応するエッチングパターンが基体材料上に形成される。その後、フォトレジストコーティングの残った領域を剥離操作の際に取り除くことができ、クリーンなエッチングされた基体表面が残る。いくつかの場合には、現像段階の後そしてエッチング段階の前に、下にある基体への接着およびそのエッチング溶液に対する抵抗性を改善するために、残ったフォトレジスト層を熱処理することが好ましい。
ポジ型フォトレジスト組成物は、一般に良好な解像能力およびパターン転写特性を有しているので、現在ネガ型レジストよりも好まれている。フォトレジスト解像度は、露光および現像の後にレジスト組成物がフォトマスクから基体へ高度のイメージエッジアキュイティーで転写することができる最も小さなフィーチャーとして定義される。今日の多くの製造において、1ミクロン未満のオーダーのレジスト解像度が必要である。さらに、現像されたフォトレジスト壁面が基体に対してほぼ垂直であることも常に望まれる。このようなレジストコーティングの現像および非現像領域の間の境界は、マスクイメージの正確なパターン転写を基体上に転写する。
発明の要約
本発明は、非常に低レベルの金属イオンを含有するフォトレジスト組成物を製造する方法および半導体デバイスの製造にそのようなフォトレジストを使用する方法に関する。本発明による方法は、膜形成性樹脂、例えばヒドロキシスチレンポリマーまたは溶媒中で酸性触媒の存在下にメチルフェノールとホルムアルデヒドの混合物を縮合することにより得られるノボラック樹脂を使用する。縮合の後に、膜形成性樹脂、例えばノボラック樹脂は、不純物、例えば水、未反応の反応物、オリゴマーおよびモノマーを取り除くために例えば蒸留工程により単離される。特定の量の膜形成性樹脂および感光性化合物が好適なフォトレジスト溶媒中で混合され、フォトレジスト組成物が製造される。他の好適な溶媒、例えば有機極性溶媒または有機極性溶媒を含有する溶媒の混合物がフォトレジスト組成物に添加される。次いで混入金属イオンを取り除くために、この混合物を予備洗浄したイオン交換樹脂に流す。次いで脱イオン化された混合物は、極性溶媒または極性溶媒を含有する溶媒混合物を取り除くために処理、好ましくは蒸留される。
得られたフォトレジスト組成物は、非常に低レベルの金属イオン、例えば鉄、ナトリウム、カリウム、カルシウム、マグネシウム、銅および亜鉛を有している。金属イオン全体のレベルは、好ましくは100PPB未満、特に好ましくは50PPB未満、殊に好ましくは30PPB未満、さらに好ましくは20PPB未満である。ナトリウムおよび鉄は、最も一般的な混入金属イオンであり、検出するのが最も容易である。これらの金属イオンレベルは、その他の金属イオンレベルのインジケーターとして用いられる。
本発明は、金属イオンが非常に低レベルであるフォトレジスト組成物を製造する方法を提供する。ある態様では、この方法は、極性および非極性溶媒の混合物中に溶解させたフォトレジスト組成物を精製するために酸性イオン(カチオン)交換樹脂を用い、そして好ましい態様では、カチオン交換樹脂に続いて、アニオン交換樹脂を使用して同じ溶液を精製し、そして例えば低温減圧蒸留により極性溶媒または溶媒の混合物を取り除く。本発明による方法は、
a)酸性イオン交換樹脂を水、好ましくは脱イオン水で洗浄し、引き続いて鉱酸溶液(例えば硫酸、硝酸または塩酸の5〜98%溶液)で洗浄し、次いで再びDI水で洗浄し、それによってイオン交換樹脂中のナトリウムおよび鉄イオンの全体のレベルを、それぞれ最終流出物の18メガオームの導電率に相当する100PPB未満、好ましくは50PPB未満、特に好ましくは20PPB以下に低減すること;
b)アニオン交換樹脂をDI水で洗浄し、引き続いて鉱酸溶液(例えば硫酸、硝酸または塩酸の5〜98%溶液)で洗浄し、次いで再びDI水で洗浄し、次いで好ましくは4〜28%の電子部品用品質の水酸化アンモニウム溶液で洗浄し、次いで再びDI水で洗浄し、それによってアニオン交換樹脂中のナトリウムおよび鉄イオンのレベルを、それぞれ最終流出物の18メガオームの導電率に相当する100PPB未満、好ましくは50PPB未満、特に好ましくは20PPB以下に低減すること;
c)感光剤および膜形成性樹脂をフォトレジスト溶媒中に溶解し、そしてそれによってフォトレジスト組成物を調製すること;
d)段階c)のフォトレジスト組成物に極性溶媒または極性および非極性溶媒の混合物を添加すること;
e)段階d)のフォトレジスト組成物を、段階a)のカチオン交換樹脂に流し、次いでこのフォトレジスト組成物を、段階b)のアニオン交換樹脂に流し、そしてそれによってこのフォトレジスト組成物中のナトリウムおよび鉄イオンのレベルを、それぞれ100PPB未満、好ましくは50PPB未満、特に好ましくは25PPB未満、殊に好ましくは10PPB未満に低減すること;
f)例えば蒸留、好ましくは低温減圧蒸留により段階c)のフォトレジスト組成物から極性溶媒または非極性および極性溶媒の混合物を取り除き、引き続いてフォトレジスト溶媒のレベルを調整し、それによってそれぞれ100PPB未満、好ましくは50PPB未満、特に好ましくは25PPB未満、殊に好ましくは10PPB未満のナトリウムおよび鉄イオンのレベルを有するフォトレジスト組成物を調製すること;
を包含する。
さらに、本発明は、ポジ型フォトレジスト組成物で好適な基体をコーティングして基体にフォトイメージを製造することによって半導体デバイスを製造する方法において、
a)上記の工程によるフォトレジスト組成物を調製すること;
b)好適な基体に段階a)のフォトレジスト組成物をコーティングすること;
c)実質的に全ての溶媒が取り除かれるまで段階b)のコーティングされた基体を熱処理すること;感光性組成物を像様露光し、そしてこの組成物の像様露光された領域を好適な現像剤、例えば水性アルカリ性現像剤で取り除くこと;
による上記方法を提供する。場合によっては、除去段階の直前または後のいずれかにおいて基体をベーキングしてもよい。
1)このフォトレジスト組成物を有機極性溶媒または有機極性溶媒を含有する溶媒混合物と混合すること;2)カチオン交換樹脂およびアニオン交換樹脂を上記したように洗浄しそして処理すること;そして3)続いてカチオン交換樹脂およびアニオン交換樹脂の両方を、フォトレジストが溶解している溶媒または溶媒類と相溶性である溶媒で洗浄することをしない限り、非常に低レベルの金属イオンを提供するために、金属イオンを液状フォトレジスト組成物から取り除くことができないことが見出された。意外にも、フォトレジスト溶媒、例えばプロピレングリコールメチルエーテルアセテート(PGMEA)に溶解したフォトレジストに、有機極性溶媒、例えばC1-C3-アルキルアルコール(例えば、メタノール、エタノール)、アセトン、テトラヒドロフラン(THF)またはその混合物を添加することにより、非常に低レベルの混入金属イオンしか含有しないフォトレジスト組成物を提供するために、金属イオンの除去が非常に容易になることが見出された。
好ましい態様の詳細な説明
酸性(カチオン性)イオン交換樹脂、例えばスチレン/ジビニルベンゼンカチオン交換樹脂が本方法に使用される。イオン交換樹脂は、Rohm and Haas社から入手することができ、例えばAMBERLYST(登録商標)15カチオン交換樹脂、AMBERLYST(登録商標)21アニオン交換樹脂またはIRC(登録商標)718アニオン交換樹脂がある。これらの樹脂は、代表的には80,000〜200,000PPBものナトリウムおよび鉄イオンを含有する。
本発明は、フォトレジスト組成物を製造する方法およびそのようなフォトレジスト組成物を使用して半導体デバイスを製造する方法を提供する。このフォトレジスト組成物は、感光剤、膜形成性ノボラック樹脂および好適なフォトレジスト溶媒の混合物を調製することによって形成される。このようなフォトレジストのための好適な溶媒は、プロピレングリコールモノアルキルエーテル、プロピレングリコールアルキル(例えばメチル)エーテルアセテート、エチル−3−エトキシプロピオネート、エチルラクテート、エチル−3−エトキシプロピオネートとエチルラクテートの混合物、2−ヘプタノン、ブチルアセテート、キシレン、ダイグライム、エチレングリコールモノエチルエーテルアセテートを包含する。好ましい溶媒は、プロピレングリコールメチルエーテルアセテート(PGMEA)、エチルラクテートおよびエチル−3−エトキシプロピオネート(EEP)である。
フォトレジスト組成物を基体上にコーティングする前に、その他の任意の成分、例えば着色剤、染料、アンチストライエーション剤(antistriation agent)、レベリング剤、可塑剤、接着促進剤、速度増加剤(speed enhancer)、溶媒および非イオン性界面活性剤のような界面活性剤を、膜形成性樹脂、増感剤およびフォトレジスト溶媒の溶液に添加してもよい。本発明のフォトレジスト組成物とともに使用してもよい染料添加剤の例は、PHSおよび増感剤の合計重量を基準として、1〜10重量%レベルのMethyl Violet 2B(C.I. No. 42535)、Crystal Violet(C.I. 42555)、Malachite Green(C.I. No. 42000)、Victoria Blue B(C.I. No. 44045)およびNeutral Red(C.I. No. 50040)を包含する。この染料添加剤により、基体を離れた光の後方散乱が抑制され解像度が向上する。
アンチストライエーション剤は、ノボラックおよび増感剤の合計重量を基準として約5重量%レベルまでで使用することができる。使用することのできる可塑剤は、例えばノボラックおよび増感剤の合計重量を基準として、約1〜10重量%レベルのリン酸トリ−(β−クロロエチル)−エステル、ステアリン酸、ジカンファー(dicamphor)、ポリプロピレン、アセタール樹脂、フェノキシ樹脂およびアルキル樹脂を包含する。可塑剤添加物は、材料のコーティング特性を改善し、そして基体への平坦で均一な厚さの膜の適用を可能にする。
使用することのできる接着促進剤は、例えば膜形成性樹脂および増感剤の合計重量を基準として、約4重量%レベルまでのβ-(3,4-エポキシ-シクロヘキシル)-エチルトリメトキシシラン、p-メチル-ジシラン-メチルメタクリレート、ビニルトリクロロシラン、およびγ-アミノ-プロピルトリエトキシシランを包含する。使用することのできる現像速度増加剤は、例えばノボラックおよび増感剤の合計重量を基準として、約20重量%レベルまでのピクリン酸、ニコチン酸またはニトロケイ皮酸を包含する。これらの増加剤は、露光および非露光領域の両方においてフォトレジストコーティングの溶解性を上昇させる傾向があり、従ってコントラストがある程度犠牲にされたとしても現像の速度が優先して考慮される場合に使用される。すなわち、フォトレジストコーティングの露光された領域が、現像剤によってより早く溶解するが、この速度増加剤は、非露光領域からのフォトレジストコーティングのより大きな損失の原因にもなる。
溶媒は、組成物中の固形分の95重量%までの量で全ての組成物中に存在することができる。当然、溶媒は、フォトレジスト溶液の基体へのコーティングおよび乾燥の後に実質的に除去される。使用することのできる非イオン性界面活性剤は、例えばノボラックおよび増感剤の合計重量を基準として約10重量%レベルまでのノニルフェノキシポリ(エチレンオキシ)エタノール、オクチルフェノキシエタノールを包含する。
製造されたフォトレジスト溶液は、浸漬(dipping)、噴霧、遠心除滴(whirling)およびスピンコーティングを含むフォトレジスト技術に慣用のいずれの方法によっても基体に適用することができる。スピンコーティングの場合には、例えばレジスト溶液は、スピン工程に利用されるスピン装置の種類および許容される時間に応じて所望される厚さのコーティングを提供するために、固形分含有量の割合を調整される。好適な基体は、珪素、アルミニウム、ポリマー性樹脂、二酸化珪素、ドープした二酸化珪素、窒化珪素、タンタル、銅、ポリシリコン、セラミックス、アルミニウム/銅混合物、ヒ化ガリウムおよびその他の第III/V群の化合物を包含する。
上記した手法により製造されたフォトレジストコーティングは、マイクロプロセッサおよびその他の小型集積回路成分の製造に利用されるような熟成長した珪素/二酸化珪素コーティングウエハーへの適用に特に好適である。アルミニウム/酸化アルミニウムウエハーも使用することができる。この基体は、種々のポリマー性樹脂、特にポリエステルのような透明なポリマーを含有していてもよい。基体は、ヘキサ−アルキルジシラザンを含有するもののような、好適な組成物の接着性が促進された層を有していてもよい。
次いで、フォトレジスト組成物溶液は、基体上にコーティングされ、そしてこの基体は、約70℃〜約110℃で約30秒〜約180秒間ホットプレートで、または約15〜約90分間対流オーブンで処理される。この熱処理は、フォトレジスト中の残留溶媒の濃度を減少させかつその際感光剤の実質的な熱劣化の原因とならないように選択される。一般に、溶媒の濃度を最小にすることが望まれ、そしてこの最初の熱処理は、実質的に全ての溶媒が蒸発するまで行われ、そしてフォトレジスト組成物の薄いコーティングが、1ミクロンのオーダーの厚さで基体に残留する。好ましい態様では、温度は、約85℃〜約95℃である。この処理は、溶媒除去の変化の割合が比較的小さくなるまで行われる。この温度と時間の選択は、ユーザーに望まれるフォトレジスト特性、並びに使用される装置および工業的に望まれるコーティング時間に左右される。次いで、コーティングした基体を、光化学線、例えば紫外線に、約300nm〜約450nmの波長で、x-線、電子線、イオンビームまたはレーザー照射に、好適なマスク、ネガ、ステンシル、テンプレートなどを使用して得られる所望のパターンで露光することができる。
次いで任意にこのフォトレジストを、現像の前または後のいずれかに、露光後第二ベーキングまたは熱処理することができる。この加熱温度は、約90℃〜約120℃、好ましくは約100℃〜約110℃の範囲であることができる。この加熱は、約30秒〜約2分間、好ましくは約60秒〜約90秒間ホットプレートで、または約30〜約45分間対流オーブンで行われる。
露光されたフォトレジストコーティングした基体は、アルカリ現像溶液に浸すことによって、像様露光した領域を取り除くために現像されるか、またはスプレー現像法によって現像される。この溶液は、好ましくは例えば窒素噴出撹拌により撹拌される。全てまたは実質的に全てのフォトレジストコーティングが露光領域から溶解されるまで、この基体を現像剤中に存在させる。現像剤は、アンモニウムまたはアルカリ金属水酸化物の水溶液を含んでいてもよい。好ましい水酸化物は、テトラメチルアンモニウムヒドロキシドである。現像溶液からコーティングしたウエハーを取り除いた後に、コーティングの接着およびエッチング溶液およびその他の物質に対する耐薬品性を向上させるために、任意に現像後熱処理またはベーキングを行ってもよい。この現像後熱処理は、コーティングの軟化点未満でのコーティングおよび基体のオーブンベーキングを含んでいてもよい。工業的用途、特に珪素/二酸化珪素タイプの基体への小型回路装置の製造において、現像した基体は、緩衝されたフッ化水素酸ベースのエッチング溶液で処理することができる。本発明のフォトレジスト組成物は、酸ベースのエッチング溶液に対して耐性があり、そして露光されていない基体のフォトレジストコーティング領域を効果的に保護する。
以下の特定の実施例により、本発明の組成物の製造および使用方法を詳細に説明する。しかしながら、これらの実施例は、本発明の範囲をどのようにも制限または限定するものではなく、本発明を実施するために使用しなければならない条件、パラメータまたは値として解釈されるべきではない。定義しない限り、全ての部および百分率は重量に基づいており、そして全ての分子量は重量平均である。
実施例1
55グラムの乾燥AMBERLYST(登録商標)15アニオン交換樹脂(A-15)ビーズを三角フラスコ中に置き、そして脱イオン(DI)水を添加して全ての樹脂ビーズを水面下にした。このフラスコを密閉し、そして樹脂ビーズが膨潤するように一晩放置した。翌朝、水をデカントし、樹脂ビーズを覆うためにDI水を添加し、そしてフラスコをゆっくりと振とうした。再び、水をデカントした。DI水での洗浄およびデカント段階をさらに3回繰り返した。多孔質ディスクおよび栓を備えたガラスカラムに、この結果得られるイオン交換樹脂のスラリーを注いだ。この樹脂を底に沈め、そして25分間脱イオン水でカラムをバックフラッシュした。再び、樹脂を底に沈めた。
床長を測定し、床容量を100mlとした。約10ml/分の速度で樹脂床を通して10%の硫酸溶液を流した。樹脂床を通して6床容量の酸溶液を流した。次いで、ほぼ同じ流速で樹脂床を通して60床容量の脱イオン水を流した。pH6の新しい脱イオン水と対等であることを確実にするために、流出水のpHを測定した。カラムを通して2床容量の電子部品用品質のアセトンを流した。
80グラムの湿潤したAMBERLYST(登録商標)21アニオン交換樹脂(A-21)ビーズを三角フラスコ中に置き、そしてDI水を添加して全ての樹脂ビーズを水面下にした。このフラスコを密閉し、そして樹脂ビーズが膨潤するように一晩放置した。翌朝、水をデカントし、樹脂ビーズを覆うためにDI水を添加し、そしてフラスコをゆっくりと振とうした。再び、水をデカントした。DI水での洗浄およびデカント段階をさらに3回繰り返した。多孔質ディスクおよび栓を備えた直径を有するガラスカラムに、この結果得られるアニオン交換樹脂のスラリーを注いだ。この樹脂を底に沈め、そして25分間脱イオン水でカラムをバックフラッシュした。再び、樹脂を底に沈めた。
床長を測定し、床容量は125mlと計算された。同じ速度でアニオン交換樹脂カラムを通して水酸化アンモニウム溶液(6%、6床容量)を流し、続いて水酸化アンモニウムを取り除くために約60床容量のDI水を流した。pH6の新しい脱イオン水と等しいことを確認するために、流出水のpHを測定した。実質的に水を取り除くために、アニオン交換樹脂カラムを通して2床容量の電子部品用品質のアセトンを流した。
PGMEA溶液中のフォトレジスト(AZ Photoresist Products, Hoechst Celanese Corp.から得られるAZ(登録商標)7511フォトレジスト)を、等量の電子部品用品質のアセトンと混合し、そして10分の滞留時間で予備洗浄されたAMBERLYST(登録商標)15カチオン交換カラムを通してこの混合物を流し、続いて20分の滞留時間で予備洗浄されたAMBERLYST(登録商標)21アニオン交換樹脂カラムを通して同じ混合物を流した。次いで、アセトンを60℃の温度および20mmHgの減圧で蒸留して、PGMEA中に溶解したフォトレジストを得た。この工程の前、最中および後のPPBで示した金属イオン試験の結果を以下の表1に示す。
Figure 0003789138
実施例2
実施例1のフォトレジストを、ヘキサメチルジシラザン(HMDS)で下塗りしたシリコンウエハー上に1.29mmの厚さでコーティングし、そして110℃で60秒間SVG(登録商標)8100 I-線ホットプレート上でソフトベーキングした。未処理の参考サンプルも同一の手段で1.29mmの厚さでコーティングした。0.54NA NIKON(登録商標)i-線ステッパーおよびNIKON(登録商標)解像レチクルを使用して、コーティングされたウエハーに露光マトリックスを印刷した。露光したウエハーを110℃で60秒間インラインホットプレートで露光後ベーキング(PEB)した。次いで、AZ(登録商標)300MIF 2.38%テトラメチルアンモニウムヒドロキシド(TMAH)現像剤を使用してこのウエハーを現像した。HITACHI(登録商標)S-400走査電子顕微鏡(SEM)を使用して現像したウエハーを試験した。ノミナル線量(ドーズトゥープリント、DTP)をベストフォーカスで測定し、この線量は与えられたフィーチャーを正確に複製するために必要とされる線量である。DTP、解像度(RES.)および焦点寛容度(FL)をミクロンで測定し、以下の表2に示す。
Figure 0003789138
実施例3
PGMEA中に溶解した502.56gのAZ(登録商標)7710フォトレジスト(AZ Photoresist Products, Hoechst Celanese Corp.から得られる)をビーカー中に配置して使用して、実施例1の手段を繰り返した。250gの電子部品用品質のアセトンを添加し、混合物を攪拌し、次いで実施例2の手段に従って洗浄したAMBERLYST(登録商標)15カラムを通して流し、続いて実施例2の手段に従って洗浄したAMBERLYST(登録商標)21カラムを通してフォトレジストを流すが、滞留時間は12分とした。フォトレジスト組成物を得るためにアセトンを蒸留して取り除いた。各処理の前と後の金属イオン分析結果を以下の表3に示す。
Figure 0003789138
実施例4
110℃で60秒間SVG 8100 I-線ホットプレート上でのソフトベーキングを使用して、実施例2のフォトレジスト組成物を、HMDSで下塗りしたシリコンウエハー上に1.29mmの厚さでコーティングした。未処理の参考サンプルも同一の手段で1.29mmの厚さでコーティングした。0.54NA NIKON(登録商標)i-線ステッパーおよびNIKON(登録商標)解像レチクルを使用して、コーティングされたウエハーに露光マトリックスを印刷した。露光したウエハーを110℃で60秒間インラインホットプレートでPEBした。次いで、AZ(登録商標)300MIF TMAH現像剤を使用してこのウエハーを現像した。HITACHI S-400 SEMを使用して現像したウエハーを試験した。ノミナル線量(ドーズトゥープリント、DTP)をベストフォーカスで測定し、この線量は与えられたフィーチャーを正確に複製するために必要とされる線量である。DTP、解像度および焦点寛容度(FL)をミクロンで測定し、以下の表4に示す。
Figure 0003789138
実施例5
1062.95gのAZ(登録商標)7710フォトレジストをビーカー中に配置して使用して、実施例1の手段を繰り返した。533.52gの電子部品用品質のアセトンを添加し、攪拌し、次いでフィルターを通して実施例2の手段に従って洗浄したAMBERLYST(登録商標)15カチオン交換樹脂カラムを通して流し、続いて実施例2の手段に従ってAMBERLYST(登録商標)21カラムの代わりに洗浄したIRC(登録商標)-718アニオン交換カラムを通してフォトレジストを流すが、滞留時間は12分とした。フォトレジスト組成物を得るためにアセトンを蒸留して取り除いた。各処理の前と後の金属イオン分析結果を以下の表5に示す。
Figure 0003789138
実施例6
110℃で60秒間SVG(登録商標)8100 I-線ホットプレート上でのソフトベーキングを使用して、実施例2のフォトレジストを、HMDSで下塗りしたシリコンウエハー上に1.29mmの厚さでコーティングした。未処理の参考サンプルも同一の手段で1.29mmの厚さでコーティングした。0.54NA NIKON(登録商標)i-線ステッパーおよびNIKON(登録商標)解像レチクルを使用して、コーティングされたウエハーに露光マトリックスを印刷した。露光したウエハーを110℃で60秒間インラインホットプレートでPEBした。次いで、AZ(登録商標)300MIF TMAH現像剤を使用してこのウエハーを現像した。HITACHI(登録商標)S-400 SEMを使用して現像したウエハーを試験した。ノミナル線量(ドーズトゥープリント、DTP)をベストフォーカスで測定し、この線量は与えられたフィーチャーを正確に複製するために必要とされる線量である。DTP、解像度および焦点寛容度(FL)をミクロンで測定し、以下の表6に示す。
Figure 0003789138

Claims (10)

  1. フォトレジストを製造する方法において、
    a)カチオン交換樹脂を水で洗浄し、上記カチオン交換樹脂を鉱酸溶液で洗浄し、次いで上記カチオン交換樹脂を再び水で洗浄し、それによってカチオン交換樹脂中のナトリウムおよび鉄イオンのレベルを、100PPB未満に低減すること;
    b)アニオン交換樹脂を水で洗浄し、上記アニオン交換樹脂を鉱酸溶液で洗浄し、次いで上記アニオン交換樹脂を再び水で洗浄し、上記アニオン交換樹脂を水酸化アンモニウムで洗浄し、次いで再び水で洗浄し、それによってナトリウムおよび鉄イオン全体を、100PPB未満に低減すること;
    c)感光剤および膜形成性樹脂をフォトレジスト溶媒中に溶解し、そしてそれによってフォトレジスト組成物を調製すること;
    d)段階c)のフォトレジスト組成物に、C1-C3-アルキルアルコール、アセトン、テトラヒドロフランまたはその混合物を含有する溶媒を添加すること;
    e)段階d)のフォトレジスト組成物を段階a)のカチオン交換樹脂に流し、次いで段階d)の上記フォトレジスト組成物を段階b)のアニオン交換樹脂に流すこと;
    f)C1-C3-アルキルアルコール、アセトン、テトラヒドロフランまたはその混合物を含有する溶媒を取り除き、そしてそれによってそれぞれ100PPB未満のナトリウムおよび鉄イオンのレベルを有するフォトレジスト組成物を調製すること;
    を包含する上記方法。
  2. 上記カチオン交換樹脂および上記アニオン交換樹脂が、ナトリウムおよび鉄イオンレベルをそれぞれ50PPB未満に低減するためにそれぞれ洗浄される請求項1に記載の方法。
  3. 段階d)において、上記溶媒が、メタノール、エタノール、アセトンまたはテトラヒドロフランである請求項1に記載の方法。
  4. 段階f)のフォトレジスト組成物が、それぞれ50PPB未満のナトリウムおよび鉄イオンを有する請求項1に記載の方法。
  5. 好適な基体にフォトイメージを製造することにより半導体デバイスを製造する方法において、
    a)請求項1に記載のフォトレジスト組成物で、好適な基体をコーティングすること;
    b)実質的に全ての溶媒が取り除かれるまで段階a)のコーティングした基体を熱処理すること;
    c)段階b)の基体を像様露光すること;および
    d)段階c)の基体上のフォトレジスト組成物の像様露光された領域を好適な現像剤で取り除くこと
    を包含する上記方法。
  6. 上記カチオン交換樹脂および上記アニオン交換樹脂が、ナトリウムおよび鉄イオンレベルをそれぞれ50PPB未満に低減するためにそれぞれ洗浄される請求項5に記載の方法。
  7. フォトレジスト組成物が、それぞれ50PPB未満のナトリウムおよび鉄イオンレベルを有する請求項5に記載の方法。
  8. 上記フォトレジスト溶媒が、プロピレングリコールメチルエーテルアセテート、2−ヘプタノン、エチル−3−エトキシプロピオネート、エチルラクテートからなる群から選択される請求項5に記載の方法。
  9. 上記現像剤が水性アルカリ性現像剤を含有する請求項5に記載の方法。
  10. さらに除去段階の直前または後にコーティングした基体のベーキング段階を包含する請求項5に記載の方法。
JP52731098A 1996-12-17 1997-12-16 有機極性溶媒を含有するフォトレジスト組成物中の混入金属イオンをイオン交換により低減する方法 Expired - Fee Related JP3789138B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US76874996A 1996-12-17 1996-12-17
US08/768,749 1996-12-17
PCT/EP1997/007054 WO1998027461A1 (en) 1996-12-17 1997-12-16 A method for reducing metal ion contaminants in photoresist compositions containing an organic polar solvent by ion exchange

Publications (3)

Publication Number Publication Date
JP2001506375A JP2001506375A (ja) 2001-05-15
JP2001506375A5 JP2001506375A5 (ja) 2005-08-11
JP3789138B2 true JP3789138B2 (ja) 2006-06-21

Family

ID=25083379

Family Applications (1)

Application Number Title Priority Date Filing Date
JP52731098A Expired - Fee Related JP3789138B2 (ja) 1996-12-17 1997-12-16 有機極性溶媒を含有するフォトレジスト組成物中の混入金属イオンをイオン交換により低減する方法

Country Status (6)

Country Link
EP (1) EP0948756B1 (ja)
JP (1) JP3789138B2 (ja)
KR (1) KR100477401B1 (ja)
CN (1) CN1244930A (ja)
DE (1) DE69711433T2 (ja)
WO (1) WO1998027461A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5936071A (en) * 1998-02-02 1999-08-10 Clariant Finance (Bvi) Limited Process for making a photoactive compound and photoresist therefrom
TWI347741B (en) * 2003-05-30 2011-08-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP5458538B2 (ja) * 2007-12-12 2014-04-02 日立化成株式会社 半導体装置及びその製造方法
WO2010005518A1 (en) 2008-07-08 2010-01-14 Dow Global Technologies Inc. Acid removal in cleaning processes
JP5541766B2 (ja) * 2009-05-19 2014-07-09 株式会社ダイセル フォトレジスト用高分子化合物の製造方法
CN102169107A (zh) * 2011-01-15 2011-08-31 博嘉圣(福州)微电子科技有限公司 芯片钠离子沾污失效分析实现方法
JP5686217B1 (ja) * 2014-04-30 2015-03-18 住友ベークライト株式会社 感光性樹脂材料および樹脂膜
JP6349943B2 (ja) * 2014-05-13 2018-07-04 三菱ケミカル株式会社 化合物の精製方法、及び高分子化合物の製造方法
KR101895241B1 (ko) * 2014-09-02 2018-09-05 후지필름 가부시키가이샤 비화학 증폭형 레지스트 조성물, 비화학 증폭형 레지스트막, 패턴 형성 방법, 및 전자 디바이스의 제조 방법
JP2019526668A (ja) * 2016-08-30 2019-09-19 ローム アンド ハース カンパニーRohm And Haas Company 低ナトリウム樹脂
CN109426070A (zh) * 2017-08-25 2019-03-05 京东方科技集团股份有限公司 光刻胶组合物、金属图案以及阵列基板的制备方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01228560A (ja) * 1988-03-08 1989-09-12 Hitachi Chem Co Ltd 不純金属成分の低減された溶液の製造法
EP0635145B1 (en) * 1992-03-06 1998-08-19 Clariant Finance (BVI) Limited Photoresists having a low level of metal ions
SG49596A1 (en) * 1992-11-25 1998-06-15 Hoechst Celanese Corp Metal ion reduction in bottom anti-reflective coatings for photoresists
US5350714A (en) * 1993-11-08 1994-09-27 Shipley Company Inc. Point-of-use purification
US5525315A (en) * 1993-12-07 1996-06-11 Shipley Company, L.L.C. Process for removing heavy metal ions with a chelating cation exchange resin
WO1996012214A1 (en) * 1994-10-12 1996-04-25 Hoechst Celanese Corporation Low metal ion photoactive compounds and photoresists compositions produced therefrom
US5614352A (en) * 1994-12-30 1997-03-25 Hoechst Celanese Corporation Metal ion reduction in novolak resins solution in PGMEA by chelating ion exchange resin

Also Published As

Publication number Publication date
DE69711433D1 (de) 2002-05-02
KR20000057619A (ko) 2000-09-25
DE69711433T2 (de) 2002-11-07
JP2001506375A (ja) 2001-05-15
KR100477401B1 (ko) 2005-03-22
CN1244930A (zh) 2000-02-16
EP0948756A1 (en) 1999-10-13
EP0948756B1 (en) 2002-03-27
WO1998027461A1 (en) 1998-06-25

Similar Documents

Publication Publication Date Title
EP0671025B1 (en) Metal ion reduction in bottom anti-reflective coatings for photoresists
EP0648350B1 (en) Metal ion reduction in top anti-reflective coatings for photoresists
JP3612077B2 (ja) 極性溶剤中でイオン交換触媒を用いてノボラック樹脂中の金属イオンを減少する方法、及びそれから得られるフォトレジスト組成物
EP0677183B1 (en) Process for producing a developer having a low metal ion level
JPH07504762A (ja) 金属イオンレベルが低いフォトレジスト
JP3805373B2 (ja) キレート形成性イオン交換樹脂によってフォトレジスト組成物中の金属イオンを低減させる方法
US5656413A (en) Low metal ion containing 4,4'-[1-[4-[1-(4-Hydroxyphenyl)-1-methylethyl]phenyl]ethylidene]bisphe nol and photoresist compositions therefrom
JP3789138B2 (ja) 有機極性溶媒を含有するフォトレジスト組成物中の混入金属イオンをイオン交換により低減する方法
JP3924317B2 (ja) 陰イオン交換樹脂を使用する、ノボラック樹脂溶液中の金属イオン低減
US5830990A (en) Low metals perfluorooctanoic acid and top anti-reflective coatings for photoresists
EP0919012B1 (en) Positive photoresist composition containing a 2,4-dinitro-1-naphthol

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041203

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041203

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050525

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060314

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060328

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090407

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100407

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees