JP2951636B2 - メタライゼーション構造を製造する方法 - Google Patents

メタライゼーション構造を製造する方法

Info

Publication number
JP2951636B2
JP2951636B2 JP10112526A JP11252698A JP2951636B2 JP 2951636 B2 JP2951636 B2 JP 2951636B2 JP 10112526 A JP10112526 A JP 10112526A JP 11252698 A JP11252698 A JP 11252698A JP 2951636 B2 JP2951636 B2 JP 2951636B2
Authority
JP
Japan
Prior art keywords
layer
titanium
aluminum
deposition
angstroms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP10112526A
Other languages
English (en)
Other versions
JPH10308363A (ja
Inventor
孝公 臼井
パトリック・ダブリュー・デハーヴェン
ケニス・ピー・ロッドベル
ロナルド・ジー・フィリッピ
チーホア・ヤン
富夫 堅田
英明 青地
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
International Business Machines Corp
Original Assignee
Toshiba Corp
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, International Business Machines Corp filed Critical Toshiba Corp
Publication of JPH10308363A publication Critical patent/JPH10308363A/ja
Application granted granted Critical
Publication of JP2951636B2 publication Critical patent/JP2951636B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、メタライゼーショ
ン構造を製造する方法に関し、詳細には優れた電気移動
特性を示すアルミニウム層またはアルミニウム合金層あ
るいはその両方を有する構造を製造する方法に関する。
さらに、本発明の方法は、高度にテクスチャ付きのアル
ミニウム<111>層を提供する。本発明の方法によっ
て製造される構造は、特に集積回路構造の能動デバイス
または受動デバイスあるいはその両方の間などに電気接
続または電気配線を形成するのに有用である。
【0002】
【従来の技術】アルミニウムおよびアルミニウム合金
は、集積回路構造中など電子デバイス中に様々な電気接
続または電気配線を形成するために使用される。アルミ
ニウムまたはアルミニウム合金は、集積回路構造の能動
デバイスまたは受動デバイスあるいはその両者の間に電
気接続を形成するために使用される。シリコンなど下地
の基板に電気的に接続されたアルミニウムまたは合金を
使用するのが通例となっている。アルミニウムとシリコ
ンは互いに電気的に接続されるが、シリコンへの電気接
続を改善し、かつシリコンとアルミニウムの間に物理的
(メタラジ)障壁を形成するために、シリコンとアルミ
ニウムの間に中間導電性層を挿入するのが通例になって
いる。これは、シリコン中へのアルミニウムの電気移動
およびスパイキングを防ぐためである。下地のシリコン
中へのアルミニウム原子の移動は、得られた集積回路構
造の性能および信頼性を損なうことがある。
【0003】電気移動の他に、ヒロック成長の問題も発
生する。これらの問題は、特にサブミクロン・レベルで
顕著である。相互接続線の寸法を縮小しかつ電流密度を
高くする要求が高まるにつれて、電気移動およびヒロッ
ク成長を克服するか、あるいは少なくとも最小限に抑え
ることが不可欠である。
【0004】純粋なアルミニウムの場合に遭遇する問題
を克服する試みにおいて、アルミニウムは、例えば銅と
合金化されていた。しかしながら、比較的高い比率のア
ルミニウム銅(2%超)は、ドライ・エッチングが難し
く、また比較的容易に腐食することが知られている。
【0005】アルミニウム銅を相互接続メタライゼーシ
ョンとして使用する方法に対する改善の努力において、
アルミニウム銅は、米国特許第4017890号の場合
など高融点金属とともに成層することが教示されてい
る。この特許は、導電性ストリップが少なくとも1つの
遷移金属とともにアルミニウムまたはアルミニウム銅を
含んでいる、半導体や集積回路など本体上で大きい電流
を運ぶ狭い金属間ストリップを形成する方法およびそれ
によって得られる構造を提案している。アルミニウム銅
および遷移金属構造は、アルミニウム銅に関連する電気
移動の問題を改善するが、エッチングおよび腐食の問題
ならびにヒロックの完全な除去は解決されていない。
【0006】ヒロックは、例えば金属相互接続線と基板
の熱膨張係数の差が大きいために形成される。ヒロック
形成をなくし、最小限に抑えるために、相互接続メタラ
イゼーションの単一の層の代わりに多層構造を使用する
ことが提案されている。ヒロック形成は、高融点金属の
層を有するアルミニウムまたはアルミニウム金属間化合
物の多層構造を使用することによって有効に低減され
る。代表的な相互接続メタライゼーション構造は、チタ
ンなど高融点金属の層をその上に付着したアルミニウム
・シリコン化合物の成層構造を含むものである(「Ho
mogenousand Layered Films
of Aluminum/Silicon with
Titanium for Multi−Level
Interconnects」、1988、IEE
E、V−MIC Conference、June 2
5−26、1985参照)。
【0007】また、抵抗率のより小さい、ヒロックのな
い相互接続メタライゼーションを与えるためにこの成層
金属構造の改善がなされている。これらの改善には、コ
ンタクト・スパイキングを防ぎ、かつアルミニウム・シ
リコン合金中に三元化合物が形成されるのを防ぐため
に、例えばチタン・タングステンや窒化チタンの障壁金
属をアルミニウム・シリコンの下に組み込むことが含ま
れる。(「Multi−Layered Interc
onnections for VLSI」、MRS
Symposia Proceedings、Fal
l、1987参照)。さらに、抵抗率を小さくし、かつ
より平坦かつ欠陥のない相互接続構造を与える他のデバ
イス相互接続構造が提案されている。例えば、IBM
Technical Disclosure Bull
etin、Vol.21、No.11、April 1
979、pp.4527−4528は、スパッタ付着に
よる相互接続用メタラジの改善を教示している。さら
に、キャッピング層を使用して性能を改善する特徴がI
BM TDB、Vol.17、No.1A、1984お
よびTDB、Vol.21、No.2、July 19
78に開示されている。
【0008】さらに、米国特許出願第5071714号
には、優れた電気移動特性を示すとともに、ヒロックが
なく、ドライ・エッチングが可能であり、耐腐食性であ
る銅含有量の少ないアルミニウム銅導体を含む構造が開
示されている。さらに、この特許出願に開示されている
構造は、抵抗率が比較的小さい。
【0009】
【発明が解決しようとする課題】しかし、電気移動特性
を改善する余地はまだ残っている。したがって、本発明
の目的は、優れた電気移動性能を示すとともに、ヒロッ
クがなく、かつ構造のドライ・エッチング可能特性およ
び耐腐食特性の損失を伴わない構造を提供することであ
る。
【0010】
【課題を解決するための手段】本発明は、メタライゼー
ション構造を製造する方法に関する。詳細には、請求項
1に係わる発明の方法は、a)高真空のスパッタリング装置における高純度のチタ
ンまたはそれと同性質のIVA族金属のターゲットから
高圧、高純度の不活性ガスのプラズマ中で低電力で90
オングストロームないし110オングストロームの厚さ
を有する前記チタンまたは前記IVA族金属の下層をス
パッタ・クリーニング済みの端子領域表面上に付着する
ステップと、b)前記スパッタリング装置におけるアル
ミニウムまたはアルミニウム合金のターゲットから高純
度の不活性ガスのプラズマ中で、直流磁界の下に、高電
力で前記アルミニウムまたはアルミニウム合金の上層を
前記下層上に付着するステップとを含み、アルミニウム
またはアルミニウム合金の上層が<111>面で高度に
繊維テクスチャ付きである メタライゼーション構造体の
製造方法である。請求項2に係わる発明は、a)導電性配線端子が表面に露出した基板を高真空のス
パッタリング装置に配置して高圧の不活性ガス雰囲気に
曝し、前記配線端子表面を低電力の下に緩やかにスバッ
タ・クリーニングするステップと、b)前記スパッタリ
ング装置を付着雰囲気に変更して高純度のチタンまたは
それと同性質のIVA族金属のターゲットから高圧、高
純度の不活性ガスのプラズマ中で低電力で150゜Cな
いし450゜Cの温度で90オングストロームないし1
10オングストロームの厚さを有する前記チタンまたは
前記IVA族金属の下層を前記配線端子表面上に付着す
るステップと、c)アルミニウムまたはアルミニウム合
金のターゲットから高純度の不活性ガスのプラズマ中で
高電力で所定の付着速度で前記アルミニウムまたはアル
ミニウム合金の上層を前記下層上に付着するステップと
を含み、アルミニウムまたはアルミニウム合金の上層が
<111>面で高度に繊維テクスチャ付きである メタラ
イゼーション構造体の製造方法である。本発明の方法
は、優れた電気移動特性を示すとともに、高度にテクス
チャ付きであり、かつヒロックがないメタライゼーショ
ン構造を与える。さらに、本発明の方法によって製造さ
れたメタライゼーション構造は、比較的小さい抵抗率を
示し、かつ製造が比較的容易である。本明細書において
は、著名刊行物である「Journal of App
lied Physic」Vol.76,Septem
ber,1994中、Trcy,KnorrおよびRo
dbel共著の論文にみられるように、既知の極性特性
図技術(Pole Figure Techniqu
e)を使用してサンプル膜(付着層)の極性特性を、そ
の膜面に垂直なベクトルである繊維軸の周りに0度−3
60度に亘ってX線で回転走査することにより、測定す
る場合、その測定値がすべての走査角度ファイ(変数)
において実質的に対称である時にその膜が結晶学上の繊
維テクスチャ付きであると言う。本発明の方法によるア
ルミニウムまたはその合金の付着層およびチタン付着層
では、<111>結晶面および<0002>基礎面が、
それぞれ、繊維テクスチャ付きである。
【0011】
【発明の実施の形態】図1は、本発明による相互接続メ
タラジ構造の好ましい実施形態の断面図である。図1を
参照すると、相互接続メタラジは好ましくは、絶縁体8
によって囲まれた、シリコンのようなデバイス基板6に
接続する層間スタッド接続10上に4または5層構造を
含んでいる。メタラジ構造は、IVA族金属の下層、好
ましくはチタンの層を含んでいる。本発明の成功にとっ
て重要なことは、この下層13の厚さを約90オングス
トロームないし約110オングストロームにすることで
ある。以下で説明するように、この下層13の厚さを制
限することによって、後で加える金属層の構造およびテ
クスチャを慎重に制御する。これは、本発明の構造の必
要な特性を得る際に重要である。
【0012】さらに、本発明のメタライゼーション構造
の中央には、下層13に電気接触する層15がある。層
15は、アルミニウムまたはアルミニウム合金である。
代表的なアルミニウム合金には、銅、マグネシウム、ケ
イ素、バナジウムやイットリウムなどのランタニド、お
よびパラジウムなどの合金用金属がある。存在する場
合、合金用金属の量は、好ましくは合金の約3重量パー
セントまでであり、最も好ましくは約0.5ないし約1
重量パーセントである。必要な場合、合金用金属の混合
物も使用できる。好ましい合金用金属は銅である。この
層15は、一般に約2000オングストロームないし約
6000オングストロームの厚さを有し、より一般には
約2000オングストロームないし約2500オングス
トロームの厚さを有する。アルミニウム層またはアルミ
ニウム合金層は、高度に<111>テクスチャ付きにさ
れた層である。高度のテクスチャ付き層とは、X線強度
対カイ・スキャン(chi scan)上の半値幅(以
下ω95と呼ぶ)が15度未満であり、かつランダム結
晶粒の体積分率が小さい(例えば20パーセント未満)
ことを言う。このテクスチャ付き構造は、本発明に従っ
て得られる大幅に改善された電気移動性能を達成するの
に重要である。前述のように、繊維テクスチャ付き層に
おいては、その層が繊維軸の周りに360度に亘って回
転走査されるときに極性特性のX線強度の測定値が実質
的に全ての走査角度で対称性を示すことになる。この対
称性の有無を決定するために、例えば、広く知られてい
る極性特性測定技術を使用してサンプル層について測定
する。この測定は、サンプル層をその繊維軸の周りに0
度から360度の1回転角に亘って走査し(ファイ・ス
キャン)、その際、任意の各ファイ値角度において(但
し、層が繊維テクスチャ付きであると仮定した場合の近
似法を適用すると1つの選択したファイ値角度において
のみ)、0度から85−90度までの傾斜角に亘って1
回走査し(カイ・スキャン)、その間、X線強度が測定
されてその対称性が決定される。
【0013】必要ではないが、IVA族層13とアルミ
ニウム層またはアルミニウム合金層15の間に窒化チタ
ン層14を配置することが好ましい。下層13の上に配
置され、それに接触するこの窒化チタン層は、アルミニ
ウム層15と下層13の反応を防ぐ。一般に、この層1
4は、約50オングストロームないし約500オングス
トロームの厚さを有し、好ましくは約50オングストロ
ームないし約150オングストロームの厚さを有する。
【0014】また、必要ではないが、本発明の好ましい
態様によれば、キャッピング層を層15上に形成する。
存在する場合、キャッピング層は、反射防止層として働
いて線幅の制御を助けるのでリソグラフィ処理を改善す
る。好ましいキャッピング層は、窒化チタン、およびI
VA族金属、好ましくはチタンの層18と、窒化チタン
層19との組合せである。一般に、窒化チタン層は、約
150オングストロームないし約800オングストロー
ムであり、より典型的には約200オングストロームな
いし約500オングストロームである。一般に、チタン
層は、約50オングストロームないし約200オングス
トロームである。
【0015】これで本発明による単一相互接続層の構造
は完成するが、これらの層を次いで多重レベル・シーケ
ンスで繰り返して、デバイス用の相互接続回路を完成で
きることを当業者なら理解できよう。
【0016】これらの様々な層は、化学的気相付着(C
VD)技法によるか、または蒸着やスパッタリングなど
物理的気相付着(PVD)技法によって形成できる。好
ましい方法はスパッタ付着であり、最も好ましい技法
は、以下で論じるようにコリメートまたは「ロング・ス
ロー」によってスパッタリングを実施することである。
【0017】90オングストロームないし110オング
ストロームの厚さを有するIVA族金属の下層を使用す
ることの重要性は、下の表1によって実証される。
【表1】 Al−0.5Cuフィルムのテクスチャに対するTi下層厚さの影響 Ti厚さ(Å) 体積分率ランダム ω95(度) 250 0.33 12.2 125 0.23 9.4 100 0.17 9.4 75 0.26 10.4
【0018】表1は、構造 xÅTi/5200ÅAl(Cu)/320ÅTiN を有する一連のアルミニウム0.5重量パーセント銅フ
ィルム上で得られたテクスチャ・データをまとめた表で
ある。xは、Ti下層厚さを表す。この表では、最適な
Al<111>テクスチャは、ランダム成分の最小体積
分率および<111>回折ピークの最狭幅(この場合、
ピーク強度の95%を含む回折ピークの幅を表すω95
によって測定)を有するテクスチャである。表1から、
最適なテクスチャは100オングストロームのTi下層
によって形成されることが十分明らかである。電気移動
データも厚さ100オングストロームのチタン下層では
改善される。
【0019】図9および図10は、 xÅTi/100ÅTiN/2300ÅAl(0.5%
Cu)/50ÅTi/400ÅTiN の金属スタックについて実施した障害テストを示すグラ
フである。xはチタン下層の厚さである。
【0020】図9は、250℃および1.35MA/c
2における従来の電気移動テスト・データを示すグラ
フである。図9は、左側y軸上に相互接続メタラジの半
数が障害を発生するまでの障害発生時間(単位:時間)
を示し、右側y軸上に対数正規分布の標準偏差を示し、
x軸上にチタン下層の厚さxを示す。データは、厚さ1
00オングストロームの下層を使用したときに障害発生
時間が大幅に改善されることを示している。具体的に
は、厚さ30、40、50オングストロームのフィルム
の障害発生時間は約4時間であり、厚さ200オングス
トロームのフィルムは約3時間であり、厚さ100オン
グストロームの下層の場合の約7時間とは対照的であっ
た。対数正規分布の標準偏差は、すべての下層について
ほぼ同じ(≒0.35〜0.4)であった。
【0021】図10は、等温ウエハ・レベル電気移動テ
ストからの推定寿命を示すグラフである。図10は、様
々な線幅について、下層の厚さをx軸上に、障害発生時
間(E13秒)をy軸上に示すが、厚さ100オングス
トロームの下層を使用したときに障害発生時間が改善さ
れることを示している。この改善は、線幅が減少するに
つれて、特に約0.33ミクロン以下になったときによ
り顕著になる。
【0022】次に図2を参照すると、図2は、平坦な絶
縁体8およびコンタクト・スタッド10ならびにその上
にスパッタ付着したIVA族金属層13を示す。層13
は、以下のプロセスによって付着する。デバイス・コン
タクト・メタライゼーション10を形成した後、半導体
ウエハ6を、低圧にポンプ排気したスパッタ装置中に装
入する。次いで、このときウエハ上に形成されたコンタ
クト金属10から酸化物を除去するためにその場での
(in−situ)スパッタ・クリーニングを実施す
る。このスパッタ・クリーニングは、一般に、例えば高
圧アルゴン雰囲気中で低い電力(約1000ワット)で
約5分実施される緩やかなスパッタ・クリーニングであ
る。
【0023】スパッタ・クリーニングの後、次いでメタ
ライゼーションの第1の層13を付着する。この第1レ
ベルのメタライゼーション13は、IVA族金属であ
り、好ましくはブランケット形成中にウエハのデバイス
・コンタクト・メタライゼーション10上に付着したチ
タンである。この層13は、好ましくは超高純度チタン
・ターゲットから高圧、高純度のアルゴン・プラズマ中
で低い電力で付着する。チタンは、一般に約150℃な
いし約450℃の温度でスパッタする。ウエハは、一般
にスパッタ・プロセス中、室温から最高約300℃まで
の温度になる。チタンは、約90オングストロームない
し約110オングストロームの厚さに、最も好ましくは
約100オングストロームに付着する。
【0024】次に図3を参照すると、層13を付着した
後、窒化チタンを所望の厚さにスパッタ付着することに
よって窒化チタン層14を形成する。窒化チタン層14
は、チタン層13を付着するために使用したのと同じチ
ャンバ内でも、また異なる装置内でも形成できる。
【0025】図4を参照すると、層14を付着した後、
次に相互接続メタライゼーション層15をブランケット
付着する。相互接続メタライゼーション15は、アルミ
ニウムまたはアルミニウム合金であり、好ましくはアル
ミニウム−0.5重量パーセント銅である。アルミニウ
ム銅は、超高純度プレ合金ターゲット、一般にアルミニ
ウム−0.5重量パーセント銅から高純度アルゴン・プ
ラズマ中で、直流マグネトロンを使用して、高い電力で
約1ミクロン毎分の付着速度で付着する。
【0026】次いで、アルミニウム銅相互接続メタライ
ゼーション15の上に、約50オングストロームないし
約250オングストロームのIVA族金属、好ましくは
チタンを、上述の前に付着した金属層13と同様に層1
8として付着する。層18の付着および組成は、層13
と同様にして実施できる。図5から、次いで金属層18
上に適切なキャッピング層19をブランケット付着し
て、このレベルで相互接続メタライゼーションを完成す
る。キャッピング層は、好ましくは窒化チタンであり、
窒化チタン層14と同様にして付着できる。この層の目
的は、後続のフォトレジスト・ステップ中に光反射の量
を制限すること、および後続の処理中に腐食に対する保
護層の働きをすることである。したがって光反射の量を
少なくする要件を同様に満足し、かつ後続の処理中に保
護アノード・キャッピングを提供する層なら、どんなも
のでもこの層に使用できる。
【0027】次に図6および図7を参照すると、次いで
このブランケット相互接続メタライゼーションをパター
ン化するために、メタライゼーション19の上にフォト
レジスト20を塗布する。異なる任意の数のフォトレジ
スト技法が使用できる。単一の層レジストが示してある
が、必要ならば、多層フォトレジストが使用できること
を理解されたい。フォトレジストは、周知のリソグラフ
ィ手段によって画定し現像して、後で下地のブランケッ
ト金属層の反応性イオン・エッチングを実施するための
リソグラフィ・マスクを形成することができる。そのよ
うな手段は当業者には周知であり、ここでさらに詳細に
開示する必要はない。
【0028】次に図8を参照すると、次いでメタラジを
マルチステップ・シーケンスで反応性イオン・エッチン
グする。第1のステップは、メタライゼーションの上面
に存在する酸化物を突き破ることである。次に、金属の
大部分を反応性イオン・エッチングによって除去する。
次に、前のステップにおける金属が全てエッチングによ
って除去されるようにオーバ・エッチングを実施する。
【0029】反応性イオン・エッチングは、一般に、単
一のウエハ・ツール内で低い圧力下で実施される。一般
に、上述のエッチングを段階ごとのプロセスで実施する
ためのプラズマ組成、圧力、電力および時間の組合せ
は、当業者には周知であり、ここで詳細に説明する必要
はない。
【0030】残っているレジスト20は、ウエハを酸素
プラズマ中に配置するなど周知の技法によって除去でき
る。
【0031】残っている層レジスト20を除去した後、
次いでウエハをオーブン中に置いてメタライゼーション
・スタックをフォーミング・ガスまたはアルゴンなどの
不活性ガス中で約400〜450℃で約30〜45分間
アニールすることによって、テクスチャ付きアルミニウ
ム層の結晶粒サイズを成長させ、チタン層とアルミニウ
ム層が隣接しているならば互いに接触しているそれらの
層を反応させて、それによりTiAl3を形成する。
【0032】本発明の好ましい態様によれば、最適の電
気移動性能を達成するために、少なくともチタン層、お
よび窒化チタン層を使用した場合には窒化チタン層をコ
リメーションまたは「ロング・スロー」によってコヒー
レントに付着する。チタン層、および窒化チタン層が存
在する場合にはそれに対してコリメート付着またはロン
グ・スロー付着によって作成した構造は、非コリメート
付着技法を使用して付着したものと比較して大幅に改善
された電気移動性能を示す。コリメート付着技法を実施
するのに適した代表的な装置は、その開示が参照により
本発明の一部となるヘッジ(Hegde)他の米国特
5580823号およびワダ(Wada)他の米国特
許第5584973号に記載されている。代表的なコリ
メータ内には、ターゲットから焦点をはずれてスパッタ
された材料がウエハに到達せず、その代わりにバッフル
上に付着するようにするためのバッフルまたは平行板が
存在する。
【0033】図13は、PVD非コリメート・スパッタ
・チャンバ内のターゲットから基板までの典型的な距離
が約5cmであることを示す。
【0034】図14は、コリメータを備えるPVDスパ
ッタ・チャンバの代表的な幾何形状を示す。ターゲット
と基板の距離は約10cm、ターゲットとコリメータの
距離は約5cmであり、コリメータの高さは約2cmで
ある。コリメータは、基板から約3cm離れている。
【0035】ロング・スロー技法では、スパッタ・ター
ゲットとウエハの距離は、非コリメート付着法の場合よ
りも約2〜3倍長く、一般に長さ約20センチメートル
である。さらに、高純度のチタン・フィルムが付着する
ようにスパッタ装置内にシャッタを含めることが望まし
い。このことは、特に電気移動性能を最大にするために
最高の純度である必要がある上部チタン層にとって重要
である。
【0036】代表的なロング・スロー付着装置は、Ul
vacの商標で市販されている。
【0037】以下の電気移動テストを実施して、非コリ
メート付着技法と本発明の好ましい付着技法とを比較し
た。具体的には、100ÅTi/100ÅTiN/23
00ÅA1(0.5%Cu)/50ÅTi/400ÅT
iNの金属フィルム・スタックを使用した。ウエハの第
1のグループをEndura PVD金属スパッタ装置
内に置き、それによりTi/TiNフィルムの付着を非
コリメート・チャンバ内で実施した。ウエハの第2のグ
ループをUlvac金属スパッタ装置内に置いた。Ul
vacツール内のTiフィルムおよびTiNフィルムを
ロング・スロー・チャンバ内で実施した。ただし、スパ
ッタリング・ターゲットとウエハの距離は、Endur
a非コリメート・チャンバの場合よりも3倍長い。さら
に、Ulvacツール内のTi/TiNチャンバはシャ
ッタを有する。このシャッタを使用すれば、前のウエハ
によってターゲット上に蓄積した硝化物が除去されるの
で、はるかに高い純度のTiフィルムを付着することが
できる。ロング・スロー・チャンバおよびコリメート・
チャンバ内では、チタンがそれぞれ側壁またはコリメー
タ上に付着する。この付着したチタンは、ポンプの役目
をし、酸素など汚染物と反応し、それにより、例えば、
酸素が新しく付着したチタン中に取り込まれる。End
ura Ti/TiNの場合、ターゲットは、前のTi
N付着により硝化された。
【0038】各ロットからの3つのウエハを0.81m
Aおよび250℃で電気移動についてテストした。金属
線中の電流密度は約0.9MA/cm2であった。テス
トは、図11に示す構造上で実施した。図11におい
て、番号30は下地の金属レベルを表し、番号31は金
属レベル30と上部金属レベル32の間のビアを表す。
上部金属レベル32は上で開示した金属フィルム・スタ
ックを含んでいる。ビア31の直径は約0.30ミクロ
ンであり、金属レベル32の線幅は約0.30ミクロン
である。半数障害発生時間(t50)、および対数正規
分布の形状パラメータ、シグマ(σ)を次に示す。 Endura:t50=8.1時間、σ=0.52 Ulvac:t50=17.9時間、σ=0.1
【0039】図12は、障害発生時間を示すグラフであ
り、ロング・スロー技法を使用すると非コリメート技法
と比較して改善された結果が得られることを示してい
る。
【0040】上記から明らかなように、Ulvacツー
ル内に配置された試料は、Enduraツール内に配置
された試料よりも2倍長い寿命を示し、かつかなり密な
障害分布を示す。
【0041】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0042】(1)a)約90オングストロームないし
約110オングストロームの厚さを有するIVA族金属
の第1の下層を基板上に付着するステップと、b)アル
ミニウムおよびアルミニウム合金からなるグループから
選択された少なくとも1つの成分の層を、それが前記下
層に電気接触するように付着するステップとを含むメタ
ライゼーション構造を製造する方法。 (2)前記付着が化学的気相付着または物理的気相付着
である上記(1)に記載の方法。 (3)前記付着が物理的気相付着である上記(1)に記
載の方法。 (4)前記付着がスパッタ付着である上記(1)に記載
の方法。 (5)前記スパッタ付着がコリメート付着またはロング
・スロー付着である上記(4)に記載の方法。 (6)前記スパッタ付着がロング・スロー付着である上
記(4)に記載の方法。 (7)前記IVA族金属がチタンである上記(1)に記
載の方法。 (8)前記アルミニウム合金が、アルミニウムと、銅、
マグネシウム、シリコン、パラジウム、およびランタニ
ドからなるグループから選択された少なくとも1つの成
分との合金である上記(1)に記載の方法。 (9)前記合金が最高約3重量パーセントの前記成分を
含む上記(8)に記載の方法。 (10)前記層が<111>テクスチャ付きフィルムで
ある上記(1)に記載の方法。 (11)前記層が、強度対カイ・スキャン上の半値幅が
15度未満であり、かつランダム結晶粒の体積分率が2
0パーセント未満である高度にテクスチャ付きのフィル
ムである上記(10)に記載の方法。 (12)前記層が約2000オングストロームないし約
6000オングストロームの厚さを有する上記(1)に
記載の方法。 (13)前記層が約2000オングストロームないし約
2500オングストロームの厚さを有する上記(1)に
記載の方法。 (14)前記下層と前記層の間に窒化チタン層を付着す
るステップをさらに含む上記(1)に記載の方法。 (15)前記窒化チタン層が約50オングストロームな
いし約500オングストロームの厚さを有する上記(1
4)に記載の方法。 (16)前記窒化チタン層が約50オングストロームな
いし約150オングストロームの厚さを有する上記(1
4)に記載の方法。 (17)チタンおよびチタン合金からなるグループから
選択された少なくとも1つの成分の前記層上に配置され
たキャッピング層を付着するステップをさらに含む上記
(1)に記載の方法。 (18)前記キャッピング層が窒化チタンであるか、ま
たはIVA族金属層と窒化チタン層の組合せである上記
(17)に記載の方法。 (19)前記キャッピング層が窒化チタンであるか、ま
たはチタン層と窒化チタン層の組合せである上記(1
7)に記載の方法。 (20)チタンおよびチタン合金からなるグループから
選択された前記少なくとも1つの成分の前記層上に配置
されたキャッピング層をさらに含む上記(14)に記載
の方法。 (21)前記キャッピング層が窒化チタンであるか、ま
たはIVA族金属層と窒化チタン層の組合せである上記
(20)に記載の方法。 (22)前記キャッピング層が窒化チタンであるか、ま
たはチタン層と窒化チタン層の組合せである上記(2
0)に記載の方法。 (23)前記チタン層が<0002>繊維テクスチャ付
きである上記(22)に記載の方法。 (24)前記チタン層が<0002>繊維テクスチャ付
きである上記(19)に記載の方法。 (25)前記基板がシリコン基板である上記(1)に記
載の方法。
【図面の簡単な説明】
【図1】本発明による相互接続メタラジの好ましい実施
形態の断面図である。
【図2】本発明の好ましい相互接続メタラジをステップ
・バイ・ステップで製造するプロセスの一段階の断面図
である。
【図3】本発明の好ましい相互接続メタラジをステップ
・バイ・ステップで製造するプロセスの図2に続く段階
の断面図である。
【図4】本発明の好ましい相互接続メタラジをステップ
・バイ・ステップで製造するプロセスの図3に続く段階
の断面図である。
【図5】本発明の好ましい相互接続メタラジをステップ
・バイ・ステップで製造するプロセスの図4に続く段階
の断面図である。
【図6】本発明の好ましい相互接続メタラジをステップ
・バイ・ステップで製造するプロセスの図5に続く段階
の断面図である。
【図7】本発明の好ましい相互接続メタラジをステップ
・バイ・ステップで製造するプロセスの図6に続く段階
の断面図である。
【図8】本発明の好ましい相互接続メタラジをステップ
・バイ・ステップで製造するプロセスの図7に続く段階
の断面図である。
【図9】下層の厚さに応じた障害発生時間を示すグラフ
である。
【図10】下層の厚さに応じた障害発生時間を示すグラ
フである。
【図11】本発明の構造を形成するのに適した処理を受
けた構造の概略図である。
【図12】ロング・スローの好ましい技法による製造
と、ノンコリメート技法の障害発生時間を比較したグラ
フである。
【図13】PVDスパッタ幾何形状の概略図である。
【図14】PVDスパッタ幾何形状の概略図である。
【符号の説明】
6 デバイス基板 8 絶縁体 10 層間スタッド接続 13 下層 14 窒化チタン層 15 アルミニウム層 18 チタン層 19 窒化チタン層 20 フォトレジスト
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI C23C 28/02 C23C 28/02 (72)発明者 パトリック・ダブリュー・デハーヴェン アメリカ合衆国12603 ニューヨーク州 ポーキープシー チェリー・ヒル・ドラ イブ 203 (72)発明者 ケニス・ピー・ロッドベル アメリカ合衆国12570 ニューヨーク州 ポークァグ レオ・レーン 3 (72)発明者 ロナルド・ジー・フィリッピ アメリカ合衆国12590 ニューヨーク州 ワッピンガーズ・フォールズ ホワイ ト・ゲイツ・ドライブ アパートメント 6ディー (72)発明者 チーホア・ヤン アメリカ合衆国10595 ニューヨーク州 ヨークタウン ファーサンド・コート 2666 (72)発明者 堅田 富夫 神奈川県横浜市磯子区新杉田町8番地 株式会社東芝 横浜事業所内 (72)発明者 青地 英明 神奈川県横浜市磯子区新杉田町8番地 株式会社東芝 横浜事業所内 (56)参考文献 特開 平8−274172(JP,A) 特開 平8−274100(JP,A) 特開 平8−78412(JP,A) 特開 平7−335553(JP,A) 特開 平1−312852(JP,A) 特開 昭62−32610(JP,A) 特公 平7−52733(JP,B2) (58)調査した分野(Int.Cl.6,DB名) H01L 21/285

Claims (11)

    (57)【特許請求の範囲】
  1. 【請求項1】a)高真空のスパッタリング装置における高純度のチタ
    ンまたはそれと同性質のIVA族金属のターゲットから
    高圧、高純度の不活性ガスのプラズマ中で低電力で90
    オングストロームないし110オングストロームの厚さ
    を有する前記チタンまたは前記IVA族金属の下層をス
    パッタ・クリーニング済みの端子領域表面上に付着する
    ステップと、 b)前記スパッタリング装置におけるアルミニウムまた
    はアルミニウム合金のターゲットから高純度の不活性ガ
    スのプラズマ中で、直流磁界の下に、高電力で前記アル
    ミニウムまたはアルミニウム合金の上層を前記下層上に
    付着するステップと、 を含み、アルミニウムまたはアルミニウム合金の上層が
    <111>面で高度に繊維テクスチャ付きである メタラ
    イゼーション構造体の製造方法。
  2. 【請求項2】a)導電性配線端子が表面に露出した基板を高真空のス
    パッタリング装置に配置して高圧の不活性ガス雰囲気に
    曝し、前記配線端子表面を低電力の下に緩やかにスパッ
    タ・クリーニングするステップと、 b)前記スパッタリング装置を付着雰囲気に変更して高
    純度のチタンまたはそれと同性質のIVA族金属のター
    ゲットから高圧、高純度の不活性ガスのプラズマ中で低
    電力で150゜Cないし450゜Cの温度で90オング
    ストロームないし110オングストロームの厚さを有す
    る前記チタンまたは前記IVA族金属の下層を前記配線
    端子表面上に付着するステップと、 c)アルミニウムまたはアルミニウム合金のターゲット
    から高純度の不活性ガスのプラズマ中で高電力で所定の
    付着速度で前記アルミニウムまたはアルミニウム合金の
    上層を前記下層上に付着するステップと、 を含み、アルミニウムまたはアルミニウム合金の上層が
    <111>面で高度に繊維テクスチャ付きである メタラ
    イゼーション構造体の製造方法。
  3. 【請求項3】前記上層の付着ステップが直流マグネトロ
    ンを使用する雰囲気で実行される請求項2に記載の方
    法。
  4. 【請求項4】前記上層の付着ステップにおける前記所定
    の付着速度が毎分1ミクロン単位である請求項2に記載
    の方法。
  5. 【請求項5】前記スパッタ付着がコリメート付着または
    ロング・スロー付着である請求項1または2に記載の方
    法。
  6. 【請求項6】前記上層が、X線強度対カイ・スキャン上
    の半値幅が15度未満であり、かつランダム結晶粒の体
    積分率が20パーセント未満である高度に繊維テクスチ
    ャ付きフィルムである請求項1または2に記載の方法。
  7. 【請求項7】前記チタン層が<0002>面で繊維テク
    スチャ付きである請求項1または2に記載の方法。
  8. 【請求項8】前記下層と前記上層の間に窒化チタン層を
    付着するステップをさらに含む請求項1または2に記載
    の方法。
  9. 【請求項9】前記窒化チタン層が50オングストローム
    ないし500オングストロームの厚さを有する請求項8
    に記載の方法。
  10. 【請求項10】チタンまたはチタン合金からなるキャッ
    ピング層を前記上層上に付着するステップをさらに含む
    請求項1,2,3または8に記載の方法。
  11. 【請求項11】前記チタン・キャッピング層が<000
    2>面で繊維テクスチャ付きである請求項10に記載の
    方法。
JP10112526A 1997-04-30 1998-04-22 メタライゼーション構造を製造する方法 Expired - Fee Related JP2951636B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/846,382 US5943601A (en) 1997-04-30 1997-04-30 Process for fabricating a metallization structure
US08/846382 1997-04-30

Publications (2)

Publication Number Publication Date
JPH10308363A JPH10308363A (ja) 1998-11-17
JP2951636B2 true JP2951636B2 (ja) 1999-09-20

Family

ID=25297770

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10112526A Expired - Fee Related JP2951636B2 (ja) 1997-04-30 1998-04-22 メタライゼーション構造を製造する方法

Country Status (2)

Country Link
US (1) US5943601A (ja)
JP (1) JP2951636B2 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6130161A (en) * 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US6319727B1 (en) * 1999-02-08 2001-11-20 National Semiconductor Corporation Method for manufacturing low stress metallic interconnect lines for use in integrated circuits
JP3322305B2 (ja) * 1999-02-25 2002-09-09 日本電気株式会社 半導体装置の製造方法
US6080657A (en) * 1999-07-16 2000-06-27 Taiwan Semiconductor Manufacturing Company Method of reducing AlCu hillocks
US6323111B1 (en) 1999-10-28 2001-11-27 Agere Systems Guardian Corp Preweakened on chip metal fuse using dielectric trenches for barrier layer isolation
US6555204B1 (en) * 2000-03-14 2003-04-29 International Business Machines Corporation Method of preventing bridging between polycrystalline micro-scale features
US6451502B1 (en) * 2000-10-10 2002-09-17 Kodak Polychrome Graphics Llc manufacture of electronic parts
US6960306B2 (en) * 2002-07-31 2005-11-01 Infineon Technologies Ag Low Cu percentages for reducing shorts in AlCu lines
KR100669688B1 (ko) * 2003-03-12 2007-01-18 삼성에스디아이 주식회사 박막트랜지스터 및 이를 구비한 평판표시소자
JP2005268454A (ja) * 2004-03-17 2005-09-29 Nec Electronics Corp 半導体装置およびその製造方法
KR100584485B1 (ko) * 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
DE102005043913B4 (de) * 2004-09-22 2011-06-30 Infineon Technologies AG, 81669 Verfahren zur Herstellung einer dotierten Zone in einem Halbleiterkörper
KR100780245B1 (ko) * 2006-08-28 2007-11-27 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
DE102007035837A1 (de) * 2007-07-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kornorientierungsschicht
FR2922202B1 (fr) * 2007-10-15 2009-11-20 Commissariat Energie Atomique Structure comportant une couche getter et une sous-couche d'ajustement et procede de fabrication.
US8802578B2 (en) * 2012-07-13 2014-08-12 Institute of Microelectronics, Chinese Academy of Sciences Method for forming tin by PVD
KR102422421B1 (ko) * 2015-06-01 2022-07-20 삼성전자주식회사 배선 구조 및 이를 적용한 전자소자

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4438450A (en) * 1979-11-30 1984-03-20 Bell Telephone Laboratories, Incorporated Solid state device with conductors having chain-shaped grain structure
US4910580A (en) * 1987-08-27 1990-03-20 Siemens Aktiengesellschaft Method for manufacturing a low-impedance, planar metallization composed of aluminum or of an aluminum alloy
US5071714A (en) * 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
JPH0456325A (ja) * 1990-06-26 1992-02-24 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5345108A (en) * 1991-02-26 1994-09-06 Nec Corporation Semiconductor device having multi-layer electrode wiring
US5380678A (en) * 1991-03-12 1995-01-10 Yu; Chang Bilayer barrier metal method for obtaining 100% step-coverage in contact vias without junction degradation
US5242860A (en) * 1991-07-24 1993-09-07 Applied Materials, Inc. Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US5525199A (en) * 1991-11-13 1996-06-11 Optical Corporation Of America Low pressure reactive magnetron sputtering apparatus and method
GB9219267D0 (en) * 1992-09-11 1992-10-28 Inmos Ltd Manufacture of semiconductor devices
JPH06268083A (ja) * 1993-03-11 1994-09-22 Sony Corp 半導体装置の配線
US5635763A (en) * 1993-03-22 1997-06-03 Sanyo Electric Co., Ltd. Semiconductor device having cap-metal layer
KR100320364B1 (ko) * 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 금속배선및그의형성방법
US5420072A (en) * 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit
EP0690503A1 (en) * 1994-05-31 1996-01-03 Advanced Micro Devices, Inc. Improved interconnect line structure and process therefor
JPH07335553A (ja) * 1994-06-08 1995-12-22 Tel Varian Ltd 処理装置および処理方法
US5552339A (en) * 1994-08-29 1996-09-03 Taiwan Semiconductor Manufacturing Company Furnace amorphous-SI cap layer to prevent tungsten volcano effect
JPH08107087A (ja) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5523259A (en) * 1994-12-05 1996-06-04 At&T Corp. Method of forming metal layers formed as a composite of sub-layers using Ti texture control layer
US5580823A (en) * 1994-12-15 1996-12-03 Motorola, Inc. Process for fabricating a collimated metal layer and contact structure in a semiconductor device
US5532509A (en) * 1994-12-16 1996-07-02 Motorola, Inc. Semiconductor inverter layout having improved electromigration characteristics in the output node
US5561083A (en) * 1994-12-29 1996-10-01 Lucent Technologies Inc. Method of making multilayered Al-alloy structure for metal conductors
US5641992A (en) * 1995-08-10 1997-06-24 Siemens Components, Inc. Metal interconnect structure for an integrated circuit with improved electromigration reliability
US5738961A (en) * 1997-03-03 1998-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Two-step photolithography method for aligning and patterning non-transparent layers

Also Published As

Publication number Publication date
JPH10308363A (ja) 1998-11-17
US5943601A (en) 1999-08-24

Similar Documents

Publication Publication Date Title
JP2951636B2 (ja) メタライゼーション構造を製造する方法
US5071714A (en) Multilayered intermetallic connection for semiconductor devices
CA2009247C (en) Multilayered intermetallic connection for semiconductor devices
US5920794A (en) Electromigration resistant metallization process microcircuit interconnections with RF-reactively sputtered titanium tungsten and gold
US5286676A (en) Methods of making integrated circuit barrier structures
US4937652A (en) Semiconductor device and method of manufacturing the same
JP3353727B2 (ja) 半導体装置の配線構造の形成方法
JPH08506935A (ja) アルミニウム・ゲルマニウム合金を用いる行路および接点の充填
JPS60244048A (ja) 耐エレクトロマイグレーシヨン性を有するアルミニウム合金導体の形成方法
US5641994A (en) Multilayered A1-alloy structure for metal conductors
US6174798B1 (en) Process for forming metal interconnect stack for integrated circuit structure
US5171642A (en) Multilayered intermetallic connection for semiconductor devices
JPH05226337A (ja) 薄膜配線およびその製造方法
JP3315211B2 (ja) 電子部品
US6045892A (en) Metal wiring structures for integrated circuits including seed layer
EP0813245A2 (en) Aluminum interconnections
JP3732010B2 (ja) 後工程で堆積する伝導体層に優れたテクスチャーを与える低抵抗率オキシ窒化チタン(TiON)膜の堆積方法
JP3330938B2 (ja) アルミニウムと窒化チタンとの間の界面の安定化
JPH10308362A (ja) メタライゼーション構造
EP0875923A2 (en) Multilayer metallization structure comprising a group IVA metal
JP3471266B2 (ja) 半導体装置の製造方法および半導体装置
JP3346794B2 (ja) 半導体装置及びその形成方法
JP2684622B2 (ja) 半導体装置の配線用アルミニウム合金材料
JP2002367999A (ja) 電子部品及びその製造方法
JP2928057B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees