JP2023527329A - 層スタックの部分を修正する方法 - Google Patents

層スタックの部分を修正する方法 Download PDF

Info

Publication number
JP2023527329A
JP2023527329A JP2022571811A JP2022571811A JP2023527329A JP 2023527329 A JP2023527329 A JP 2023527329A JP 2022571811 A JP2022571811 A JP 2022571811A JP 2022571811 A JP2022571811 A JP 2022571811A JP 2023527329 A JP2023527329 A JP 2023527329A
Authority
JP
Japan
Prior art keywords
layer
layer stack
deep
trenches
deep trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022571811A
Other languages
English (en)
Inventor
スケトゥ アルン パリーク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023527329A publication Critical patent/JP2023527329A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本明細書に提供された実施形態は、概して、層スタックの部分を修正する方法に関する。本方法は、深いトレンチ及び狭いトレンチを形成することを含み、その結果、層間の望ましく低い電圧降下が達成される。深いトレンチを形成する方法は、流動可能な誘電体の部分をエッチングすることを含み、その結果、深い金属接点は深いトレンチの下方に配置される。深いトレンチは選択的エッチングされて、修正された深いトレンチを形成する。スーパービアを形成する方法は、層スーパースタックの第2の層スタックを通って、スーパービアトレンチを形成することを含む。本明細書に開示された方法は、半導体層スタック内の特徴部の抵抗、ひいては電圧降下の減少を可能にする。【選択図】図2K

Description

[0001]本発明の実施形態は方法に関し、より具体的には、層スタックの部分を修正する方法に関する。
関連技術の記載
[0002]集積回路(IC)などの半導体デバイスは、一般に、トランジスタ、ダイオード及び抵抗器などの電子回路素子を有し、半導体材料の単一体上に一体的に製造される。様々な回路素子は、導電性コネクタを介して接続されて完全な回路を形成し、これは数百万の個々の回路素子を含有し得る。半導体材料及び処理技法の進歩は、ICの外形寸法の減少をもたらしたが、回路素子数は増加した。更なる小型化は、IC性能の向上及びコスト削減にとって、大いに望ましい。
[0003]相互接続は、ICの様々な電子素子間、また、これらの素子と、ピンなどの、ICを他の回路に接続するための、デバイスの外部接点素子との間の電気接続を提供する。通常、相互接続線は、電子回路素子間の水平接続を形成し、一方、導電性ビアプラグは、電子回路素子間の垂直接続を形成し、層状接続をもたらす。
[0004]相互接続線及びビアを生み出すために、多種多様な技法が採用される。かかる技法の1つは、一般にデュアルダマシンと称されるプロセスを伴い、これは、トレンチ及び下部にあるビアホールを形成することを含む。トレンチ及びビアホールは、導体性材料、例えば金属で同時に充填され、ひいては、相互接続線及び下部にあるビアプラグを同時に形成する。
[0005]現在の技術における1つの欠点は、層スタックにおけるビア及び他の金属接続として使用される材料が望ましくない高い抵抗を有することである。高い抵抗は、層間に高電圧降下をもたらし、これは、スタック内の短絡を引き起こし得る。加えて、ビア及び相互接続の特定の形状寸法は、ビア及び相互接続の抵抗を更に増加させ得る。
[0006]したがって、望ましくない高い抵抗を伴わずに、層スタック内にビア又は他の相互接続を成長させる方法が必要とされている。
[0007]本明細書に提供された実施形態は、概して、層スタックの部分を修正する方法に関する。本方法は、深いトレンチ及び狭いトレンチを形成することを含み、その結果、層間の望ましく低い電圧降下が達成される。
[0008]一実施形態では、層スタック内に修正された深いトレンチを形成する方法が提供される。本方法は、修正された深いトレンチを形成するため、深い金属接点のうちの少なくとも一部分が露出されるように、層スタック内に配置された深いトレンチを選択的エッチングすることと、修正された深いトレンチ内にバリア層を堆積させることと、バリア層の上に第1の充填材料を堆積させることと、第1の充填材料の第1の望ましくない部分を除去することとを含む。
[0009]別の実施形態では、層スタック内に深いトレンチを形成する方法が提供される。本方法は、流動可能な誘電体内に深いトレンチをエッチングすることにより、深い金属接点が深いトレンチの下方に配置されることと、深いトレンチ内にバリア層を堆積させることと、バリア層の上に第1の充填材料を堆積させることと、第1の充填材料の第1の望ましくない部分を除去することとを含む。
[0010]更に別の実施形態では、層スーパースタック内にビアトレンチ及びスーパービアトレンチを形成する方法が提供される。層スーパースタックは、第1の層スタック及び第2の層スタックを含む。第2の層スタックは、第1の層スタックの上に配置される。本方法は、第2の層スタック内にビアトレンチを形成することと、第2の層スタックを通ってスーパービアトレンチを形成することにより、第1の層スタックの第1の充填材料の一部分が露出されることとを含む。
[0011]本開示の上述の特徴を詳しく理解し得るように、上記で簡単に要約された本実施形態のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は添付の図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容し得ることから、添付の図面は本開示の典型的な実施形態のみを示しており、したがって、本開示の範囲を限定すると見なすべきではないことに留意されたい。
[0012]一実施形態に係る、層スタック内に修正された深いトレンチを形成するための方法工程のフローチャートである。 [0013]一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 [0014]一実施形態に係る、層スタック内に修正された深いトレンチを形成するための方法工程のフローチャートである。 [0015]一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 一実施形態に係る、層スタックである。 [0016]一実施形態に係る、層スーパースタック内にビア及びスーパービアを形成するための方法工程のフローチャートである。 [0017]一実施形態に係る、層スーパースタックである。 一実施形態に係る、層スーパースタックである。 一実施形態に係る、層スーパースタックである。 一実施形態に係る、層スーパースタックである。
[0018]理解を容易にするために、可能な場合には、図に共通する同一要素を指し示すのに同一の参照番号を使用した。一実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれ得ると想定される。
[0019]本明細書に提供された実施形態は、概して、層スタックの部分を修正する方法に関する。本方法は、深いトレンチ及び狭いトレンチを形成することを含み、その結果、層間の望ましく低い電圧降下が達成される。深いトレンチを形成する方法は、流動可能な誘電体の部分をエッチングすることを含み、その結果、深い金属接点が深いトレンチの下方に配置される。深いトレンチは選択的エッチングされて、修正された深いトレンチを形成する。スーパービアを形成する方法は、層スーパースタックの第2の層スタックを通って、スーパービアトレンチを形成することを含む。本明細書に開示された方法は、半導体層スタック内の特徴部の抵抗、ひいては電圧降下の減少を可能にする。本方法は、材料変化からのより高い抵抗が、上述のように、特徴部の形状及び深さの修正によって少なくとも部分的に対抗されるので、層材料をより高い抵抗の特徴部に変更することを可能にする。加えて、狭いトレンチを含むことにより、層スタックの時定数の同時降下が可能になる。本明細書に開示された実施形態は、望ましく低い抵抗及び電圧降下を伴って、充填されたトレンチ及び充填されたビアを生み出すために有用であり得るが、これらに限定されない。
[0020]本明細書で使用される「約(about)」という用語は、公称値から+/-10%の変動のことを指す。かかる変動は本明細書で提供しているいかなる値にも含まれ得ると理解されたい。
[0021]本開示の様々な実施形態において、層又は他の材料は、堆積されているものを指す。これらの材料の堆積は、化学気相堆積(CVD)、原子層堆積(ALD)、物理的気相堆積(PVD)、電気メッキ、無電解メッキ、上記のいずれかの選択的堆積、上記の組み合わせ、及び任意の他の適切な方法などの、半導体製造に使用される任意の従来の方法を用いて実行され得ることが理解される。本明細書で、方法工程が、2つ以上の別個の場所に材料を堆積させるように記載される場合、堆積は同時に行われてもよく、又は材料は別個の副工程で堆積されてもよいことを理解されたい。
[0022]本開示の様々な実施形態において、層又は他の材料は、エッチングされているものを指す。これらの材料のエッチングは、反応性イオンエッチング(RIE)、ドライエッチング、湿式エッチング、プラズマエッチング、微小負荷、上記のいずれかの選択的エッチング、上記の組み合わせ、及び任意の他の適切な方法などの、半導体製造に使用される任意の従来の方法を用いて実行され得ることが理解される。本明細書で、方法工程が2つ以上のタイプの材料をエッチングするように記載される場合、エッチングは、同じエッチングプロセスと同時に行われてもよく、又はエッチングは、異なるエッチングプロセスを使用して別個の副工程で実行されてもよいことを理解されたい。例えば、金属及び誘電体をエッチングすることを記載する工程は、金属をエッチングする第1のエッチングプロセスを使用する第1のエッチング副工程を含み、本工程は、誘電体をエッチングする第2のエッチングプロセスを使用する第2のエッチング副工程を更に含む。
[0023]図1は、一実施形態による、層スタック(例えば、図2Aの層スタック200)内の修正された深いトレンチを形成するための方法100工程のフローチャートである。方法100工程は、図1及び2A-2Kに関連して記載されているが、当業者は、任意の順序で方法100工程を実行するように構成された任意のシステムが、本明細書に記載の実施形態の範囲内に入ることを理解するであろう。
[0024]図2Aは、一実施形態による層スタック200を示す。図示のように、層スタック200は、基板201と、第1の誘電体層202と、複数の金属接点203(例えば、203A、203B)と、第1のエッチング停止層204(ESL)と、第2の誘電体層205と、第2のエッチング停止層(ESL)206と、複数のハードマスク207とを含む。基板201は、半導体処理で使用される任意の基板を含み得る。基板201は半導体とすることができる。基板201は、平坦で特徴のないシリコン(Si)ウエハとすることができる。基板201は、通常、論理ゲート、入出力(I/O)ゲート、電界効果トランジスタ(FET)、フィン電界効果トランジスタ(finFET)、又はメモリ用途で使用されるようなパターニングされたシリコンウエハとすることができる。
[0025]基板201は、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープさていないシリコン、パターニングされた又はパターニングされていないウエハ、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、シリコン上に配置された金属層などを含み得る。基板201は、200mm、300mm、若しくは450mm直径ウエハとして、又は長方形若しくは正方形のパネルとして構成され得る。基板201は、アライメントマーク(図示せず)又は他の特徴部を基板201の表面上に含有し、様々なパターンのアライメントおよび位置決めを支援して、正しい層パターンが成長又はエッチングされることを確実にし得る。基板201は、その上に配置された任意の数の金属材料、半導体材料、及び/又は絶縁材料を含み得る。
[0026]第1の誘電体層202は、基板201の上に配置される。第1の誘電体層202は、シリコンオキシカーバイド(SiOC)などの低誘電率誘電体材料を含み得る。複数の金属接点203は、第1の誘電体層202内に配置される。複数の金属接点203には、少なくとも1つの狭い金属接点203A及び少なくとも1つの深い金属接点203Bが含まれる。複数の金属接点203は、タングステン(W)、コバルト(Co)、ルテニウム(Ru)、上記の任意の合金、又は上記の任意の組み合わせなどの導電性材料を含む。
[0027]第1のESL204は、第1の誘電体層202の上に配置される。第1のESL204は、当技術分野で使用される任意のエッチング停止を含み得る。第1のESL204は、炭窒化ケイ素(SiCN)、酸化アルミニウム(AlxOy)、又は上記の任意の組み合わせを含む。幾つかの実施形態では、複数の接点203のうちの少なくとも1つの一部分は、ESL204を越えて延在する。
[0028]第2の誘電体層205は、第1のESL204の上に配置される。第2の誘電体層205には、第1の誘電体層202の材料のいずれかが含まれる。第2のESL206は、第2の誘電体層205の上に配置される。第2のESL206には、第1のESL204の材料のいずれかが含まれる。複数のハードマスク207は、スピンオンカーボン、アモルファスカーボン、又は下層反射防止膜(BARC)などの、選択的エッチングのために当技術分野で使用される任意のマスクを含む。
[0029]方法100は、図2Bに示されるように、エッチング停止層(例えば、パターン250が第2のESL206に形成される)にパターンが形成される工程110で開始する。パターン250は、任意の従来のエッチング方法を用いて形成され、パターンは、複数のハードマスク207によって覆われていない第2のESL206の部分をエッチングによって除去することによって形成される。
[0030]工程120では、図2Cに示されるように、ハードマスク207が除去される。ハードマスク207は、当技術分野で典型的に使用される任意のプロセスによって除去され得る。工程120は、使用されるハードマスク207のタイプに左右される。
[0031]工程130では、図2Dに示されるように、パターニングされたマスク(例えば、パターニングされたマスク208)が、第2のESL206の上に堆積される。パターニングされたマスク208は、パターニングされたマスクが後続のプロセスにおいて望ましくないエッチングから特定の領域を保護するので、下部にある層スタック200上に材料を選択的エッチング又は堆積することを可能にする。パターニングされたマスク208は、フォトレジスト材料又は自己組織化単分子膜(SAM)の堆積層を含み得る。パターニングされたマスク208は、アモルファスカーボンなどの炭素(C)を含み得る。第1の副工程において1つの層内にマスクを堆積させることができ、次いで、第2の副工程において層をパターニングして、パターニングされたマスク208を形成する。
[0032]工程140では、図2Eに示されるように、第2のESL206及び第2の誘電体層205の部分を選択的エッチングすることによって、深いトレンチ(例えば、深いトレンチ209)が形成される。深いトレンチ209は、パターニングされたマスク208によって覆われていない層スタック200の領域を通してエッチングすることによって生み出される。深いトレンチ209は、約100Å~約200Åの深さでエッチングされ得る。図2Eでは、深いトレンチ209は第2の誘電体層205を部分的に貫通しているが、幾つかの実施形態では、深いトレンチは第2の誘電体層205を完全に貫通し、第1のESL204を部分的に又は完全に貫通している。
[0033]工程150では、図2Fに示されるように、パターニングされたマスク208が除去される。パターニングされたマスク208は、当技術分野で典型的に使用される任意のプロセスによって除去され得る。工程130は、使用されるパターニングされたマスク208のタイプに左右される。
[0034]工程160では、深いトレンチは、図2Gに示されるように、修正された深いトレンチ(例えば、修正された深いトレンチ211)が形成されるように、選択的エッチングされる。修正された深いトレンチ211は、深いトレンチ209の領域を通してエッチングすることによって生み出される。修正された深いトレンチ211は、第1の誘電体層202の部分を選択的エッチングすることによって生み出される。修正された深いトレンチ211は、深い金属接点203Bの少なくとも一部分を露出させる。幾つかの実施形態では、修正された深いトレンチ211は、深い金属接点203Bの上面203B上部及び側面203B側面の部分を露出させる。工程160は、本明細書に開示されたエッチングプロセスのいずれかを含み得る。修正された深いトレンチ211の深さは、約150Åから約300Åまで変化する。修正された深いトレンチ211は、パワーレールとして使用することができる。
[0035]工程160は、一実施形態によれば、一又は複数の狭いトレンチ(例えば、一又は複数の狭いトレンチ210)を形成することを更に含む。一又は複数の狭いトレンチ210は、第2のESL206及び第2の誘電体層205の部分を選択的エッチングすることによって形成される。一又は複数の狭いトレンチ210は、パターン250によって覆われていない層スタック200の領域を通してエッチングすることによって生み出される。狭いトレンチ210のうちの少なくとも1つは、一実施形態によれば、狭い金属接点203Aの少なくとも一部分を露出させる。狭いトレンチ210の深さは、約100Åから約200Åである。狭いトレンチ210は、約3.5:1の深さ対幅アスペクト比(AR)を有する。
[0036]パターン250は、一又は複数の狭いトレンチ210及び修正された深いトレンチ209を生み出すために、エッチングの幅を位置決め及び制御するために使用される。一又は複数の狭いトレンチ210及び修正された深いトレンチ209は、異なる深さでエッチングされ得る。例えば、一又は複数の狭いトレンチ210は、深いトレンチ209及び/又は修正された深いトレンチ211よりも浅い深さを有する。別の実施例では、一又は複数の狭いトレンチ210は、第1のESL204を通して完全にはエッチングされないのに対して、深いトレンチ209は、第1のESLを部分的に又は全体的に通してエッチングされる。深いトレンチ209の深さは、約150Åから約350Åまで変化する。
[0037]工程170では、図2Hに示されるように、バリア層(例えばバリア層212)が、修正された深いトレンチ211内に堆積される。バリア層212は、その上に堆積された層の接着性を向上させ、その上に堆積された層の粒度を低減する。バリア層212は、窒化タンタル(TaN)を含み得る。幾つかの実施形態では、バリア層212は、深い金属接点203Bの露出部分上に堆積される。他の実施形態では、バリア層212は、バリア層の選択的堆積のために、深い金属接点203Bの露出部分上には堆積されない。
[0038]工程180では、図2Iに示されるように、第1の充填材料(例えば、第1の充填材料213)がバリア層212の上に堆積される。第1の充填材料213は、修正された深いトレンチ209を少なくとも部分的に充填する。第1の充填材料213は、CVD、無電解メッキ、選択的堆積、PVD、又は上記の任意の組み合わせを使用して堆積され得る。第1の充填材料213は、一実施形態によれば、一又は複数の狭いトレンチ210を少なくとも部分的に充填する。第1の充填材料213は、導電性材料を含む。第1の充填材料213は、一実施形態によれば、コバルト(Co)、タングステン(W)、銅(Cu)、上記のいずれかの合金、及び上記の任意の組み合わせを含む。幾つかの実施形態では、第1の充填材料213の第1の望ましくない部分213Uが、層スタック200の上の様々な場所に存在する。第1の望ましくない部分213Uは、以下に記載するように、更なる工程において除去され得る。
[0039]工程190では、図2Jに示されるように、第2の充填材料(例えば、第2の充填材料214)が第1の充填材料213の上に堆積される。第2の充填材料214は、修正された深いトレンチ209を少なくとも部分的に充填する。第2の充填材料214は、一実施形態によれば、一又は複数の狭いトレンチ210を少なくとも部分的に充填する。第2の充填材料214は、導電性材料を含む。第2の充填材料214は、一実施形態によれば、コバルト(Co)、タングステン(W)、銅(Cu)、上記のいずれかの合金、及び上記の任意の組み合わせを含む。第2の充填材料214は、一実施形態によれば、第1の充填材料213の導電性材料とは異なる導電性材料を含む。幾つかの実施形態では、第2の充填材料214の第2の望ましくない部分214Uが、層スタック200の上の様々な場所に存在する。第2の望ましくない部分214Uは、以下に記載するように、更なる工程において除去され得る。
[0040]幾つかの実施形態では、工程190は実行されず、第1の充填材料213は、一又は複数の狭いトレンチ210及び/又は修正された深いトレンチ211のうちの一又は複数を充填する。幾つかの実施形態では、工程190は、第2の充填材料214を修正された深いトレンチ211内に堆積させるが、一又は複数の狭いトレンチ210内には堆積させない。幾つかの実施形態では、工程190は、第2の充填材料214を一又は複数の狭いトレンチ210内に堆積させるが、修正された深いトレンチ211内には堆積させない。
[0041]工程195では、図2Kに示されるように、望ましくない充填部分は除去される(例えば、もしあれば、第1の望ましくない部分213U、及び/又はもしあれば、第2の望ましくない部分214U)。望ましくない充填部分は、表面を平坦化することによって除去され得る。表面の平坦化は、一実施形態によれば、化学機械研磨(CMP)プロセスを含む。
[0042]金属接点203Bの周りに形成される修正された深いトレンチ211は、従来のトレンチ(すなわち、金属接点の上部を露出させるが、金属接点の側面を露出させないトレンチ)と比較して、抵抗Rの降下を可能にする。抵抗の降下は、電圧V(V=IR、ここで、Iは電流)の降下をもたらす。本明細書に開示された修正された深いトレンチ211は、R及びVを、約40%など、最大約50%低減することができる。
[0043]修正された深いトレンチ211の深さの増加は、低減したIR降下のために、パワーレール上の低減したVをもたらす。加えて、狭いトレンチ210についてより小さな深さ及び幅を維持することは、低減した静電容量(C)をもたらし、ひいては、時定数τ=RCを低減させる。したがって、修正された深いトレンチ211と狭いトレンチ210との組み合わせは、層スタック200のV降下とτの両方を低減させる間のバランスを可能にする。あるいは、同様の性能のために、修正された深いトレンチ211の幅(例えば、パワーレールの幅)は、修正された深いトレンチの深さの増加と同様の比率によって修正することができ、したがって、低減された領域を可能にする。第1の充填材料213及び/又は第2の充填材料214がCo及び/又はRuを含む実施形態では、より深く修正された深いトレンチ211は、不利益を最小限に抑えることができるIR降下低減を実現する。
[0044]図3は、一実施形態による、層スタック(例えば、図4Aの層スタック400)内の修正された深いトレンチを形成するための方法300工程のフローチャートである。方法300工程は、図3及び4A-Mに関連して記載されているが、当業者は、任意の順序で方法300工程を実行するように構成された任意のシステムが、本明細書に記載の実施形態の範囲内に入ることを理解するであろう。
[0045]図4Aは、一実施形態による層スタック400を示す。図示のように、層スタック400は、基板201と、第1の誘電体層202と、複数の金属接点203と、第1の層402と、第2の層403と、複数のハードマスク404とを含む。第1の層402は、ルテニウム(Ru)、モリブデン(Mo)、タングステン(W)、上記の任意の合金、及び上記の任意の組み合わせなどの一又は複数の金属を含み得る。第2の層403は、酸化物などの絶縁材料を含み得る。ハードマスク404は、上述の図2Aのハードマスク207と実質的に類似であり得る。
[0046]方法300は、図4Bに示されるように、一又は複数の狭いトレンチ(例えば狭いトレンチ405)が形成される工程310で開始する。一又は複数の狭いトレンチ405は、ハードマスク404を通って、第1の層402及び第2の層403の一部分をエッチングすることによって形成される。工程310の間、複数の層特徴部(例えば、複数の層特徴部416)が形成される。複数の層特徴部416には、工程310中にエッチングされなかった第1の層402及び第2の層403からの材料が含まれる。工程310の間、一実施形態によれば、深い金属接点203Bの少なくとも一部分が露出される。一又は複数の狭い金属接点203Aのうちの1つの少なくとも一部分は、一実施形態によれば、複数の層特徴部416のうちの1つの下に配置される。複数の層特徴部416の第1の層402の部分は、層スーパースタックのM0素子とすることができる。
[0047]工程320では、ハードマスク404が除去され、図4Cに示されるように、流動可能な誘電体(例えば、流動可能な誘電体407)が、複数の層特徴部416及び第1の誘電体層202の上に堆積される。流動可能な誘電体407は、SiOCなどの低誘電率誘電体を含み得る。
[0048]幾つかの実施形態では、流動可能な誘電体407の第1の望ましくない部分407Uが、層スタック400の上の様々な場所に存在する。第1の望ましくない部分407Uは、以下に記載するように、更なる工程において除去され得る。
[0049]工程330では、図4Dに示されるように、第1の望ましくない部分(例えば、第1の望ましくない部分407U)が除去される。第1の望ましくない部分407Uは、表面を平坦化することによって除去され得る。表面の平坦化は、一実施形態によれば、CMPプロセスを含む。
[0050]工程340では、図4Eに示されるように、パターニングされたマスク(例えば、パターニングされたマスク408)が、層スタック400の上に堆積される。パターニングされたマスク408は、図2Bの議論において上述したパターニングされたマスク208と実質的に類似であり得る。パターニングされたマスク408は、また、図2Aの議論において上述したハードマスク207と実質的に類似であり得る。
[0051]工程350では、図4Fに示されるように、深いトレンチ(例えば深いトレンチ410)が、流動可能な誘電体407内にエッチングされる。深いトレンチ410は、パターニングされたマスク408(図4E)の開口部409を通してエッチングされる。深い金属接点203Bは、深いトレンチ410によって露出され得る。深いトレンチ410は、第1の層402を部分的に又は全体的に貫通し得る。深いトレンチ410は、パワーレールの一部とすることができる。深いトレンチ410の深さは、約150Åから約300Åまで変化する。
[0052]工程360では、図4Gに示されるように、パターニングされたマスク408は除去される。パターニングされたマスクの除去は、図1の議論において上述した工程130と実質的に類似であり得る。
[0053]工程365では、図4Hに示されるように、複数のスペーサ層(例えばスペーサ層420)が、深いトレンチ410の側面410S上に堆積される。スペーサ層420は、窒化ケイ素又は二酸化ケイ素などの任意の誘電体を含み得る。スペーサ層420は、第1の層402の部分と深いトレンチ410内に堆積された任意の材料との間の電気的絶縁を増大させる。
[0054]工程370では、図4Iに示されるように、バリア層(例えばバリア層411)が、深いトレンチ410内に堆積される。バリア層411は、図2Hの議論において述べられたバリア層212と実質的に類似であり得る。バリア層の堆積は、図1の議論において上述した工程170と実質的に類似であり得る。
[0055]工程380では、図4Jに示されるように、第1の充填材料(例えば第1の充填材料412)がバリア層411の上に堆積される。第1の充填材料412は、図2Iの議論において上述した第1の充填材料213と実質的に類似であり得る。第1の充填材料412の堆積は、図1の議論において上述した工程180と実質的に類似であり得る。
[0056]幾つかの実施形態では、第2の充填材料(図示せず)が第1の充填材料412の上に堆積され得る。第2の充填材料は、図2Jの議論において上述した第2の充填材料214と実質的に類似であり得る。第2の充填材料の堆積は、図1の議論において上述した工程190と実質的に類似であり得る。
[0057]幾つかの実施形態では、第1の充填材料412の第1の望ましくない部分412Uが、層スタック400の上の様々な場所に存在する。幾つかの実施形態では、第2の充填材料の第2の望ましくない部分(図示せず)が、層スタック400の上の様々な場所に存在する。第1の望ましくない部分412U及び第2の望ましくない部分は、以下に記載するように、更なる工程において除去され得る。
[0058]工程385では、図4Kに示されるように、望ましくない充填部分は除去される(例えば、もしあれば、第1の望ましくない部分412U、及び/又は、もしあれば、第2の望ましくない部分)。望ましくない充填部分の除去は、図1の議論において上述した工程195と実質的に類似であり得る。
[0059]工程386では、図4Lに示されるように、キャッピング層(例えばキャッピング層413)が、層構造400の上に堆積される。キャッピング層413は、誘電体材料を含み得る。
[0060]工程390では、図4Mに示されるように、ビアチャネル(例えばビアチャネル414)が、キャッピング層413及び第2の層403の少なくとも一部分を通してエッチングされる。
[0061]工程395では、図4Nに示されるように、ビア材料(例えばビア材料415)が、ビアチャネル414内に堆積される。一実施形態によれば、ビア材料415及び第1の充填材料412は、同じ材料を含む。
[0062]深いトレンチ410の深さの増加は、低減したIRのために、低減したVをもたらす。加えて、狭いトレンチ405についてより小さな深さ及び幅を維持することは、低減した静電容量(C)をもたらし、ひいては、時定数τ=RCを低減させる。したがって、深いトレンチ410と狭いトレンチ405との組み合わせは、層スタック400のV降下とτの両方を低減させる間のバランスを可能にする。
[0063]図5は、一実施形態による、層スーパースタック(例えば、図6Aの層スーパースタック600)内にビア及びスーパービアを形成するための方法500工程のフローチャートである。方法500工程は、図5及び6A-Dに関連して記載されているが、当業者は、任意の順序で方法500工程を実行するように構成された任意のシステムが、本明細書に記載の実施形態の範囲内に入ることを理解するであろう。
[0064]図6Aは、一実施形態による層スーパースタック600を示す。図示のように、層スーパースタック600は、第1の層スタック611と、第2の層スタック610とを含む。第1の層スタック611は、基板201と、その上に配置された複数の他の層とを含む。第1の層スタック611は、図4Nに示される層スタック400と実質的に類似であり得る。
[0065]第2の層スタック610は、第1の層スタック611の上に配置される。図示のように、第2の層スタック610は、第1の層601と、第2の層602と、流動可能な誘電体603とを含む。第1の層601は、図4Aの議論において上述した第1の層402と実質的に類似であり得る。第2の層602は、第1の層601の上に配置される。第2の層602は、図4Aの議論において上述した第2の層403と実質的に類似であり得る。流動可能な誘電体603は、第2の層602の上に配置され、流動可能な誘電体は、第1の層601及び第2の層602の側面に配置される。流動可能な誘電体603は、図4Cの議論において上述した流動可能な誘電体407と実質的に類似である。第1の層601は、層スーパースタック600のM1素子とすることができる。
[0066]方法500は、図6Bに示されるように、ビアトレンチ(例えばビアトレンチ604)及びスーパービアトレンチ(例えばスーパービアトレンチ605)が層スーパースタック600内に形成される工程510で開始する。スーパービアトレンチ605は、第1の充填材料412の少なくとも一部分を露出させる。工程510は、同じステップでビアトレンチ604及びスーパービアトレンチ605を形成することを含み得る。工程510は、1つの副工程においてビアトレンチ604を形成することと、別の副工程においてスーパービアトレンチ605を形成することとを含み得る。
[0067]工程520では、図6Cに示されるように、ビアトレンチ604は、ビアトレンチ内のビア材料(例えばビア材料606)で充填され、スーパービアトレンチは、スーパービア材料(例えばスーパービア材料607)で充填される。ビア材料606及びスーパービア材料607は、それぞれビア導電性材料及びスーパービア導電性材料を含む。ビア導電性材料及びスーパービア導電性材料はそれぞれ、金属(例えば、Ru、W、Co、Mo、それらの合金、及びそれらの任意の組み合わせ)などの導電性材料を含む。一実施形態によれば、ビア材料606及びスーパービア材料607は、同じ材料を含む。工程520は、同じ工程においてビア材料607及びスーパービア材料607を充填することを含み得る。工程520は、1つの副工程においてビア材料607を充填することと、別の副工程においてスーパービア材料607を充填することとを含み得る。
[0068]工程530では、複数のM層素子(例えばM層素子608)が、図6Dに示されるように、第2の層スタック(例えば、第2の層スタック610)の最上層の上に堆積される。例えば、最上層は、キャッピング層413を含む。複数のM層素子608は、Ru、W、Co、Mo、それらの合金、及びそれらの任意の組み合わせを含み得る。一実施形態によれば、複数のM層素子608のうちの1つと第1の充填材料412との間に電気接続が形成される。一実施形態によれば、複数のM層素子608及び第1の充填材料412は、異なる材料を含む。複数のM層素子608は、層スーパースタック600のM素子とすることができる。したがって、M層素子608、スーパービア材料607、及び第1の充填材料412の組み合わせは、ビアシャントとして、又はビアストラドルとして作用する。ビアシャント(又はビアストラドル)は、第1の充填材料412(例えば、M0層素子)とM層素子との間の低R接続を可能にする。
[0069]上述のように、層スタックの部分を修正する方法が提供される。深いトレンチを形成する方法は、流動可能な誘電体の部分をエッチングすることを含み、その結果、深い金属接点は深いトレンチの下方に配置される。深いトレンチは選択的エッチングされて、修正された深いトレンチを形成する。スーパービアを形成する方法は、層スーパースタックの第2の層スタックを通って、スーパービアトレンチを形成することを含む。
[0070]本明細書に開示された方法は、半導体層スタック内の特徴部の抵抗、ひいては電圧降下の減少を可能にする。本方法は、材料変化からのより高い抵抗が、上述のように、特徴部の形状及び深さの修正によって少なくとも部分的に対抗されるので、層材料をより高い抵抗の特徴部に変更することを可能にする。加えて、狭いトレンチを含むことにより、層スタックの時定数の同時降下が可能になる。
[0071]上記は本発明の実装形態を対象としているが、本発明の基本的な範囲を逸脱することなく、本発明の他の実装形態及び更なる実装形態が考案されてよく、本発明の範囲が、以下の特許請求の範囲により決定される。

Claims (40)

  1. 層スタック内に修正された深いトレンチを形成する方法であって、
    前記修正された深いトレンチを形成するため、深い金属接点のうちの少なくとも一部分が露出されるように、前記層スタック内に配置された深いトレンチを選択的エッチングすることと、
    前記修正された深いトレンチ内にバリア層を堆積させることと、
    前記バリア層の上に第1の充填材料を堆積させることと、
    前記第1の充填材料の第1の望ましくない部分を除去することと
    を含む、方法。
  2. 前記第1の充填材料の上に第2の充填材料を堆積させることと、
    前記第2の充填材料の第2の望ましくない部分を除去することと
    を更に含む、請求項1に記載の方法。
  3. 前記第1の望ましくない部分を前記除去することと、前記第2の望ましくない部分を前記除去することとが、化学機械研磨プロセスを含む、請求項2に記載の方法。
  4. 前記層スタックの上にパターニングされたハードマスクを堆積させることと、
    前記層スタック内に前記深いトレンチを形成することと
    を更に含み、前記深いトレンチが前記深い金属接点の上に配置される、請求項1に記載の方法。
  5. 前記深いトレンチを選択的エッチングすることが、前記層スタック内に一又は複数の狭いトレンチを選択的エッチングすることにより、狭い金属接点の一部分が露出されることを更に含み、
    前記修正された深いトレンチ内に前記バリア層を堆積させることが、前記一又は複数の狭いトレンチ内に前記バリア層を堆積させることを更に含み、
    前記修正された深いトレンチ内に前記第1の充填材料を堆積させることが、前記一又は複数の狭いトレンチ内の前記バリア層の上に前記第1の充填材料を堆積させることを更に含む、請求項4に記載の方法。
  6. 前記一又は複数の狭いトレンチ内の前記第1の充填材料の上に第2の充填材料を堆積させることと、
    前記第2の充填材料の第2の望ましくない部分を除去することと
    を更に含む、請求項5に記載の方法。
  7. 前記バリア層が、窒化タンタル(TaN)を含み、
    前記第1の充填材料が、コバルト(Co)を含み、
    前記第2の充填材料が、銅(Cu)を含む、請求項6に記載の方法。
  8. 層スタック内に深いトレンチを形成する方法であって、
    流動可能な誘電体内に前記深いトレンチをエッチングすることにより、深い金属接点が前記深いトレンチの下方に配置されることと、
    前記深いトレンチ内にバリア層を堆積させることと、
    前記バリア層の上に第1の充填材料を堆積させることと、
    前記第1の充填材料の第1の望ましくない部分を除去することと
    を含む、方法。
  9. 前記深いトレンチを前記エッチングすることが、前記深い金属接点のうちの少なくとも一部分を露出させる、請求項8に記載の方法。
  10. 前記層スタック内にビアチャネルをエッチングすることと、
    前記ビアチャネル内にビア金属を堆積させることと
    を更に含む、請求項8に記載の方法。
  11. 前記層スタック内に一又は複数のトレンチを形成することであって、
    前記一又は複数のトレンチを前記形成することが、第1の層及び第2の層をエッチングすることを含み、
    一又は複数の層特徴部が形成され、かつ、
    前記ビアチャネルが前記一又は複数の層特徴部のうちの1つの中に形成される、前記層スタック内に一又は複数のトレンチを形成することと、
    前記一又は複数のトレンチ内に前記流動可能な誘電体を堆積させることと
    を更に含む、請求項10に記載の方法。
  12. 前記ビア金属及び前記第1の充填材料が、同じ材料を含む、請求項10に記載の方法。
  13. 前記第1の充填材料の上に第2の充填材料を堆積させることと、
    前記第2の充填材料の第2の望ましくない部分を除去することと
    を更に含む、請求項8に記載の方法。
  14. 層スーパースタック内にビアトレンチ及びスーパービアトレンチを形成する方法であって、前記スーパースタックは、
    第1の層スタックと、
    前記第1の層スタックの上に配置された第2の層スタックと
    を含み、前記方法が、
    前記第2の層スタック内に前記ビアトレンチを形成することと、
    前記第2の層スタックを通って前記スーパービアトレンチを形成することにより、前記第1の層スタックの第1の充填材料の一部分が露出されることと
    を含む、方法。
  15. 前記ビアトレンチ内にビア材料を堆積させることと、
    前記スーパービアトレンチ内にスーパービア材料を堆積させることと
    を更に含む、請求項14に記載の方法。
  16. 前記ビア材料及び前記スーパービア材料の上に複数のM層素子を堆積させることにより、前記M層素子のうちの1つと前記第1の充填材料との間に電気接続が形成されることを更に含む、請求項15に記載の方法。
  17. 前記M層素子及び前記第1の充填材料が、異なる材料を含む、請求項16に記載の方法。
  18. 前記第1の充填材料が、タンタル(Ta)、銅(Cu)、又はコバルト(Co)を含む、請求項16に記載の方法。
  19. 前記ビア材料及び前記スーパービア材料が、同じ材料を含む、請求項15に記載の方法。
  20. 前記ビア材料が、銅(Cu)、ルテニウム(Ru)、モリブデン(Mo)、又はタングステン(W)を含む、請求項15に記載の方法。
  21. 層スタックであって、
    第1の誘電体層と、
    深い金属接点を含む複数の金属接点であって、前記第1の誘電体層の中に少なくとも部分的にある、複数の金属接点と、
    前記第1の誘電体層の上の第1のエッチング停止層と、
    前記第1のエッチング停止層の上の第2の誘電体層と、
    前記第1のエッチング停止層及び前記第2の誘電体層の中の一又は複数の狭いトレンチと、
    前記第1のエッチング停止層、前記第2の誘電体層、及び前記第1の誘電体層の中の修正された深いトレンチであって、前記深い金属接点の上にあり、前記狭いトレンチのうちの少なくとも1つの深さよりも深い深さを有する、修正された深いトレンチと
    を備える、層スタック。
  22. 前記修正された深いトレンチの上のバリア層を更に備える、請求項21に記載の層スタック。
  23. 第1の導電性材料を含む第1の充填材料であって、前記修正された深いトレンチを少なくとも部分的に充填する、第1の充填材料を更に含む、請求項21に記載の層スタック。
  24. 第2の充填材料を更に含み、前記第2の充填材料が、前記第1の導電性材料とは異なる第2の導電性材料を含み、
    前記第2の充填材料が前記第1の充填材料の上にあり、かつ、
    前記第2の充填材料が、前記修正された深いトレンチを少なくとも部分的に充填する、請求項23に記載の層スタック。
  25. 前記第1の充填材料が、少なくとも1つの狭いトレンチを、少なくとも部分的に充填する、請求項23に記載の層スタック。
  26. 第2の充填材料が、少なくとも1つの狭いトレンチを、少なくとも部分的に充填する、請求項25に記載の層スタック。
  27. 前記第1の充填材料が、コバルト(Co)を含み、
    前記第2の充填材料が、銅(Cu)を含む、請求項26に記載の層スタック。
  28. 前記一又は複数の狭いトレンチのうちの1つが、金属接点の上にある、請求項21に記載の層スタック。
  29. 前記修正された深いトレンチが、前記深い金属接点のうちの少なくとも一部分を露出させる、請求項21に記載の層スタック。
  30. 前記修正された深いトレンチが、前記深い金属接点の上面及び側面の部分を露出させる、請求項29に記載の層スタック。
  31. 層スタックであって、
    第1の誘電体層と、
    前記第1の誘電体層の上の流動可能な誘電体と、
    前記流動可能な誘電体内の第1の層と、
    前記流動可能な誘電体内の第2の層であって、前記第1の層の上にある第2の層と、
    前記流動可能な誘電体内の深いトレンチと
    を備える、層スタック。
  32. 前記深いトレンチ内の第1の充填材料であって、第1の導電性材料を含む、第1の充填材料を更に含む、請求項31に記載の層スタック。
  33. 第2の充填材料を更に含み、前記第2の充填材料が、前記第1の導電性材料とは異なる第2の導電性材料を含み、
    前記第2の充填材料が前記第1の充填材料の上にあり、かつ、
    前記第2の充填材料が、前記深いトレンチを少なくとも部分的に充填する、請求項32に記載の層スタック。
  34. 前記深いトレンチの側面上に複数のスペーサ層を更に備える、請求項31に記載の層スタック。
  35. 前記流動可能な誘電体の上のキャッピング層であって、誘電体材料を含むキャッピング層を更に備える、請求項31に記載の層スタック。
  36. 層スーパースタックであって、
    第1の層スタックであって、
    第1の誘電体層と、
    前記第1の誘電体層の上の流動可能な誘電体と、
    前記流動可能な誘電体内の第1の層と、
    前記流動可能な誘電体内の第2の層であって、前記第1の層の上にある第2の層と、
    前記流動可能な誘電体内の深いトレンチと
    第1の導電性材料を含む第1の充填材料であって、前記深いトレンチを少なくとも部分的に充填する第1の充填材料と
    を含む、第1の層スタックと、
    前記第1の層スタックの上の第2の層スタックであって、
    上部第1の導電性材料を含む、上部第1の層と、
    スーパービア導電性材料を含むスーパービア材料であって、前記第1の充填材料に電気接続されたスーパービア材料と
    を含む、第2の層スタックと
    を備える、層スーパースタック。
  37. ビア導電性材料を含むビア材料であって、前記上部第1の層の上にあるビア材料を更に含む、請求項36に記載の層スーパースタック。
  38. 前記ビア導電性材料が、前記スーパービア導電性材料とは異なる、請求項37に記載の層スーパースタック。
  39. 前記ビア材料及び前記スーパービア材料の上の複数のM素子を更に含む、請求項37に記載の層スーパースタック。
  40. 流動可能な誘電体を更に含み、
    前記流動可能な誘電体が前記上部第1の層の上にあり、
    前記流動可能な誘電体が前記スーパービア材料の側面にある、請求項36に記載の層スーパースタック。
JP2022571811A 2020-05-28 2021-03-11 層スタックの部分を修正する方法 Pending JP2023527329A (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US202063031315P 2020-05-28 2020-05-28
US63/031,315 2020-05-28
US17/157,546 US11658041B2 (en) 2020-05-28 2021-01-25 Methods of modifying portions of layer stacks
US17/157,546 2021-01-25
US17/197,969 2021-03-10
US17/197,969 US20210375637A1 (en) 2020-05-28 2021-03-10 Methods of modifying portions of layer stacks
PCT/US2021/021916 WO2021242355A1 (en) 2020-05-28 2021-03-11 Methods of modifying portions of layer stacks

Publications (1)

Publication Number Publication Date
JP2023527329A true JP2023527329A (ja) 2023-06-28

Family

ID=78704787

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022571811A Pending JP2023527329A (ja) 2020-05-28 2021-03-11 層スタックの部分を修正する方法

Country Status (6)

Country Link
US (2) US11658041B2 (ja)
JP (1) JP2023527329A (ja)
KR (1) KR20210147953A (ja)
CN (1) CN115552593A (ja)
TW (1) TW202213634A (ja)
WO (1) WO2021242355A1 (ja)

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5532516A (en) 1991-08-26 1996-07-02 Lsi Logic Corportion Techniques for via formation and filling
US5654216A (en) 1996-04-08 1997-08-05 Chartered Semiconductor Manufacturing Pte Ltd. Formation of a metal via structure from a composite metal layer
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6225207B1 (en) 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
US6352917B1 (en) 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US7042095B2 (en) 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
US7670946B2 (en) 2006-05-15 2010-03-02 Chartered Semiconductor Manufacturing, Ltd. Methods to eliminate contact plug sidewall slit
US8310053B2 (en) 2008-04-23 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a device with a cavity
US7902661B2 (en) 2009-02-20 2011-03-08 National Semiconductor Corporation Integrated circuit micro-module
US9030014B2 (en) * 2010-06-14 2015-05-12 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
JP2012038961A (ja) 2010-08-09 2012-02-23 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9653320B2 (en) 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
KR102201092B1 (ko) 2014-09-16 2021-01-11 삼성전자주식회사 반도체 장치 제조 방법
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
EP3503168A1 (en) * 2014-12-23 2019-06-26 INTEL Corporation Decoupled via fill
US9793339B2 (en) 2015-01-08 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing copper contamination in metal-insulator-metal (MIM) capacitors
US9646876B2 (en) 2015-02-27 2017-05-09 Applied Materials, Inc. Aluminum nitride barrier layer
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9865459B2 (en) 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
WO2016209246A1 (en) 2015-06-25 2016-12-29 Intel Corporation Doric pillar supported maskless airgap structure for capacitance benefit with unlanded via solution
WO2017171760A1 (en) 2016-03-30 2017-10-05 Intel Corporation Self-aligned via below subtractively patterned interconnect
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
WO2018125175A1 (en) 2016-12-30 2018-07-05 Intel Corporation Self-aligned hard masks with converted liners
US9960045B1 (en) 2017-02-02 2018-05-01 Applied Materials, Inc. Charge-trap layer separation and word-line isolation for enhanced 3-D NAND structure
JP2018129481A (ja) 2017-02-10 2018-08-16 ルネサスエレクトロニクス株式会社 半導体装置
US10636737B2 (en) 2018-08-21 2020-04-28 Qualcomm Incorporated Structure and method of metal wraparound for low via resistance
US10770395B2 (en) * 2018-11-01 2020-09-08 International Business Machines Corporation Silicon carbide and silicon nitride interconnects
US10629484B1 (en) 2018-11-01 2020-04-21 Applied Materials, Inc. Method of forming self-aligned via
JP7000363B2 (ja) * 2019-01-25 2022-01-19 ファナック株式会社 ロボット制御装置および管理システム

Also Published As

Publication number Publication date
US20210375637A1 (en) 2021-12-02
CN115552593A (zh) 2022-12-30
US20210375636A1 (en) 2021-12-02
TW202213634A (zh) 2022-04-01
US11658041B2 (en) 2023-05-23
KR20210147953A (ko) 2021-12-07
WO2021242355A1 (en) 2021-12-02

Similar Documents

Publication Publication Date Title
US11569124B2 (en) Interconnect structure having an etch stop layer over conductive lines
US10867921B2 (en) Semiconductor structure with tapered conductor
US11594419B2 (en) Reduction of line wiggling
US9293413B2 (en) Semiconductor devices and methods of manufacture thereof
TWI686880B (zh) 半導體裝置和其製造方法
CN109326521A (zh) 多重图案化方法
US11183422B2 (en) Semiconductor structure and method for manufacturing the same
US8980745B1 (en) Interconnect structures and methods of forming same
US9991202B2 (en) Method to reduce resistance for a copper (CU) interconnect landing on multilayered metal contacts, and semiconductor structures formed therefrom
KR102024971B1 (ko) 반도체 디바이스 및 그 제조 방법
US9754817B2 (en) Semiconductor structures having an insulative island structure
TWI768670B (zh) 互連結構及其製造方法
JP7466564B2 (ja) ダブル・メタル・パターニング
US20210375636A1 (en) Methods of modifying portions of layer stacks
WO2022122294A1 (en) Self-aligned top via
US10903117B2 (en) Fabricating vias with lower resistance
TW202201636A (zh) 半導體裝置及其形成方法
US12094930B2 (en) Integrated circuit structure and method for forming the same
WO2023093676A1 (en) Beol top via wirings with dual damascene via and super via redundancy
KR102711285B1 (ko) 완전하게 정렬된 절삭 프로세스들 및 이들로부터의 전자 디바이스들
CN112563244A (zh) 半导体装置