JP2023124114A - Washing device - Google Patents

Washing device Download PDF

Info

Publication number
JP2023124114A
JP2023124114A JP2022027708A JP2022027708A JP2023124114A JP 2023124114 A JP2023124114 A JP 2023124114A JP 2022027708 A JP2022027708 A JP 2022027708A JP 2022027708 A JP2022027708 A JP 2022027708A JP 2023124114 A JP2023124114 A JP 2023124114A
Authority
JP
Japan
Prior art keywords
support
work
cleaning
workpiece
unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022027708A
Other languages
Japanese (ja)
Inventor
真也 有賀
Shinya Ariga
一馬 関家
Kazuma Sekiya
政明 篠崎
Masaaki Shinozaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Disco Corp
Original Assignee
Disco Abrasive Systems Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Disco Abrasive Systems Ltd filed Critical Disco Abrasive Systems Ltd
Priority to JP2022027708A priority Critical patent/JP2023124114A/en
Publication of JP2023124114A publication Critical patent/JP2023124114A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

To provide a washing device that can prevent foreign matter from adhering to a workpiece after washing.SOLUTION: A washing device that washes a workpiece includes a holding unit that holds a workpiece, a washing unit that washes the workpiece, and a transport unit that transports the workpiece between the holding unit and the washing unit. The holding unit includes a mounting table including a mounting area on which the workpiece before being washed by the washing unit is placed, and a pair of support members that support the workpiece after being washed by the washing unit above the mounting area.SELECTED DRAWING: Figure 1

Description

本発明は、ワークを洗浄する洗浄装置に関する。 The present invention relates to a cleaning device for cleaning workpieces.

複数のデバイスが形成されたウェーハを分割して個片化することにより、デバイスをそれぞれ備える複数のデバイスチップが製造される。また、複数のデバイスチップをベース基板上に実装し、実装されたデバイスチップを樹脂でなる封止材(モールド樹脂)で被覆することにより、パッケージ基板が得られる。パッケージ基板を分割して個片化することにより、パッケージ化された複数のデバイスチップをそれぞれ備える複数のパッケージデバイスが製造される。デバイスチップやパッケージデバイスは、携帯電話、パーソナルコンピュータ等の様々な電子機器に組み込まれる。 A plurality of device chips each having a device are manufactured by dividing a wafer on which a plurality of devices are formed into individual pieces. Also, a package substrate is obtained by mounting a plurality of device chips on a base substrate and covering the mounted device chips with a resin sealing material (mold resin). By dividing the package substrate into individual pieces, a plurality of packaged devices each having a plurality of packaged device chips are manufactured. Device chips and packaged devices are incorporated into various electronic devices such as mobile phones and personal computers.

ウェーハ、パッケージ基板等のワーク(被加工物)の分割には、各種の加工装置が用いられる。例えば、環状の切削ブレードでワークを切削する切削装置、レーザービームの照射によってワークにレーザー加工を施すレーザー加工装置、プラズマガスの供給によってワークにプラズマエッチングを施すプラズマ処理装置等によって、ワークが加工、分割される。 2. Description of the Related Art Various processing apparatuses are used to divide workpieces (workpieces) such as wafers and package substrates. For example, a cutting device that cuts the work with an annular cutting blade, a laser processing device that performs laser processing on the work by irradiating a laser beam, a plasma processing device that performs plasma etching on the work by supplying plasma gas, etc. split.

加工装置でワークを加工すると、加工装置内に存在するパーティクル(塵、ダスト等)、ワークの加工によって発生する屑(加工屑)等の異物がワークに付着することがある。そこで、加工装置にはワークを洗浄する洗浄装置が搭載される。例えば特許文献1には、ワークを保持して回転するスピンナテーブルと、ワークに洗浄水を供給するノズルとを備える洗浄装置(洗浄機構)が搭載された切削装置が開示されている。加工後のワークを洗浄装置で洗浄することにより、ワークに付着した異物が洗い流され、ワークの汚染が防止される。 2. Description of the Related Art When a workpiece is processed by a processing apparatus, foreign matter such as particles (dust, dust, etc.) existing in the processing apparatus and scraps generated by machining the workpiece (processing scrap) may adhere to the workpiece. Therefore, the processing apparatus is equipped with a cleaning device for cleaning the workpiece. For example, Patent Literature 1 discloses a cutting apparatus equipped with a cleaning device (cleaning mechanism) having a spinner table that holds and rotates a work and a nozzle that supplies cleaning water to the work. By washing the work after processing with the washing device, the foreign matter adhering to the work is washed away and contamination of the work is prevented.

一方、ワークは、洗浄装置が搭載されていない加工装置で加工されることもある。この場合には、加工装置によるワークの加工後、加工装置とは独立して設置された洗浄装置によってワークが洗浄される(特許文献2参照)。この種の洗浄装置は、ワークが載置される載置台と、ワークを洗浄する洗浄ユニットとを備える。そして、載置台上に載置されたワークが搬送ユニットによって洗浄ユニットに搬送され、洗浄される。その後、ワークは搬送ユニットによって再び載置台上に搬送、載置され、手動又は自動で回収される。 On the other hand, the workpiece may be processed by a processing device that is not equipped with a cleaning device. In this case, after the work is processed by the processing device, the work is cleaned by a cleaning device installed independently of the processing device (see Patent Document 2). This type of cleaning apparatus includes a mounting table on which a work is placed, and a cleaning unit that cleans the work. Then, the workpiece placed on the mounting table is transported by the transport unit to the cleaning unit and cleaned. After that, the work is transported and mounted on the mounting table again by the transport unit, and is recovered manually or automatically.

特開2003-229382号公報JP-A-2003-229382 特開2009-188296号公報JP 2009-188296 A

ワークを洗浄装置で洗浄する際には、パーティクル、加工屑等の異物が付着した状態のワークが載置台上に載置される。その際、ワークに残存する異物の一部が載置台に付着することがある。この場合、洗浄後にワークが再び載置台上に載置された際に、載置台に残存する異物がワークに付着し、洗浄済みのワークが汚染されるおそれがある。 When cleaning a work by a cleaning device, the work to which foreign substances such as particles and processing waste are adhered is placed on the mounting table. At that time, some of the foreign matter remaining on the work may adhere to the mounting table. In this case, when the work is placed on the mounting table again after cleaning, foreign matter remaining on the mounting table may adhere to the work, contaminating the cleaned work.

また、載置台上にワークが載置された状態で、洗浄装置によるワークの洗浄が中断されてオペレータが洗浄作業の引き継ぎや他の作業のために洗浄装置を離れると、洗浄装置によるワークの洗浄を再開する際に、載置台上に載置されているワークが洗浄前であるか洗浄後であるかが分からなくなってしまうことがある。その結果、洗浄前のワークが誤って洗浄後のワークであると認識されて洗浄装置から搬出されたり、洗浄済みのワークが誤って再度洗浄ユニットに搬送されたりするおそれがある。 In addition, when the cleaning of the work by the cleaning device is interrupted while the work is placed on the mounting table and the operator leaves the cleaning device to take over the cleaning work or perform other work, the work is not cleaned by the cleaning device. When restarting, it may become unclear whether the workpiece placed on the mounting table has been cleaned or not. As a result, an uncleaned work may be erroneously recognized as a cleaned work and carried out of the cleaning apparatus, or a cleaned work may be erroneously transported to the cleaning unit again.

本発明は、かかる問題に鑑みてなされたものであり、異物によるワークの汚染及びワークの誤搬送を防止することが可能な洗浄装置の提供を目的とする。 SUMMARY OF THE INVENTION It is an object of the present invention to provide a cleaning apparatus capable of preventing contamination of a work by foreign matter and erroneous transfer of the work.

本発明の一態様によれば、ワークを洗浄する洗浄装置であって、該ワークを保持する保持ユニットと、該ワークを洗浄する洗浄ユニットと、該保持ユニットと該洗浄ユニットとの間で該ワークを搬送する搬送ユニットと、を備え、該保持ユニットは、該洗浄ユニットによって洗浄される前の該ワークが載置される載置領域を含む載置台と、該洗浄ユニットによって洗浄された後の該ワークを該載置領域の上方で支持する一対の支持部材とを備える洗浄装置が提供される。 According to one aspect of the present invention, there is provided a cleaning apparatus for cleaning a work, comprising: a holding unit for holding the work; a cleaning unit for cleaning the work; The holding unit includes a mounting table including a mounting area on which the work before being cleaned by the cleaning unit is mounted, and the work after being cleaned by the cleaning unit. and a pair of support members for supporting the workpiece above the placement area.

なお、好ましくは、該支持部材は、該ワークの下面側を支持する支持部を備え、該支持部は、該載置領域と重なる支持位置と、該載置領域と重ならない退避位置とに配置可能である。また、好ましくは、該搬送ユニットは、該支持部と接触して該支持部を該退避位置に移動させる接触部材を備える。 In addition, preferably, the support member includes a support portion that supports the lower surface of the work, and the support portion is arranged at a support position that overlaps with the mounting area and a retracted position that does not overlap with the mounting area. It is possible. Moreover, preferably, the transport unit includes a contact member that contacts the support portion and moves the support portion to the retracted position.

本発明の一態様に係る洗浄装置は、洗浄前のワークが載置される載置領域を含む載置台と、洗浄後のワークを載置領域の上方で支持する一対の支持部材とを備える。これにより、洗浄前のワークと洗浄後のワークとを異なる場所で保管することが可能となり、洗浄前のワークが載置される載置領域に残存している異物が洗浄後のワークに付着することを防止できる。また、ワークの載置場所によってワークが洗浄前であるか洗浄後であるかを容易に見分けることができ、ワークの誤搬送が防止される。 A cleaning apparatus according to an aspect of the present invention includes a mounting table including a mounting area on which a work to be cleaned is mounted, and a pair of support members that support the work after cleaning above the mounting area. As a result, it is possible to store the pre-cleaned work and the post-cleaned work in different places, and foreign matter remaining in the placement area where the pre-cleaned work is placed adheres to the post-cleaned work. can be prevented. In addition, it is possible to easily distinguish whether the work is before or after cleaning depending on the place where the work is placed, thereby preventing erroneous transfer of the work.

洗浄装置を示す斜視図である。It is a perspective view which shows a washing|cleaning apparatus. ワークを示す斜視図である。It is a perspective view showing a work. 保持ユニットの一部を示す斜視図である。It is a perspective view which shows a part of holding|maintenance unit. 支持部材を示す分解斜視図である。It is an exploded perspective view showing a support member. 図5(A)は支持部材を示す斜視図であり、図5(B)は支持部材を示す側面図である。FIG. 5A is a perspective view showing the supporting member, and FIG. 5B is a side view showing the supporting member. 図6(A)は支持部が支持位置に配置された状態の支持部材を示す側面図であり、図6(B)は支持部が退避位置に配置された状態の支持部材を示す側面図である。FIG. 6A is a side view showing the support member with the support portion arranged at the support position, and FIG. 6B is a side view showing the support member with the support portion arranged at the retracted position. be. 搬送ユニットの一部を示す斜視図である。4 is a perspective view showing part of the transport unit; FIG. 接触部材を示す分解斜視図である。It is an exploded perspective view showing a contact member. 図9(A)は接触部材を示す斜視図であり、図9(B)は接触部材を示す側面図である。FIG. 9A is a perspective view showing the contact member, and FIG. 9B is a side view showing the contact member. 接触部が枠体に収容された状態の接触部材を示す側面図である。FIG. 4 is a side view showing the contact member with the contact portion housed in the frame; ワークが載置領域に載置された状態の洗浄装置を示す断面図である。FIG. 4 is a cross-sectional view showing the cleaning apparatus in which a work is placed on the placement area; 図12(A)は搬送ユニットがワークの上方に配置された状態の洗浄装置を示す一部断面側面図であり、図12(B)は接触部が枠体に収容された状態の洗浄装置を示す一部断面側面図であり、図12(C)は複数の吸引パッドがワークに接触した状態の洗浄装置を示す一部断面側面図である。FIG. 12(A) is a partial cross-sectional side view showing the cleaning apparatus with the transfer unit arranged above the work, and FIG. 12(B) shows the cleaning apparatus with the contact portion housed in the frame. FIG. 12(C) is a partial cross-sectional side view showing the cleaning device in a state in which a plurality of suction pads are in contact with the work. 図13(A)は支持部が接触部によって持ち上げられた状態の洗浄装置を示す一部断面側面図であり、図13(B)はワークが一対の支持部材の上方まで持ち上げられた状態の洗浄装置を示す一部断面側面図である。FIG. 13(A) is a partially cross-sectional side view showing the cleaning apparatus with the support portion lifted by the contact portion, and FIG. It is a partial cross-sectional side view which shows an apparatus. 図14(A)は搬送ユニットが載置領域の上方に配置された状態の洗浄装置を示す一部断面側面図であり、図14(B)はワークが一対の支持部材によって支持された状態の洗浄装置を示す一部断面側面図である。FIG. 14(A) is a partial cross-sectional side view showing the cleaning apparatus in which the transport unit is arranged above the placement area, and FIG. 14(B) is a state in which the work is supported by a pair of support members. It is a partial cross section side view which shows a washing|cleaning apparatus.

以下、添付図面を参照して本発明の一態様に係る実施形態を説明する。まず、本実施形態に係る洗浄装置の構成例について説明する。図1は、ワーク(被加工物、被洗浄物)11を洗浄する洗浄装置2を示す斜視図である。なお、図1において、X軸方向(第1水平方向、前後方向)とY軸方向(第2水平方向、左右方向)とは、互いに垂直な方向である。また、Z軸方向(鉛直方向、上下方向、高さ方向)は、X軸方向及びY軸方向と垂直な方向である。 An embodiment according to one aspect of the present invention will be described below with reference to the accompanying drawings. First, a configuration example of a cleaning apparatus according to this embodiment will be described. FIG. 1 is a perspective view showing a cleaning device 2 for cleaning a work (workpiece, cleaning object) 11. As shown in FIG. In FIG. 1, the X-axis direction (first horizontal direction, front-rear direction) and the Y-axis direction (second horizontal direction, left-right direction) are perpendicular to each other. Also, the Z-axis direction (vertical direction, vertical direction, height direction) is a direction perpendicular to the X-axis direction and the Y-axis direction.

洗浄装置2は、ワーク11を保持する保持ユニット(保持機構)4と、ワーク11を洗浄する洗浄ユニット(洗浄機構)6と、保持ユニット4と洗浄ユニット6との間でワーク11を搬送する搬送ユニット(搬送機構)8とを備える。 The cleaning device 2 includes a holding unit (holding mechanism) 4 that holds the work 11 , a cleaning unit (cleaning mechanism) 6 that cleans the work 11 , and a transport mechanism that transports the work 11 between the holding unit 4 and the cleaning unit 6 . A unit (transport mechanism) 8 is provided.

例えばワーク11は、切削装置、研削装置、研磨装置、レーザー加工装置、プラズマ処理装置等の各種の加工装置によって加工された後、洗浄装置2に搬送され、洗浄装置2によって洗浄される。すなわち、ワーク11は、加工装置によって加工される被加工物であり、且つ、洗浄装置2によって洗浄される被洗浄物である。保持ユニット4にセットされたワーク11が搬送ユニット8によって洗浄ユニット6に搬送され、洗浄ユニット6によって洗浄される。そして、洗浄後のワーク11は、搬送ユニット8によって再び保持ユニット4に搬送された後、手動又は自動で回収される。 For example, the workpiece 11 is processed by various processing devices such as a cutting device, a grinding device, a polishing device, a laser processing device, a plasma processing device, etc., and then conveyed to the cleaning device 2 and cleaned by the cleaning device 2 . That is, the work 11 is an object to be processed by the processing device and an object to be cleaned by the cleaning device 2 . A workpiece 11 set in the holding unit 4 is transported to the cleaning unit 6 by the transport unit 8 and is cleaned by the cleaning unit 6 . The cleaned work 11 is then transported again to the holding unit 4 by the transport unit 8 and then recovered manually or automatically.

図2は、ワーク11を示す斜視図である。例えばワーク11は、単結晶シリコン等の半導体材料でなる円盤状のウェーハ13を含む。ウェーハ13は、互いに概ね平行な表面(第1面)13a及び裏面(第2面)13bを備える。 FIG. 2 is a perspective view showing the workpiece 11. FIG. For example, the workpiece 11 includes a disk-shaped wafer 13 made of a semiconductor material such as single crystal silicon. The wafer 13 has a front surface (first surface) 13a and a back surface (second surface) 13b that are generally parallel to each other.

ウェーハ13は、互いに交差するように格子状に配列された複数のストリート(分割予定ライン)15によって、複数の矩形状の領域に区画されている。また、ウェーハ13の表面13a側のストリート15によって区画された複数の領域にはそれぞれ、IC(Integrated Circuit)、LSI(Large Scale Integration)、LED(Light Emitting Diode)、MEMS(Micro Electro Mechanical Systems)デバイス等のデバイス17が形成されている。 The wafer 13 is partitioned into a plurality of rectangular regions by a plurality of streets (dividing lines) 15 arranged in a lattice so as to intersect each other. In addition, IC (Integrated Circuit), LSI (Large Scale Integration), LED (Light Emitting Diode), and MEMS (Micro Electro Mechanical Systems) devices are arranged in a plurality of regions partitioned by the streets 15 on the front surface 13a side of the wafer 13, respectively. A device 17 such as is formed.

ウェーハ13をストリート15に沿って分割して個片化することにより、デバイス17をそれぞれ備える複数のデバイスチップが製造される。なお、ウェーハ13の材質、形状、構造、大きさ等に制限はない。例えばウェーハ13は、シリコン以外の半導体(GaAs、InP、GaN、SiC等)、ガラス、セラミックス、樹脂、金属等でなる基板であってもよい。また、デバイス17の種類、数量、形状、構造、大きさ、配置等にも制限はない。 A plurality of device chips each having a device 17 are manufactured by dividing the wafer 13 along the streets 15 into individual pieces. The material, shape, structure, size, etc. of the wafer 13 are not limited. For example, the wafer 13 may be a substrate made of a semiconductor other than silicon (GaAs, InP, GaN, SiC, etc.), glass, ceramics, resin, metal, or the like. Also, there are no restrictions on the type, quantity, shape, structure, size, arrangement, etc. of the device 17 .

ウェーハ13を加工又は洗浄する際には、ウェーハ13の取り扱いの便宜のため、ウェーハ13が環状のフレーム19によって支持される。フレーム19は、例えばSUS(ステンレス鋼)等の金属でなり、フレーム19の中央部にはフレーム19を厚さ方向に貫通する円形の開口19aが設けられている。なお、開口19aの直径はウェーハ13の直径よりも大きい。 When processing or cleaning the wafer 13, the wafer 13 is supported by an annular frame 19 for convenience in handling the wafer 13. As shown in FIG. The frame 19 is made of metal such as SUS (stainless steel), and is provided with a circular opening 19a penetrating through the frame 19 in the thickness direction at the central portion thereof. The diameter of the opening 19a is larger than the diameter of the wafer 13. As shown in FIG.

ウェーハ13及びフレーム19には、シート21が固定される。例えばシート21として、円形に形成されたフィルム状の基材と、基材上に設けられた粘着層(糊層)とを含むテープが用いられる。基材は、ポリオレフィン、ポリ塩化ビニル、ポリエチレンテレフタラート等の樹脂でなり、粘着層はエポキシ系、アクリル系、又はゴム系の接着剤等でなる。なお、粘着層は、紫外線の照射によって硬化する紫外線硬化性樹脂であってもよい。 A sheet 21 is fixed to the wafer 13 and the frame 19 . For example, as the sheet 21, a tape including a circular film-like base material and an adhesive layer (glue layer) provided on the base material is used. The substrate is made of a resin such as polyolefin, polyvinyl chloride, polyethylene terephthalate, etc., and the adhesive layer is made of an epoxy, acrylic or rubber adhesive. Note that the adhesive layer may be an ultraviolet curable resin that is cured by irradiation with ultraviolet rays.

ウェーハ13がフレーム19の開口19aの内側に配置された状態で、シート21の中央部がウェーハ13の裏面13b側に貼付され、シート21の外周部がフレーム19に貼付される。これにより、ウェーハ13がシート21を介してフレーム19によって支持され、ウェーハ13、フレーム19及びシート21を含むワーク11(ウェーハユニット、フレームユニット)が構成される。 With the wafer 13 placed inside the opening 19 a of the frame 19 , the central portion of the sheet 21 is attached to the rear surface 13 b side of the wafer 13 , and the outer peripheral portion of the sheet 21 is attached to the frame 19 . Thereby, the wafer 13 is supported by the frame 19 through the sheet 21, and the workpiece 11 (wafer unit, frame unit) including the wafer 13, the frame 19 and the sheet 21 is constructed.

ただし、ワーク11の構成は上記に限定されない。例えば、ウェーハ13の代わりにCSP(Chip Size Package)基板、QFN(Quad Flat Non-leaded package)基板等のパッケージ基板がシート21を介してフレーム19で支持されてもよい。例えばパッケージ基板は、ベース基板上に実装された複数のデバイスチップを樹脂層(モールド樹脂)で封止することによって形成される。パッケージ基板を分割して個片化することにより、パッケージ化された複数のデバイスチップをそれぞれ備える複数のパッケージデバイスが製造される。また、ウェーハ13やパッケージ基板は、取り扱いに支障がなければフレーム19によって支持されていなくてもよい。この場合には、ウェーハ13やパッケージ基板自体がワーク11に相当する。 However, the configuration of the workpiece 11 is not limited to the above. For example, instead of the wafer 13 , a package substrate such as a CSP (Chip Size Package) substrate or a QFN (Quad Flat Non-leaded package) substrate may be supported by the frame 19 via the sheet 21 . For example, a package substrate is formed by sealing a plurality of device chips mounted on a base substrate with a resin layer (mold resin). By dividing the package substrate into individual pieces, a plurality of packaged devices each having a plurality of packaged device chips are manufactured. Moreover, the wafer 13 and the package substrate may not be supported by the frame 19 as long as there is no problem in handling. In this case, the wafer 13 or the package substrate itself corresponds to the work 11. FIG.

図1に示す保持ユニット4は、ワーク11を支持する柱状の載置台10を備える。載置台10の上面は、水平面(XY平面)と概ね平行な平坦面であり、ワーク11を支持する支持面を構成している。 The holding unit 4 shown in FIG. 1 includes a columnar mounting table 10 that supports a work 11 . The upper surface of the mounting table 10 is a flat surface substantially parallel to the horizontal plane (XY plane), and constitutes a support surface for supporting the workpiece 11 .

載置台10の上面側には、洗浄ユニット6によって洗浄される前のワーク11が載置される載置領域10aが設けられている。載置領域10aは、載置台10の上面側のうち保持ユニット4にセットされたワーク11と重なる略円形の領域に対応する。例えば、ワーク11が載置台10上に直接載置される場合には、載置台10の上面のうちワーク11に接触する領域が載置領域10aに相当する。ただし、例えばワーク11は、載置領域10aの水平方向における両端部に設けられた一対のガイドレール(不図示)によって、載置台10の上面から離れた状態で保持されてもよい。 A mounting area 10 a is provided on the upper surface side of the mounting table 10 on which the workpiece 11 before being cleaned by the cleaning unit 6 is mounted. The mounting area 10 a corresponds to a substantially circular area on the upper surface side of the mounting table 10 that overlaps the work 11 set on the holding unit 4 . For example, when the workpiece 11 is directly mounted on the mounting table 10, the area of the upper surface of the mounting table 10 that contacts the workpiece 11 corresponds to the mounting area 10a. However, for example, the workpiece 11 may be held in a state separated from the upper surface of the mounting table 10 by a pair of guide rails (not shown) provided at both ends in the horizontal direction of the mounting area 10a.

載置台10の上面上には、一対の支持部材(支持機構)12が設けられている。一対の支持部材12は、水平方向(図1ではX軸方向)において載置領域10aを挟むように配置され、洗浄ユニット6によって洗浄された後のワーク11を載置領域10aの上方で支持する。すなわち、保持ユニット4は、洗浄前のワーク11と洗浄後のワーク11とを、高さ位置(Z軸方向における位置)が異なる2つの領域で保持する。なお、支持部材12の構成及び機能の詳細については後述する(図3~図6(B)参照)。 A pair of support members (support mechanism) 12 are provided on the upper surface of the mounting table 10 . A pair of support members 12 are arranged so as to sandwich the mounting area 10a in the horizontal direction (the X-axis direction in FIG. 1), and support the work 11 after being cleaned by the cleaning unit 6 above the mounting area 10a. . That is, the holding unit 4 holds the workpiece 11 before cleaning and the workpiece 11 after cleaning in two regions having different height positions (positions in the Z-axis direction). Details of the configuration and function of the support member 12 will be described later (see FIGS. 3 to 6B).

保持ユニット4の側方には、洗浄ユニット6が設けられている。洗浄ユニット6は、ワーク11を保持して回転するスピンナテーブル20を備える。スピンナテーブル20の上面は、水平面(XY平面)と概ね平行な平坦面であり、ワーク11を保持する保持面20aを構成している。保持面20aは、スピンナテーブル20の内部に設けられた流路(不図示)、バルブ(不図示)等を介して、エジェクタ等の吸引源(不図示)に接続されている。また、スピンナテーブル20の周囲には、ワーク11の外周部(フレーム19)を把持して固定する複数のクランプ22が設けられている。 A cleaning unit 6 is provided on the side of the holding unit 4 . The cleaning unit 6 includes a spinner table 20 that holds the work 11 and rotates. The upper surface of the spinner table 20 is a flat surface substantially parallel to the horizontal plane (XY plane) and constitutes a holding surface 20a for holding the workpiece 11 . The holding surface 20a is connected to a suction source (not shown) such as an ejector through a channel (not shown) provided inside the spinner table 20, a valve (not shown), and the like. A plurality of clamps 22 are provided around the spinner table 20 to hold and fix the outer peripheral portion (frame 19 ) of the workpiece 11 .

スピンナテーブル20には、スピンナテーブル20を回転させるモータ等の回転駆動源24が連結されている。回転駆動源24は、Z軸方向に沿って配置された円柱状のスピンドル(出力軸)26を備え、スピンドル26の上端部はスピンナテーブル20の下面側の中央部に固定されている。回転駆動源24を駆動させてスピンドル26を回転させると、スピンナテーブル20がZ軸方向と概ね平行な回転軸の周りを回転する。 A rotation driving source 24 such as a motor for rotating the spinner table 20 is connected to the spinner table 20 . The rotary drive source 24 has a cylindrical spindle (output shaft) 26 arranged along the Z-axis direction, and the upper end of the spindle 26 is fixed to the center of the lower surface of the spinner table 20 . When the rotation drive source 24 is driven to rotate the spindle 26, the spinner table 20 rotates around a rotation axis substantially parallel to the Z-axis direction.

回転駆動源24には、昇降ユニット(昇降機構)28が連結されている。昇降ユニット28は、回転駆動源24に固定された複数のエアシリンダ30を備える。また、エアシリンダ30の下端側にはそれぞれ、エアシリンダ30を支持する円柱状の支持脚32が装着されている。複数のエアシリンダ30を同時に作動させると、スピンナテーブル20、クランプ22及び回転駆動源24がZ軸方向に沿って昇降する。 An elevating unit (elevating mechanism) 28 is connected to the rotary drive source 24 . The lifting unit 28 has a plurality of air cylinders 30 fixed to the rotary drive source 24 . Columnar support legs 32 for supporting the air cylinders 30 are attached to the lower ends of the air cylinders 30, respectively. When the plurality of air cylinders 30 are operated simultaneously, the spinner table 20, clamp 22 and rotary drive source 24 move up and down along the Z-axis direction.

また、洗浄ユニット6は液受け部材34を備える。液受け部材34は、中空の円柱状に形成され、スピンナテーブル20及びクランプ22を囲むように配置されている。具体的には、液受け部材34は、スピンナテーブル20及びクランプ22を囲む環状の外壁34aと、外壁34aの下端部から外壁34aの中心側に向かって突出する環状の底壁34bと、底壁34bの中心側の端部から上方に向かって突出する環状の内壁34cとを含む。内壁34cの内側は、スピンドル26が挿入される挿入孔に相当する。 The cleaning unit 6 also includes a liquid receiving member 34 . The liquid receiving member 34 is formed in a hollow columnar shape and arranged so as to surround the spinner table 20 and the clamp 22 . Specifically, the liquid receiving member 34 includes an annular outer wall 34a surrounding the spinner table 20 and the clamp 22, an annular bottom wall 34b projecting from the lower end of the outer wall 34a toward the center of the outer wall 34a, and a bottom wall 34a. and an annular inner wall 34c projecting upward from the central end of 34b. The inside of the inner wall 34c corresponds to an insertion hole into which the spindle 26 is inserted.

液受け部材34の内側には、ワーク11を洗浄するための液体(洗浄液)を供給する洗浄ノズル36と、ワーク11を乾燥させるための気体を供給する乾燥ノズル38とが設けられている。洗浄ノズル36と乾燥ノズル38とはそれぞれ、モータ等の回転駆動源(不図示)に連結されており、スピンナテーブル20に重なる位置(供給位置)と、スピンナテーブル20に重ならない位置(退避位置)とに位置付けることができる。 Inside the liquid receiving member 34, a cleaning nozzle 36 for supplying a liquid (cleaning liquid) for cleaning the work 11 and a drying nozzle 38 for supplying a gas for drying the work 11 are provided. The cleaning nozzle 36 and the drying nozzle 38 are each connected to a rotational drive source (not shown) such as a motor, and are located at a position overlapping the spinner table 20 (supply position) and at a position not overlapping the spinner table 20 (retraction position). can be positioned as

洗浄ノズル36は、純水等の液体や、液体(純水等)と気体(エアー等)とを混合することによって生成される混合流体等を洗浄液としてワーク11に供給することにより、ワーク11を洗浄する。また、乾燥ノズル38は、ワーク11にエアー等の気体を吹き付けることにより、ワーク11を乾燥させる。 The cleaning nozzle 36 supplies a liquid such as pure water or a mixed fluid generated by mixing a liquid (pure water or the like) and a gas (air or the like) as a cleaning liquid to the work 11 to clean the work 11. wash. The drying nozzle 38 dries the work 11 by blowing gas such as air onto the work 11 .

液受け部材34の底壁34bには、液受け部材34の内側と外側とを接続する排液口34dが設けられている。また、排液口34dには、チューブ、ダクト等によって構成される排液路44が接続されている。洗浄ノズル36から供給された洗浄液は、ワーク11の洗浄に用いられた後、液受け部材34に貯留される。そして、液受け部材34に貯留された洗浄液は、排液口34d及び排液路44を介して液受け部材34の外部に排出される。 A bottom wall 34b of the liquid receiving member 34 is provided with a drain port 34d that connects the inside and the outside of the liquid receiving member 34 . A drainage path 44 formed of a tube, a duct, or the like is connected to the drainage port 34d. The cleaning liquid supplied from the cleaning nozzle 36 is stored in the liquid receiving member 34 after being used for cleaning the workpiece 11 . Then, the cleaning liquid stored in the liquid receiving member 34 is discharged to the outside of the liquid receiving member 34 through the liquid drain port 34d and the liquid drain path 44 .

また、液受け部材34の内側には、環状のカバー42が設けられている。カバー42は、スピンドル26の上部を囲み、液受け部材34の内壁34cと重なるように配置されている。昇降ユニット28によってカバー42を下降させると、内壁34cの上端部がカバー42によって覆われる。 An annular cover 42 is provided inside the liquid receiving member 34 . The cover 42 surrounds the upper portion of the spindle 26 and is arranged so as to overlap the inner wall 34 c of the liquid receiving member 34 . When the cover 42 is lowered by the lifting unit 28, the upper end of the inner wall 34c is covered with the cover 42. As shown in FIG.

保持ユニット4及び洗浄ユニット6の上方には、搬送ユニット8が設けられている。搬送ユニット8は、移動機構50を備える。移動機構50は、Y軸方向に沿って配置された一対のガイドレール52を備える。一対のガイドレール52には、直方体状の移動ブロック54がガイドレール52に沿ってスライド可能に装着されている。 A transport unit 8 is provided above the holding unit 4 and the cleaning unit 6 . The transport unit 8 has a moving mechanism 50 . The moving mechanism 50 includes a pair of guide rails 52 arranged along the Y-axis direction. A rectangular parallelepiped moving block 54 is attached to the pair of guide rails 52 so as to be slidable along the guide rails 52 .

移動ブロック54の裏面側には、ナット部(不図示)が設けられている。このナット部には、一対のガイドレール52の間にY軸方向に沿って配置されたボールねじ56が螺合されている。また、ボールねじ56の端部には、ボールねじ56を回転させるパルスモータ58が連結されている。パルスモータ58でボールねじ56を回転させると、移動ブロック54がガイドレール52に沿ってY軸方向に移動する。 A nut portion (not shown) is provided on the back side of the moving block 54 . A ball screw 56 arranged along the Y-axis direction between the pair of guide rails 52 is screwed into the nut portion. A pulse motor 58 for rotating the ball screw 56 is connected to the end of the ball screw 56 . When the ball screw 56 is rotated by the pulse motor 58, the moving block 54 moves along the guide rail 52 in the Y-axis direction.

移動機構50には、ワーク11を保持する保持ユニット(保持機構)60が装着されている。保持ユニット60は、移動機構50に連結された支持アーム62を備える。支持アーム62の基端部は、移動ブロック54の表面側に固定されている。また、支持アーム62の先端部にはピストンロッド64を備えるエアシリンダが固定されており、ピストンロッド64の下端部には直方体状の昇降ブロック66が固定されている。エアシリンダを駆動させると、ピストンロッド64及び昇降ブロック66がZ軸方向に沿って昇降する。 A holding unit (holding mechanism) 60 that holds the workpiece 11 is attached to the moving mechanism 50 . The holding unit 60 comprises a support arm 62 connected to the moving mechanism 50 . A base end portion of the support arm 62 is fixed to the surface side of the moving block 54 . An air cylinder having a piston rod 64 is fixed to the tip of the support arm 62 , and a rectangular parallelepiped elevating block 66 is fixed to the lower end of the piston rod 64 . When the air cylinder is driven, the piston rod 64 and elevation block 66 are elevated along the Z-axis direction.

昇降ブロック66の下面側には、平板状の支持基台68が固定されている。例えば支持基台68は、平面視でH字状に形成され、水平面(XY平面)と概ね平行に配置されている。また、支持基台68の四隅にはそれぞれ、ワーク11の外周部(フレーム19)を吸引保持する吸引パッド70が装着されている。ただし、吸引パッド70の配置及び個数に制限はない。 A flat plate-like support base 68 is fixed to the lower surface side of the lifting block 66 . For example, the support base 68 is formed in an H shape in a plan view and arranged substantially parallel to the horizontal plane (XY plane). At the four corners of the support base 68, suction pads 70 for suctioning and holding the outer peripheral portion (frame 19) of the workpiece 11 are attached. However, the arrangement and number of suction pads 70 are not limited.

吸引パッド70の下面は、ワーク11の上面側を吸引する吸引面を構成している。吸引パッド70の吸引面は、吸引パッド70の内部に形成された流路(不図示)、チューブやフレキシブルパイプ等によって構成される流路72を介して、エジェクタ等の吸引源(不図示)に接続されている。複数の吸引パッド70の吸引面をワーク11(フレーム19)の上面に接触させた状態で、吸引面に吸引源の吸引力(負圧)を作用させることにより、ワーク11が複数の吸引パッド70によって吸引保持される。 A lower surface of the suction pad 70 constitutes a suction surface for sucking the upper surface side of the work 11 . The suction surface of the suction pad 70 is connected to a suction source (not shown) such as an ejector through a channel (not shown) formed inside the suction pad 70 and a channel 72 formed of a tube, a flexible pipe, or the like. It is connected. With the suction surfaces of the plurality of suction pads 70 in contact with the upper surface of the workpiece 11 (frame 19), the suction force (negative pressure) of the suction source is applied to the suction surfaces, so that the workpiece 11 is separated from the plurality of suction pads 70. held by suction.

また、支持基台68のX軸方向における両端部には、一対の接触部材(接触機構)74が設けられている。接触部材74は、ワーク11を載置領域10aから搬送する際、支持部材12に干渉してワーク11と支持部材12との接触を防止する。なお、接触部材74の構成及び機能の詳細については後述する(図7~図10参照)。 A pair of contact members (contact mechanisms) 74 are provided at both ends of the support base 68 in the X-axis direction. The contact member 74 prevents contact between the work 11 and the support member 12 by interfering with the support member 12 when the work 11 is transferred from the placement area 10a. Details of the configuration and function of the contact member 74 will be described later (see FIGS. 7 to 10).

洗浄装置2でワーク11を洗浄する際は、まず、ワーク11が保持ユニット4にセットされる。具体的には、オペレータがワーク11を手動で載置台10の載置領域10aに載置する。ただし、ワーク11は搬送ユニット(不図示)等によって載置領域10aに自動で載置されてもよい。 When cleaning the work 11 with the cleaning device 2 , first, the work 11 is set on the holding unit 4 . Specifically, the operator manually places the workpiece 11 on the placement area 10 a of the placement table 10 . However, the workpiece 11 may be automatically placed on the placing area 10a by a transport unit (not shown) or the like.

次に、移動機構50によって保持ユニット60をY軸方向に沿って移動させ、支持基台68を載置領域10aの直上に配置する。そして、支持基台68を下降させ、複数の吸引パッド70の下面(吸引面)をワーク11の外周部(フレーム19)の上面に接触させる。その後、複数の吸引パッド70でワーク11を吸引保持した状態で、支持基台68を上昇させる。これにより、ワーク11が載置領域10aから持ち上げられる。 Next, the holding unit 60 is moved along the Y-axis direction by the moving mechanism 50, and the support base 68 is arranged directly above the mounting area 10a. Then, the support base 68 is lowered to bring the lower surfaces (suction surfaces) of the plurality of suction pads 70 into contact with the upper surface of the outer peripheral portion (frame 19 ) of the workpiece 11 . After that, the support base 68 is lifted while the work 11 is suction-held by the plurality of suction pads 70 . Thereby, the workpiece 11 is lifted from the placement area 10a.

次に、移動機構50によって保持ユニット60をY軸方向に沿って移動させ、支持基台68をスピンナテーブル20の直上に配置する。そして、支持基台68を下降させてワーク11をスピンナテーブル20の保持面20a上に配置した後、複数の吸引パッド70によるワーク11の吸引保持を解除する。これにより、ワーク11がスピンナテーブル20によって支持される。なお、ワーク11の搬送時は、昇降ユニット28によってスピンナテーブル20を上昇させ、保持面20aを液受け部材34から露出させておく。 Next, the holding unit 60 is moved along the Y-axis direction by the moving mechanism 50 , and the support base 68 is arranged directly above the spinner table 20 . After the support base 68 is lowered to place the work 11 on the holding surface 20a of the spinner table 20, the suction and holding of the work 11 by the plurality of suction pads 70 is released. The workpiece 11 is thereby supported by the spinner table 20 . When the workpiece 11 is transported, the lift unit 28 lifts the spinner table 20 to expose the holding surface 20a from the liquid receiving member 34. As shown in FIG.

ワーク11がスピンナテーブル20上に配置された状態で、保持面20aに吸引源の吸引力(負圧)を作用させると、ワーク11がスピンナテーブル20によって保持される。具体的には、ウェーハ13がシート21を介してスピンナテーブル20によって吸引保持される。また、ワーク11の外周部(フレーム19)が複数のクランプ22によって固定される。 When the workpiece 11 is placed on the spinner table 20 and the suction force (negative pressure) of the suction source is applied to the holding surface 20 a, the workpiece 11 is held by the spinner table 20 . Specifically, the wafer 13 is suction-held by the spinner table 20 via the sheet 21 . Also, the outer peripheral portion (frame 19 ) of the work 11 is fixed by a plurality of clamps 22 .

次に、昇降ユニット28によってスピンナテーブル20を下降させる。これにより、ワーク11が液受け部材34の内側に配置されるとともに、液受け部材34の内壁34cの上部がカバー42によって覆われる。そして、スピンナテーブル20を回転させつつ、供給位置に位置付けられた洗浄ノズル36から洗浄液をワーク11に向かって供給する。これにより、ワーク11が洗浄液によって洗浄され、ワーク11に付着したパーティクル、加工屑等の異物が除去される。 Next, the lift unit 28 lowers the spinner table 20 . As a result, the workpiece 11 is placed inside the liquid receiving member 34 and the upper portion of the inner wall 34c of the liquid receiving member 34 is covered with the cover 42. As shown in FIG. Then, while rotating the spinner table 20, the cleaning liquid is supplied toward the workpiece 11 from the cleaning nozzle 36 positioned at the supply position. As a result, the workpiece 11 is cleaned with the cleaning liquid, and foreign substances such as particles and processing waste adhering to the workpiece 11 are removed.

なお、ワーク11の洗浄中は、洗浄液の飛散が液受け部材34の外壁34aによって遮断される。また、液受け部材34の内壁34cがカバー42によって覆われることにより、洗浄液が内壁34cの内側を介して液受け部材34の外部に流出することが防止される。そして、液受け部材34に貯留された使用済みの洗浄液が、排液口34d及び排液路40を介して排出される。 During the cleaning of the work 11, the outer wall 34a of the liquid receiving member 34 blocks the scattering of the cleaning liquid. In addition, since the inner wall 34c of the liquid receiving member 34 is covered with the cover 42, the cleaning liquid is prevented from flowing out of the liquid receiving member 34 through the inner wall 34c. Then, the used cleaning liquid stored in the liquid receiving member 34 is discharged through the liquid drain port 34 d and the liquid drain path 40 .

ワーク11の洗浄が完了すると、供給位置に位置付けられた乾燥ノズル38からワーク11に向かってエアー等の気体が噴射され、ワーク11の乾燥が行われる。その後、昇降ユニット28によってスピンナテーブル20を上昇させ、ワーク11を液受け部材34から露出させる。 When the cleaning of the work 11 is completed, a gas such as air is jetted toward the work 11 from the drying nozzle 38 positioned at the supply position, and the work 11 is dried. Thereafter, the lift unit 28 lifts the spinner table 20 to expose the work 11 from the liquid receiving member 34 .

次に、保持ユニット60によってワーク11を保持し、載置台10の上方に搬送する。このとき支持基台68は、ワーク11の両端部が一対の支持部材12とZ軸方向において重なるように配置される。そして、支持基台68を下降させ、ワーク11の外周部(フレーム19)の下面側を一対の支持部材12上に配置する。この状態で、複数の吸引パッド70によるワーク11の吸引保持を解除すると、洗浄後のワーク11が一対の支持部材12によって支持される。その後、例えばオペレータが洗浄後のワーク11を回収して所定の場所へ搬送する。 Next, the work 11 is held by the holding unit 60 and conveyed above the mounting table 10 . At this time, the support base 68 is arranged so that both ends of the workpiece 11 overlap the pair of support members 12 in the Z-axis direction. Then, the support base 68 is lowered, and the lower surface side of the outer peripheral portion (frame 19 ) of the work 11 is placed on the pair of support members 12 . In this state, when the work 11 is released from being held by suction by the plurality of suction pads 70 , the work 11 after cleaning is supported by the pair of support members 12 . Thereafter, for example, an operator collects the cleaned workpiece 11 and transports it to a predetermined location.

なお、ワーク11を洗浄装置2で洗浄する際には、パーティクル、加工屑等の異物が付着した状態のワーク11が載置領域10aに載置される。その際、ワーク11に残存する異物の一部が載置領域10aに付着することがある。そして、仮に洗浄後のワーク11が再び載置領域10aに載置されると、載置領域10aに残存する異物がワーク11に付着し、洗浄済みのワーク11が汚染されるおそれがある。また、洗浄装置2によるワーク11の洗浄が中断されてオペレータが洗浄装置2から離れると、洗浄装置2によるワーク11の洗浄を再開する際に、載置領域10aに載置されているワーク11が洗浄前であるか洗浄後であるかが分からなくなり、ワーク11の誤搬送が生じるおそれがある。 When the work 11 is cleaned by the cleaning device 2, the work 11 to which foreign substances such as particles and processing waste are adhered is placed on the placement area 10a. At that time, part of the foreign matter remaining on the workpiece 11 may adhere to the mounting area 10a. If the cleaned work 11 is again placed on the placement area 10a, foreign matter remaining in the placement area 10a may adhere to the work 11 and the cleaned work 11 may be contaminated. Further, when the cleaning of the work 11 by the cleaning device 2 is interrupted and the operator leaves the cleaning device 2, when the cleaning of the work 11 by the cleaning device 2 is resumed, the work 11 placed on the placement area 10a is removed. There is a possibility that the work 11 may be transported incorrectly because it is not clear whether it is before cleaning or after cleaning.

一方、本実施形態に係る洗浄装置2においては、洗浄前のワーク11が載置台10の載置領域10aに載置され、洗浄後のワーク11が載置領域10aから離れた位置で一対の支持部材12によって支持される。これにより、洗浄前のワーク11と洗浄後のワーク11とが異なる場所に配置され、載置領域10aに残存する異物が洗浄後のワーク11に付着することを回避できる。また、ワーク11の載置場所を確認することによってワーク11が洗浄前であるか洗浄後であるかを容易に見分けることができ、ワーク11の誤搬送が防止される。 On the other hand, in the cleaning apparatus 2 according to the present embodiment, the work 11 before cleaning is mounted on the mounting area 10a of the mounting table 10, and the work 11 after cleaning is supported by a pair of support units at a position separated from the mounting area 10a. It is supported by member 12 . As a result, it is possible to prevent the work 11 before cleaning and the work 11 after cleaning from being arranged in different places, and foreign matter remaining in the mounting area 10a adhering to the work 11 after cleaning. Further, by confirming the place where the work 11 is placed, it is possible to easily distinguish whether the work 11 has been cleaned or not, thereby preventing erroneous transportation of the work 11 .

次に、保持ユニット4の詳細について説明する。図3は、保持ユニット4の一部を示す斜視図である。前述の通り、保持ユニット4は、水平方向において載置領域10aを挟むように配置された一対の支持部材12を備える。 Next, details of the holding unit 4 will be described. FIG. 3 is a perspective view showing part of the holding unit 4. FIG. As described above, the holding unit 4 includes a pair of support members 12 arranged so as to sandwich the placement area 10a in the horizontal direction.

支持部材12はそれぞれ、ワーク11の下面側に接触してワーク11を支持する支持部80を備える。支持部80は、載置領域10aと重なる位置(支持位置)と、載置領域10aと重ならない位置(退避位置)とに配置可能な可動部材である。一対の支持部80が支持位置に配置されると、ワーク11の外周部(フレーム19)を一対の支持部80上に載置可能となる。一方、一対の支持部80が退避位置に配置されると、載置領域10aに載置されたワーク11と一対の支持部80とがZ軸方向において重ならない状態となり、載置領域10aに載置されたワーク11を支持部80に接触させることなく持ち上げることが可能になる。 Each of the support members 12 includes a support portion 80 that contacts the lower surface side of the work 11 and supports the work 11 . The support portion 80 is a movable member that can be arranged at a position (support position) that overlaps with the mounting area 10a and a position (retracted position) that does not overlap with the mounting area 10a. When the pair of support portions 80 are arranged at the support position, the outer peripheral portion (frame 19 ) of the workpiece 11 can be placed on the pair of support portions 80 . On the other hand, when the pair of support portions 80 is arranged at the retracted position, the workpiece 11 placed on the placement area 10a and the pair of support portions 80 do not overlap in the Z-axis direction, and are placed on the placement area 10a. It becomes possible to lift the placed work 11 without contacting the support part 80 .

図4は、支持部材12を示す分解斜視図である。支持部材12の支持部80は、例えば略矩形状に形成された板状の部材であり、幅方向(短手方向)の両端部に相当する先端部80a及び基端部80bを含む。例えば支持部80は、長さ方向(長手方向)がY軸方向に沿い、先端部80aが載置領域10a(図3参照)側に位置付けられるように配置される。 4 is an exploded perspective view showing the support member 12. FIG. The support portion 80 of the support member 12 is, for example, a substantially rectangular plate-like member, and includes a distal end portion 80a and a proximal end portion 80b corresponding to both ends in the width direction (transverse direction). For example, the support portion 80 is arranged such that the length direction (longitudinal direction) is along the Y-axis direction and the tip portion 80a is positioned on the mounting area 10a (see FIG. 3) side.

支持部80の基端部80b側の両端部には、一対の切り欠き部80cが設けられている。また、支持部80の基端部80bには、支持部80を長さ方向(Y軸方向)に貫通する円柱状の貫通孔80dが設けられている。貫通孔80dの両端はそれぞれ、一対の切り欠き部80cで露出している。 A pair of notch portions 80c are provided at both end portions of the support portion 80 on the base end portion 80b side. A cylindrical through-hole 80d is provided in the base end portion 80b of the support portion 80 so as to extend through the support portion 80 in the length direction (Y-axis direction). Both ends of the through hole 80d are exposed at a pair of notch portions 80c.

また、支持部材12は、支持部80の両端部を支持する一対の支持台82を備える。支持台82は、直方体状の基部82aと、基部82aの上面の端部から上方に突出する柱部82bとを含む。支持台82の柱部82bには、柱部82bを長さ方向(Y軸方向)に貫通する円柱状の貫通孔82cが設けられている。貫通孔82cの両端はそれぞれ、支持台82の両側面で露出している。 The support member 12 also includes a pair of support bases 82 that support both ends of the support portion 80 . The support base 82 includes a rectangular parallelepiped base portion 82a and a column portion 82b projecting upward from the end portion of the upper surface of the base portion 82a. A columnar portion 82b of the support base 82 is provided with a cylindrical through hole 82c that penetrates the columnar portion 82b in the length direction (Y-axis direction). Both ends of the through hole 82c are exposed on both side surfaces of the support base 82, respectively.

支持台82の基部82aのうち柱部82bが設けられていない領域には、支持ピン84が設けられている。支持ピン84は、先端部(上端部)が基部82aの上面から上方に突出するように、支持台82の高さ方向(Z軸方向)に沿って配置されている。また、支持ピン84の基端部(下端部)は、ばね等の付勢部材(不図示)によって上方に向かって付勢されている。支持ピン84に外力が付与されると、支持ピン84がZ軸方向に沿って昇降する。 A support pin 84 is provided in a region of the base portion 82a of the support base 82 where the column portion 82b is not provided. The support pin 84 is arranged along the height direction (Z-axis direction) of the support base 82 so that the tip (upper end) thereof protrudes upward from the upper surface of the base 82a. The base end (lower end) of the support pin 84 is biased upward by a biasing member (not shown) such as a spring. When an external force is applied to the support pin 84, the support pin 84 moves up and down along the Z-axis direction.

支持部80は、切り欠き部80cに支持台82の柱部82bが嵌め込まれるように、一対の支持台82上に配置される。これにより、支持部80が支持ピン84によって支持されるとともに、支持部80の貫通孔80dと支持台82の貫通孔82cとが連結される。そして、円柱状の支持軸(シャフト)86が貫通孔80d,82cに挿入される。また、止めねじ等の固定具(不図示)によって支持軸86が支持部80に固定され、支持部80と支持軸86とが一体化される。 The support portion 80 is arranged on the pair of support bases 82 so that the column portions 82b of the support bases 82 are fitted into the notch portions 80c. As a result, the support portion 80 is supported by the support pin 84, and the through hole 80d of the support portion 80 and the through hole 82c of the support base 82 are connected. A cylindrical support shaft (shaft) 86 is inserted into the through holes 80d and 82c. Further, the support shaft 86 is fixed to the support portion 80 by a fixture (not shown) such as a set screw, so that the support portion 80 and the support shaft 86 are integrated.

図5(A)は支持部材12を示す斜視図であり、図5(B)は支持部材12を示す側面図である。支持部80に外力が付与されていないときは、支持部80は支持ピン84によって支持された状態に維持される。このときの支持部80の位置が、初期位置(基準位置)に相当する。そして、支持部80に外力が付与されると、支持部80に固定された支持軸86が貫通孔82cの内部で回転する。すなわち、支持部80は支持軸86の周りで回転可能な状態で、一対の支持台82によって支持されている。 5A is a perspective view showing the support member 12, and FIG. 5B is a side view showing the support member 12. FIG. When no external force is applied to the support portion 80 , the support portion 80 is maintained in a state of being supported by the support pins 84 . The position of the support portion 80 at this time corresponds to the initial position (reference position). When an external force is applied to the support portion 80, the support shaft 86 fixed to the support portion 80 rotates inside the through hole 82c. That is, the support portion 80 is supported by the pair of support bases 82 so as to be rotatable around the support shaft 86 .

図6(A)は、支持部80が支持位置に配置された状態の支持部材12を示す側面図である。支持部80の先端部80aに下向きの外力が付与されると、支持部80は先端部80aが下側に移動するように回転する。その結果、支持ピン84(図5(B)参照)が支持部80に押圧されて支持台82の基部82aに押し込まれる。そして、支持部80の下面が支持台82の基部82aの上面に接触し、基部82aによって支持される。 FIG. 6A is a side view showing the support member 12 with the support portion 80 arranged at the support position. When a downward external force is applied to the tip portion 80a of the support portion 80, the support portion 80 rotates so that the tip portion 80a moves downward. As a result, the support pin 84 (see FIG. 5B) is pressed by the support portion 80 and pushed into the base portion 82 a of the support base 82 . The lower surface of the support portion 80 contacts the upper surface of the base portion 82a of the support base 82 and is supported by the base portion 82a.

このとき、支持部80の上面は水平面(XY平面)と概ね平行に配置される。また、支持部80の先端部80aは、載置領域10a(図3参照)の端部とZ軸方向において重なるように配置される。そして、支持部80への外力の付与が解除されると、支持部80は支持ピン84による押圧によって支持位置から初期位置(図5(B)参照)に移動する。 At this time, the upper surface of the support portion 80 is arranged substantially parallel to the horizontal plane (XY plane). Further, the tip portion 80a of the support portion 80 is arranged so as to overlap with the end portion of the mounting area 10a (see FIG. 3) in the Z-axis direction. Then, when the application of the external force to the support portion 80 is released, the support portion 80 is pushed by the support pin 84 to move from the support position to the initial position (see FIG. 5B).

図6(B)は、支持部80が退避位置に配置された状態の支持部材12を示す側面図である。支持部80の先端部80aに上向きの外力が付与されると、支持部80は先端部80aが上側に移動するように回転する。これにより、支持部80の先端部80aが載置領域10a(図3参照)とZ軸方向において重ならないように配置される。そして、支持部80への外力の付与が解除されると、支持部80は自重によって退避位置から初期位置(図5(B)参照)に移動する。 FIG. 6B is a side view showing the support member 12 with the support portion 80 arranged at the retracted position. When an upward external force is applied to the tip portion 80a of the support portion 80, the support portion 80 rotates so that the tip portion 80a moves upward. As a result, the tip portion 80a of the support portion 80 is arranged so as not to overlap the mounting area 10a (see FIG. 3) in the Z-axis direction. Then, when the application of the external force to the support portion 80 is released, the support portion 80 moves from the retracted position to the initial position (see FIG. 5B) by its own weight.

次に、搬送ユニット8の詳細について説明する。図7は、搬送ユニット8の一部を示す斜視図である。なお、図7では、搬送ユニット8の一部の構成要素の図示を省略している。前述の通り、搬送ユニット8は、支持部材12に干渉してワーク11と支持部材12との接触を防止する一対の接触部材74を備える。 Next, details of the transport unit 8 will be described. FIG. 7 is a perspective view showing part of the transport unit 8. FIG. 7, illustration of some components of the transport unit 8 is omitted. As described above, the transport unit 8 includes a pair of contact members 74 that interfere with the support member 12 to prevent contact between the workpiece 11 and the support member 12 .

一対の接触部材74は、支持基台68のX軸方向における両端部に、支持基台68を挟むように装着される。また、接触部材74はそれぞれ、ワーク11の搬送時に支持部材12の支持部80(図3等参照)と接触して支持部80を初期位置から退避位置に移動させる接触部90を備える。なお、接触部材74の装着位置は、支持基台68が載置領域10a(図3参照)の直上に配置された際、接触部90が支持部材12の支持部80とZ軸方向において重なるように調節されている。 A pair of contact members 74 are attached to both ends of the support base 68 in the X-axis direction so as to sandwich the support base 68 . Each of the contact members 74 includes a contact portion 90 that comes into contact with the support portion 80 (see FIG. 3, etc.) of the support member 12 to move the support portion 80 from the initial position to the retracted position when the work 11 is conveyed. The mounting position of the contact member 74 is such that the contact portion 90 overlaps the support portion 80 of the support member 12 in the Z-axis direction when the support base 68 is arranged directly above the mounting area 10a (see FIG. 3). is adjusted to

図8は、接触部材74を示す分解斜視図である。接触部材74の接触部90は、略矩形状に形成された平板状のリフト部90aと、リフト部90aの基端部から上方に突出する柱部90bとを含む。リフト部90aの基端部には、リフト部90aを長さ方向(Y軸方向)に貫通する円柱状の第1貫通孔90cが設けられている。また、柱部90bには、柱部90bを長さ方向(Y軸方向)に貫通する円柱状の第2貫通孔90dが設けられている。第1貫通孔90cと第2貫通孔90dとは、柱部90bの高さ方向(Z軸方向)において重なるように、互いに離隔して形成されている。第1貫通孔90c及び第2貫通孔90dの両端はそれぞれ、接触部90の両側面で露出している。 8 is an exploded perspective view showing the contact member 74. FIG. The contact portion 90 of the contact member 74 includes a flat lift portion 90a formed in a substantially rectangular shape, and a column portion 90b projecting upward from the base end portion of the lift portion 90a. A columnar first through-hole 90c is provided at the proximal end of the lift portion 90a so as to extend through the lift portion 90a in the length direction (Y-axis direction). Further, the column portion 90b is provided with a cylindrical second through hole 90d that penetrates the column portion 90b in the length direction (Y-axis direction). The first through-hole 90c and the second through-hole 90d are formed apart from each other so as to overlap in the height direction (Z-axis direction) of the column portion 90b. Both ends of the first through hole 90c and the second through hole 90d are exposed on both side surfaces of the contact portion 90, respectively.

また、接触部材74は、接触部90を支持する枠体92を備える。枠体92は、略直方体状の基部92aと、基部92aの先端部に設けられた一対の支持部92bとを含む。一対の支持部92bは、基部92aの先端側の両端部から下方に突出するように柱状に形成され、Y軸方向において互いに離隔するように配置されている。 The contact member 74 also includes a frame 92 that supports the contact portion 90 . The frame body 92 includes a substantially rectangular parallelepiped base portion 92a and a pair of support portions 92b provided at the distal end portion of the base portion 92a. The pair of support portions 92b are formed in a columnar shape so as to protrude downward from both end portions on the tip side of the base portion 92a, and are arranged so as to be separated from each other in the Y-axis direction.

支持部92bの下端部には、支持部92bを幅方向(Y軸方向)に貫通する円柱状の第1貫通孔92cが設けられている。また、第1貫通孔92cの近傍には、支持部92bを幅方向(Y軸方向)に貫通する第2貫通孔92dが、第1貫通孔92cを囲むように設けられている。第2貫通孔92dは、第1貫通孔92cからの距離が一定になるように、側面視で円弧状に形成されている。そして、第2貫通孔92dの一端部(上端部)はZ軸方向において第1貫通孔92cと重なり、第2貫通孔92dの他端部(下端部)は第1貫通孔92cと概ね同じ高さ位置に位置付けられている。 A columnar first through hole 92c that penetrates the support portion 92b in the width direction (Y-axis direction) is provided at the lower end portion of the support portion 92b. In the vicinity of the first through hole 92c, a second through hole 92d penetrating the support portion 92b in the width direction (Y-axis direction) is provided so as to surround the first through hole 92c. 92 d of 2nd through-holes are formed in circular arc shape by the side view so that the distance from the 1st through-hole 92c may be fixed. One end (upper end) of the second through hole 92d overlaps with the first through hole 92c in the Z-axis direction, and the other end (lower end) of the second through hole 92d is substantially at the same height as the first through hole 92c. position.

第1貫通孔92c及び第2貫通孔92dの両端はそれぞれ、支持部92bの両側面で露出している。また、第1貫通孔92cと第2貫通孔92dとの距離は、接触部90に設けられた第1貫通孔90cと第2貫通孔90dとの距離と概ね同一に設定されている。 Both ends of the first through hole 92c and the second through hole 92d are exposed on both side surfaces of the support portion 92b. Also, the distance between the first through hole 92c and the second through hole 92d is set to be substantially the same as the distance between the first through hole 90c and the second through hole 90d provided in the contact portion 90 .

一対の支持部92bの間には、支持ピン94が設けられている。支持ピン94は、先端部(前端部)が基部92aの前面から前方に突出するように、基部92aの幅方向(X軸方向)に沿って配置されている。また、支持ピン94の基端部(後端部)は、ばね等の付勢部材(不図示)によって前方に向かって付勢されている。支持ピン94に外力が付与されると、支持ピン94がX軸方向に沿って移動する。 A support pin 94 is provided between the pair of support portions 92b. The support pin 94 is arranged along the width direction (X-axis direction) of the base portion 92a so that the tip portion (front end portion) protrudes forward from the front surface of the base portion 92a. A base end (rear end) of the support pin 94 is biased forward by a biasing member (not shown) such as a spring. When an external force is applied to the support pin 94, the support pin 94 moves along the X-axis direction.

接触部90は、柱部90bが一対の支持部92bの間に嵌め込まれるように配置される。これにより、接触部90の第1貫通孔90cと枠体92の第1貫通孔92cとが連結されるとともに、接触部90の第2貫通孔90dと枠体92の第2貫通孔92dとが連結される。そして、円柱状の第1支持軸(第1シャフト)96が第1貫通孔90c,92cに挿入され、円柱状の第2支持軸(第2シャフト)98が第2貫通孔90d,92dに挿入される。 The contact portion 90 is arranged such that the column portion 90b is fitted between the pair of support portions 92b. As a result, the first through hole 90c of the contact portion 90 and the first through hole 92c of the frame 92 are connected, and the second through hole 90d of the contact portion 90 and the second through hole 92d of the frame 92 are connected. concatenated. A cylindrical first support shaft (first shaft) 96 is inserted into the first through holes 90c and 92c, and a cylindrical second support shaft (second shaft) 98 is inserted into the second through holes 90d and 92d. be done.

第1支持軸96は、止めねじ等の固定具(不図示)によって枠体92に固定される。また、第2支持軸98は、止めねじ等の固定具(不図示)によって接触部90に固定される。これにより、枠体92と第1支持軸96とが一体化されるとともに、接触部90と第2支持軸98とが一体化される。 The first support shaft 96 is fixed to the frame body 92 by a fixture (not shown) such as a set screw. Also, the second support shaft 98 is fixed to the contact portion 90 by a fixture (not shown) such as a set screw. As a result, the frame 92 and the first support shaft 96 are integrated, and the contact portion 90 and the second support shaft 98 are integrated.

図9(A)は接触部材74を示す斜視図であり、図9(B)は接触部材74を示す側面図である。接触部90が枠体92に装着されると、接触部90の自重によって第2支持軸98が第2貫通孔92dの上端部に位置付けられ、接触部90が第1支持軸96及び第2支持軸98を介して枠体92によって支持される。このとき、接触部90は水平面(XY平面)に沿って配置される。 9A is a perspective view showing the contact member 74, and FIG. 9B is a side view showing the contact member 74. FIG. When the contact portion 90 is attached to the frame 92, the weight of the contact portion 90 positions the second support shaft 98 at the upper end portion of the second through hole 92d, and the contact portion 90 moves between the first support shaft 96 and the second support. It is supported by the frame 92 via the shaft 98 . At this time, the contact portion 90 is arranged along the horizontal plane (XY plane).

図10は、接触部90が枠体92に収容された状態の接触部材74を示す側面図である。接触部90に上向きの外力が付与されると、第2支持軸98が第2貫通孔92dの上端部から下端部に移動し、接触部90は先端部が上側に移動するように第1支持軸96の周りを回転する。そして、支持ピン94(図9(A)参照)が接触部90に押圧されて枠体92の基部92aに押し込まれ、接触部90が基部92aの前面に接触して支持される。これにより、接触部90が一対の支持部92bの間に配置され、枠体92に収容される。 10 is a side view showing the contact member 74 with the contact portion 90 housed in the frame 92. FIG. When an upward external force is applied to the contact portion 90, the second support shaft 98 moves from the upper end portion to the lower end portion of the second through-hole 92d, and the contact portion 90 moves upward at its tip portion. rotate about axis 96; Then, the support pin 94 (see FIG. 9A) is pushed by the contact portion 90 and pushed into the base portion 92a of the frame 92, and the contact portion 90 contacts and is supported by the front surface of the base portion 92a. Thereby, the contact portion 90 is arranged between the pair of support portions 92 b and accommodated in the frame 92 .

次に、搬送ユニット8によるワーク11の搬送の詳細について説明する。図11は、ワーク11が載置領域10aに載置された状態の洗浄装置2を示す一部断面側面図である。 Next, the details of the transport of the workpiece 11 by the transport unit 8 will be described. FIG. 11 is a partially cross-sectional side view showing the cleaning apparatus 2 with the work 11 placed on the placement area 10a.

洗浄装置2でワーク11を洗浄する際は、まず、ワーク11が載置台10の載置領域10aに載置される。例えば、オペレータがワーク11を手に持って載置台10の上面と一対の支持部80との間に差し込むことにより、ワーク11を載置領域10aに手動で載置する。載置領域10aに載置されたワーク11は、両端部が一対の支持部80の先端部80aとZ軸方向において重なるように位置付けられる。 When cleaning the work 11 with the cleaning device 2 , the work 11 is first placed on the placement area 10 a of the placement table 10 . For example, the operator manually places the work 11 on the placement area 10 a by inserting the work 11 between the upper surface of the placement table 10 and the pair of support portions 80 while holding the work 11 in hand. The workpiece 11 placed on the placement area 10a is positioned so that both end portions overlap the tip portions 80a of the pair of support portions 80 in the Z-axis direction.

次に、搬送ユニット8によってワーク11が保持される。具体的には、まず、搬送ユニット8がワーク11及び載置領域10aの直上に配置される。図12(A)は、搬送ユニット8がワーク11の上方に配置された状態の洗浄装置2を示す一部断面側面図である。 Next, the work 11 is held by the transport unit 8 . Specifically, first, the transport unit 8 is arranged directly above the workpiece 11 and the placement area 10a. FIG. 12A is a partial cross-sectional side view showing the cleaning apparatus 2 with the transport unit 8 arranged above the workpiece 11. FIG.

搬送ユニット8は、複数の吸引パッド70がZ軸方向においてワーク11の外周部(フレーム19)と重なるように配置される。このとき、一対の接触部材74に外力は付与されておらず、接触部90は枠体92から突出した状態となっている。また、接触部90は、一対の支持部材12が備える支持部80の先端部80aとZ軸方向において重なるように配置される。 The transport unit 8 is arranged so that the plurality of suction pads 70 overlap the outer peripheral portion (frame 19) of the workpiece 11 in the Z-axis direction. At this time, no external force is applied to the pair of contact members 74, and the contact portion 90 protrudes from the frame 92. As shown in FIG. Further, the contact portion 90 is arranged so as to overlap the tip portion 80a of the support portion 80 provided in the pair of support members 12 in the Z-axis direction.

次に、支持基台68が載置領域10aに向かって下降し、ワーク11に接近する。そして、接触部材74の接触部90が支持部材12の支持部80に到達すると、支持部80が接触部90の下面側に接触する。この状態で支持基台68が更に下降すると、接触部90が支持部80によって持ち上げられて回転し、枠体92に収容される。図12(B)は、接触部90が枠体92に収容された状態の洗浄装置2を示す一部断面側面図である。 Next, the support base 68 descends toward the mounting area 10a and approaches the workpiece 11. As shown in FIG. Then, when the contact portion 90 of the contact member 74 reaches the support portion 80 of the support member 12 , the support portion 80 contacts the lower surface side of the contact portion 90 . When the support base 68 is further lowered in this state, the contact portion 90 is lifted by the support portion 80 and rotated to be housed in the frame 92 . FIG. 12B is a partial cross-sectional side view showing the cleaning device 2 with the contact portion 90 housed in the frame 92. As shown in FIG.

接触部90が枠体92に収容されると、支持基台68及び接触部材74が一対の支持部材12の間を通過可能になる。そして、支持基台68が更に下降すると、複数の吸引パッド70の下面(吸引面)がワーク11の外周部(フレーム19)の上面側に接触する。この状態で吸引パッド70の吸引面に吸引源の吸引力(負圧)を作用させると、ワーク11が複数の吸引パッド70によって吸引保持される。図12(C)は、複数の吸引パッド70がワーク11に接触した状態の洗浄装置2を示す一部断面側面図である。 When the contact portion 90 is accommodated in the frame 92 , the support base 68 and the contact member 74 can pass between the pair of support members 12 . Then, when the support base 68 is further lowered, the lower surfaces (suction surfaces) of the plurality of suction pads 70 come into contact with the upper surface side of the outer peripheral portion (frame 19) of the work 11. As shown in FIG. When the suction force (negative pressure) of the suction source is applied to the suction surface of the suction pads 70 in this state, the work 11 is suction-held by the plurality of suction pads 70 . FIG. 12(C) is a partial cross-sectional side view showing the cleaning device 2 in a state in which a plurality of suction pads 70 are in contact with the workpiece 11. FIG.

なお、接触部材74が一対の支持部材12の間を通過すると、支持部80による接触部90の支持が解除される。また、支持ピン94(図9(A)参照)によって接触部90が枠体92から離れる方向に押圧される。その結果、接触部90は枠体92に収容された状態から枠体92から突出した状態に切り替わり、一対の接触部90がそれぞれ一対の支持部80の先端部80aの下方に配置される。 Note that when the contact member 74 passes between the pair of support members 12, the support of the contact portion 90 by the support portion 80 is released. Further, the contact portion 90 is pressed away from the frame 92 by the support pin 94 (see FIG. 9A). As a result, the contact portions 90 are switched from being housed in the frame 92 to projecting from the frame 92, and the pair of contact portions 90 are arranged below the tip portions 80a of the pair of support portions 80, respectively.

次に、支持基台68が上昇し、載置領域10aから離れる。これにより、ワーク11が持ち上げられ、載置領域10a上から搬送される。そして、接触部材74の接触部90が支持部材12の支持部80に到達すると、接触部90が支持部80の下面側に接触し、支持部80の先端部80aを持ち上げる。図13(A)は、支持部80が接触部90によって持ち上げられた状態の洗浄装置2を示す一部断面側面図である。 Next, the support base 68 rises and leaves the mounting area 10a. As a result, the workpiece 11 is lifted and transported from the placement area 10a. Then, when the contact portion 90 of the contact member 74 reaches the support portion 80 of the support member 12 , the contact portion 90 contacts the lower surface side of the support portion 80 and lifts the tip portion 80 a of the support portion 80 . FIG. 13A is a partially cross-sectional side view showing the cleaning device 2 in a state where the support portion 80 is lifted by the contact portion 90. FIG.

支持部80が接触部90によって持ち上げられると、支持部80は先端部80aが上方に移動するように回転し、一時的に載置領域10aと重ならない位置(退避位置)に配置される。すなわち、一対の接触部材74が、支持部80と接触して支持部80を初期位置から退避位置に移動させる移動機構として機能する。これにより、一対の支持部80が載置領域10a及びワーク11とZ軸方向において重ならないように位置付けられ、ワーク11が一対の支持部材12の間を通過する際におけるワーク11と支持部80との接触が回避される。 When the support portion 80 is lifted by the contact portion 90, the support portion 80 rotates so that the tip portion 80a moves upward, and is temporarily placed at a position (retreat position) that does not overlap the mounting area 10a. That is, the pair of contact members 74 function as a moving mechanism that contacts the support portion 80 and moves the support portion 80 from the initial position to the retracted position. As a result, the pair of support portions 80 are positioned so as not to overlap the placement area 10a and the work 11 in the Z-axis direction, and the work 11 and the support portions 80 are aligned when the work 11 passes between the pair of support members 12. contact is avoided.

その後、支持基台68が更に上昇し、ワーク11が一対の支持部材12の上方まで持ち上げられる。そして、支持部80は自重によって先端部80aが下降するように回転し、元の位置に戻る。このとき、支持部80が支持ピン84(図5(B)等参照)によって受け止められ、支持部80に付与される衝撃が緩和される。図13(B)は、ワーク11が一対の支持部材12の上方まで持ち上げられた状態の洗浄装置2を示す一部断面側面図である。 After that, the support base 68 is further raised, and the work 11 is lifted above the pair of support members 12 . Then, the support portion 80 rotates so that the tip portion 80a descends by its own weight, and returns to its original position. At this time, the support portion 80 is received by the support pin 84 (see FIG. 5B, etc.), and the impact applied to the support portion 80 is alleviated. FIG. 13B is a partial cross-sectional side view showing the cleaning apparatus 2 in a state where the work 11 is lifted above the pair of support members 12. FIG.

その後、搬送ユニット8は、図1に示す洗浄ユニット6にワーク11を搬送する。そして、ワーク11はスピンナテーブル20によって保持された後、洗浄ノズル36から供給される洗浄液によって洗浄され、乾燥ノズル38から噴射される気体によって乾燥される。 After that, the transport unit 8 transports the work 11 to the cleaning unit 6 shown in FIG. After being held by the spinner table 20 , the workpiece 11 is cleaned with cleaning liquid supplied from the cleaning nozzle 36 and dried with gas jetted from the drying nozzle 38 .

洗浄及び乾燥後のワーク11は、搬送ユニット8によって再び保持ユニット4に搬送される。具体的には、まず、洗浄後のワーク11を保持した搬送ユニット8が、載置領域10aの直上に配置される。図14(A)は、搬送ユニット8が載置領域10aの上方に配置された状態の洗浄装置2を示す一部断面側面図である。 After cleaning and drying, the work 11 is transported again to the holding unit 4 by the transport unit 8 . Specifically, first, the transport unit 8 holding the cleaned workpiece 11 is arranged directly above the placement area 10a. FIG. 14A is a partial cross-sectional side view showing the cleaning device 2 with the transport unit 8 arranged above the placement area 10a.

搬送ユニット8の位置は、ワーク11が載置領域10aとZ軸方向において重なるように調節される。このとき、ワーク11の外周部(フレーム19)は、初期位置に位置付けられた一対の支持部80の先端部80aとZ軸方向において重なるように配置される。 The position of the transport unit 8 is adjusted so that the workpiece 11 overlaps the placement area 10a in the Z-axis direction. At this time, the outer peripheral portion (frame 19) of the work 11 is arranged so as to overlap in the Z-axis direction with the tip portions 80a of the pair of support portions 80 positioned at the initial position.

次に、支持基台68が下降し、ワーク11が一対の支持部材12に接近する。そして、ワーク11の外周部(フレーム19)が支持部80に到達して接触した状態で、更に支持基台68が下降すると、支持部80が搬送ユニット8及びワーク11によって下方に押し付けられる。その結果、支持部80は先端部80aが下側に移動するように回転し、支持位置に配置される。なお、一対の支持部80が支持位置に移動する際、支持部80は支持ピン84(図5(B)等参照)によって支持されつつ、支持台82の基部82a(図5(B)等参照)の上面に到達する。 Next, the support base 68 descends and the work 11 approaches the pair of support members 12 . When the support base 68 is further lowered while the outer periphery (frame 19 ) of the work 11 reaches and contacts the support 80 , the support 80 is pressed downward by the transport unit 8 and the work 11 . As a result, the support portion 80 rotates so that the tip portion 80a moves downward and is placed at the support position. In addition, when the pair of support portions 80 move to the support position, the support portions 80 are supported by the support pins 84 (see FIG. 5B, etc.), and the base portions 82a of the support bases 82 (see FIG. 5B, etc.) ).

その後、複数の吸引パッド70によるワーク11の吸引保持が解除される。これにより、ワーク11の外周部が一対の支持部80によって支持される。その結果、ワーク11は、載置領域10aの上方で、載置領域10aからZ軸方向において離隔した状態で保持される。図14(B)は、ワーク11が一対の支持部材12によって支持された状態の洗浄装置2を示す一部断面側面図である。 After that, the workpiece 11 is released from being held by suction by the plurality of suction pads 70 . Thereby, the outer peripheral portion of the work 11 is supported by the pair of support portions 80 . As a result, the workpiece 11 is held above the mounting area 10a in a state separated from the mounting area 10a in the Z-axis direction. FIG. 14B is a partially cross-sectional side view showing the cleaning apparatus 2 in a state in which the workpiece 11 is supported by a pair of support members 12. FIG.

ワーク11が一対の支持部80上に配置されると、ワーク11の自重によって支持部80は支持位置に配置された状態に維持される。これにより、ワーク11が概ね水平に保持される。その後、支持基台68が上昇して吸引パッド70がワーク11から離隔される。このようにして、洗浄後のワーク11が一対の支持部材12によって支持される。 When the work 11 is placed on the pair of support parts 80 , the support parts 80 are maintained at the support position by the weight of the work 11 . Thereby, the workpiece 11 is held substantially horizontally. After that, the support base 68 is raised to separate the suction pad 70 from the work 11 . Thus, the workpiece 11 after cleaning is supported by the pair of support members 12 .

以上の通り、本実施形態に係る洗浄装置2は、洗浄前のワーク11が載置される載置領域10aを含む載置台10と、洗浄後のワーク11を載置領域10aの上方で支持する一対の支持部材12とを備える。これにより、洗浄前のワーク11と洗浄後のワーク11とを異なる場所で保管することが可能となり、洗浄前のワーク11が載置される載置領域10aに残存している異物が洗浄後のワーク11に付着することを防止できる。また、ワーク11の載置場所によってワーク11が洗浄前であるか洗浄後であるかを容易に見分けることができ、ワーク11の誤搬送が防止される。 As described above, the cleaning apparatus 2 according to the present embodiment includes the mounting table 10 including the mounting area 10a on which the workpiece 11 before cleaning is mounted, and supports the workpiece 11 after cleaning above the mounting area 10a. and a pair of support members 12 . As a result, the workpiece 11 before cleaning and the workpiece 11 after cleaning can be stored in different places, and foreign matter remaining in the placement area 10a on which the workpiece 11 before cleaning is placed can be removed after cleaning. Adhesion to the workpiece 11 can be prevented. In addition, it is possible to easily distinguish whether the work 11 is before or after cleaning depending on the place where the work 11 is placed, thereby preventing erroneous transportation of the work 11 .

なお、洗浄装置2の構成は、洗浄前のワーク11と洗浄後のワーク11とを異なる場所で保管可能な範囲内で適宜変更できる。例えば、洗浄装置2は2組の搬送ユニット8を備えていてもよい。この場合には、一方の搬送ユニット8によって載置領域10aから洗浄ユニット6へのワーク11の搬送を行い、他方の搬送ユニット8によって洗浄ユニット6から一対の支持部材12上へのワーク11の搬送を行うことが可能になる。 Note that the configuration of the cleaning device 2 can be appropriately changed within a range in which the work 11 before cleaning and the work 11 after cleaning can be stored in different places. For example, the cleaning device 2 may have two transport units 8 . In this case, one transport unit 8 transports the work 11 from the placement area 10 a to the cleaning unit 6 , and the other transport unit 8 transports the work 11 from the cleaning unit 6 onto the pair of support members 12 . It becomes possible to do

また、本実施形態に係る洗浄装置2は、ワーク11を加工する加工装置に搭載することもできる。この場合には、加工装置によって加工されたワーク11が、その加工装置内で洗浄装置2によって洗浄される。例えば洗浄装置2は、ワーク11を切削する加工ユニット(切削ユニット)を備える切削装置、ワーク11を研削する加工ユニット(研削ユニット)を備える研削装置、ワーク11を研磨する加工ユニット(研磨ユニット)を備える研磨装置、ワーク11にレーザービームを照射する加工ユニット(レーザー照射ユニット)を備えるレーザー加工装置等に搭載できる。 The cleaning device 2 according to this embodiment can also be mounted on a processing device that processes the workpiece 11 . In this case, the work 11 processed by the processing device is cleaned by the cleaning device 2 within the processing device. For example, the cleaning device 2 includes a cutting device having a processing unit (cutting unit) for cutting the work 11, a grinding device having a processing unit (grinding unit) for grinding the work 11, and a processing unit (polishing unit) for polishing the work 11. It can be installed in a polishing apparatus, a laser processing apparatus including a processing unit (laser irradiation unit) for irradiating the workpiece 11 with a laser beam, or the like.

切削装置の切削ユニットはスピンドルを備えており、スピンドルの先端部には環状の切削ブレードが装着される。切削ユニットは、切削ブレードを回転させつつワーク11に切り込ませることにより、ワーク11を切削する。 A cutting unit of the cutting device has a spindle, and an annular cutting blade is attached to the tip of the spindle. The cutting unit cuts the work 11 by cutting into the work 11 while rotating the cutting blade.

研削装置の研削ユニットはスピンドルを備えており、スピンドルの先端部には複数の研削砥石を備える環状の研削ホイールが装着される。研削ユニットは、研削ホイールを回転させつつ研削砥石をワーク11に接触させることにより、ワーク11を研削する。また、研磨装置の研磨ユニットはスピンドルを備えており、スピンドルの先端部には円盤状の研磨パッドが装着される。研磨ユニットは、研磨パッドを回転させつつワーク11に接触させることにより、ワーク11を研磨する。 A grinding unit of the grinding apparatus has a spindle, and an annular grinding wheel having a plurality of grinding wheels is attached to the tip of the spindle. The grinding unit grinds the workpiece 11 by rotating the grinding wheel and bringing the grinding wheel into contact with the workpiece 11 . A polishing unit of the polishing apparatus has a spindle, and a disk-shaped polishing pad is attached to the tip of the spindle. The polishing unit polishes the work 11 by rotating the polishing pad and bringing it into contact with the work 11 .

レーザー加工装置のレーザー照射ユニットは、所定の波長のレーザーを発振するレーザー発振器と、レーザー発振器から出射したレーザービームをワーク11へと導く光学系とを備える。レーザー照射ユニットからワーク11にレーザービームを照射することにより、ワーク11にレーザー加工が施される。 A laser irradiation unit of the laser processing apparatus includes a laser oscillator that oscillates laser of a predetermined wavelength, and an optical system that guides the laser beam emitted from the laser oscillator to the workpiece 11 . The work 11 is subjected to laser processing by irradiating the work 11 with a laser beam from the laser irradiation unit.

さらに、洗浄装置2は、ワーク11にプラズマエッチングを施すプラズマ処理装置に搭載することもできる。プラズマ処理装置は、エッチングガスをプラズマ状態にしてワーク11に供給することにより、ワーク11をエッチングする。 Furthermore, the cleaning apparatus 2 can be installed in a plasma processing apparatus for performing plasma etching on the workpiece 11 . The plasma processing apparatus etches the workpiece 11 by supplying an etching gas to the workpiece 11 in a plasma state.

その他、上記実施形態に係る構造、方法等は、本発明の目的の範囲を逸脱しない限りにおいて適宜変更して実施できる。 In addition, the structures, methods, and the like according to the above-described embodiments can be modified as appropriate without departing from the scope of the present invention.

11 ワーク(被加工物、被洗浄物)
13 ウェーハ
13a 表面(第1面)
13b 裏面(第2面)
15 ストリート(分割予定ライン)
17 デバイス
19 フレーム
19a 開口
21 シート
2 洗浄装置
4 保持ユニット(保持機構)
6 洗浄ユニット(洗浄機構)
8 搬送ユニット(搬送機構)
10 載置台
10a 載置領域
12 支持部材(支持機構)
20 スピンナテーブル
20a 保持面
22 クランプ
24 回転駆動源
26 スピンドル(出力軸)
28 昇降ユニット(昇降機構)
30 エアシリンダ
32 支持脚
34 液受け部材
34a 外壁
34b 底壁
34c 内壁
34d 排液口
36 洗浄ノズル
38 乾燥ノズル
40 排液路
42 カバー
50 移動機構
52 ガイドレール
54 移動ブロック
56 ボールねじ
58 パルスモータ
60 保持ユニット(保持機構)
62 支持アーム
64 ピストンロッド
66 昇降ブロック
68 支持基台
70 吸引パッド
72 流路
74 接触部材(接触機構)
80 支持部
80a 先端部
80b 基端部
80c 切り欠き部
80d 貫通孔
82 支持台
82a 基部
82b 柱部
82c 貫通孔
84 支持ピン
86 支持軸(シャフト)
90 接触部(可動部)
90a リフト部
90b 柱部
90c 第1貫通孔
90d 第2貫通孔
92 枠体
92a 基部
92b 支持部
92c 第1貫通孔
92d 第2貫通孔
94 支持ピン
96 第1支持軸(第1シャフト)
98 第2支持軸(第2シャフト)
11 work (object to be processed, object to be cleaned)
13 wafer 13a surface (first surface)
13b back surface (second surface)
15th street (divided line)
REFERENCE SIGNS LIST 17 device 19 frame 19a opening 21 sheet 2 cleaning device 4 holding unit (holding mechanism)
6 Washing unit (washing mechanism)
8 transport unit (transport mechanism)
REFERENCE SIGNS LIST 10 mounting table 10a mounting area 12 support member (support mechanism)
20 spinner table 20a holding surface 22 clamp 24 rotary drive source 26 spindle (output shaft)
28 lifting unit (lifting mechanism)
30 air cylinder 32 support leg 34 liquid receiving member 34a outer wall 34b bottom wall 34c inner wall 34d drain port 36 cleaning nozzle 38 drying nozzle 40 drain path 42 cover 50 moving mechanism 52 guide rail 54 moving block 56 ball screw 58 pulse motor 60 holding Unit (holding mechanism)
62 support arm 64 piston rod 66 lift block 68 support base 70 suction pad 72 channel 74 contact member (contact mechanism)
80 support part 80a tip part 80b base end part 80c notch part 80d through hole 82 support base 82a base part 82b column part 82c through hole 84 support pin 86 support shaft (shaft)
90 contact part (movable part)
90a lift portion 90b column portion 90c first through hole 90d second through hole 92 frame 92a base portion 92b support portion 92c first through hole 92d second through hole 94 support pin 96 first support shaft (first shaft)
98 second support shaft (second shaft)

Claims (3)

ワークを洗浄する洗浄装置であって、
該ワークを保持する保持ユニットと、
該ワークを洗浄する洗浄ユニットと、
該保持ユニットと該洗浄ユニットとの間で該ワークを搬送する搬送ユニットと、を備え、
該保持ユニットは、該洗浄ユニットによって洗浄される前の該ワークが載置される載置領域を含む載置台と、該洗浄ユニットによって洗浄された後の該ワークを該載置領域の上方で支持する一対の支持部材とを備えることを特徴とする洗浄装置。
A cleaning device for cleaning a workpiece,
a holding unit that holds the work;
a cleaning unit for cleaning the work;
a transport unit that transports the workpiece between the holding unit and the cleaning unit;
The holding unit includes a mounting table including a mounting area on which the work before being cleaned by the cleaning unit is mounted, and supports the work after being cleaned by the cleaning unit above the mounting area. and a pair of supporting members for supporting each other.
該支持部材は、該ワークの下面側を支持する支持部を備え、
該支持部は、該載置領域と重なる支持位置と、該載置領域と重ならない退避位置とに配置可能であることを特徴とする、請求項1記載の洗浄装置。
The support member includes a support portion that supports the lower surface side of the work,
2. The cleaning apparatus according to claim 1, wherein the supporting portion can be arranged at a supporting position overlapping with the mounting area and a retracted position not overlapping with the mounting area.
該搬送ユニットは、該支持部と接触して該支持部を該退避位置に移動させる接触部材を備えることを特徴とする、請求項2記載の洗浄装置。
3. The cleaning apparatus according to claim 2, wherein the transport unit includes a contact member that contacts the support and moves the support to the retracted position.
JP2022027708A 2022-02-25 2022-02-25 Washing device Pending JP2023124114A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022027708A JP2023124114A (en) 2022-02-25 2022-02-25 Washing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2022027708A JP2023124114A (en) 2022-02-25 2022-02-25 Washing device

Publications (1)

Publication Number Publication Date
JP2023124114A true JP2023124114A (en) 2023-09-06

Family

ID=87886378

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022027708A Pending JP2023124114A (en) 2022-02-25 2022-02-25 Washing device

Country Status (1)

Country Link
JP (1) JP2023124114A (en)

Similar Documents

Publication Publication Date Title
JP2007235069A (en) Wafer machining method
JP2007214457A (en) Wafer processing equipment and method therefor
TWI784121B (en) Processing method, etching device and laser processing device
KR101757932B1 (en) Wafer transfer mechanism
TWI769373B (en) cutting device
CN109986461B (en) Cutting device
JP6210847B2 (en) Cutting apparatus and cutting method
JP7430452B2 (en) Cutting equipment, cutting blade replacement method, and board replacement method
TW202333269A (en) Cleaning apparatus capable of reliably removing foreign matters adhered to a workpiece
JP5306928B2 (en) Wafer transfer device
JP7166709B2 (en) cutting equipment
JP2023124114A (en) Washing device
CN114121600A (en) Method for cleaning workpiece
JP6084115B2 (en) Processing equipment
JP2022098138A (en) Conveyance mechanism and processing device
JP7251899B2 (en) Workpiece processing method
TW202221785A (en) Grinding apparatus
JP5930692B2 (en) Tool for cutting tools
TW202349557A (en) Fixing member and fluid injection nozzle mechanism wherein the fixing member has a cylindrical support portion, a truncated cone-shaped pushing and holding portion, and a through hole
TW202216365A (en) Polishing apparatus and polishing method
JP2022172555A (en) Processing device
JP2014220449A (en) Processing device
JP2022180843A (en) Wafer processing method
JP2021000712A (en) Holding device
JP2020116719A (en) Carrying mechanism, carrying method for work piece and processing device