JP2023089034A - パターニングプロセスにおいてパターンを決定するための方法 - Google Patents

パターニングプロセスにおいてパターンを決定するための方法 Download PDF

Info

Publication number
JP2023089034A
JP2023089034A JP2023054693A JP2023054693A JP2023089034A JP 2023089034 A JP2023089034 A JP 2023089034A JP 2023054693 A JP2023054693 A JP 2023054693A JP 2023054693 A JP2023054693 A JP 2023054693A JP 2023089034 A JP2023089034 A JP 2023089034A
Authority
JP
Japan
Prior art keywords
model
pattern
machine learning
parameters
image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023054693A
Other languages
English (en)
Inventor
マ,ズーヤン
zi-yang Ma
チェン,ジン
Xing Chen
ルオ,ヤー
Ya Luo
ジェン,ライウー
Lei-Wu Zheng
グオ,シン
Xin Guo
ワン,ジェン-シアン
Jen-Shiang Wang
ファン,ヨンファ
Yongfa Fan
チェン,フェン
Feng Cheng
チェン,イ-イン
Yi-Yin Chen
ジャン,チェンジ
Jin Cheng
ルー,イエン-ウェン
Yen-Wen Loo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2023089034A publication Critical patent/JP2023089034A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Abstract

【課題】モデルを訓練するためのツールと、訓練されたモデルを使用してパターニングプロセスにおいて基板に印刷されることになるパターンを決定することと、に関する。【解決手段】モデルを訓練する方法であって、このモデルは、パターニングプロセス時に形成されるパターンを予測するように構成される、方法。この方法は、所望のパターンに関連付けられた像データ、基板の測定されたパターン、第1のパラメータの組を含む第1のモデル、及び第2のパラメータの組を含む機械学習モデル、を取得することと、前記第1、第2のパラメータの組の値を反復して決定し、モデルを訓練することと、を含む。反復には、像データを使用して、第1のモデル及び機械学習モデルを実行して印刷されるパターンを協働して予測することと、測定されたパターンと予測されたパターンとの間の差が低減されるように、前記第1、第2のパラメータの組の値を修正することと、が含まれる。【選択図】図3

Description

関連出願の相互参照
[0001] 本出願は、2019年3月25日に出願された米国特許出願第62/823,029号、2019年12月20日に出願された米国特許出願第62/951,097号の優先権を主張するものであり、これらの特許出願は、その全体が参照により本明細書に組み込まれる。
[0002] 本明細書の説明は、リソグラフィ装置及びプロセスに関し、より具体的には、パターニングプロセスモデルを訓練するためのツールと、訓練されたモデルを使用してパターニングプロセスにおいて基板に印刷されることになるパターンを決定することと、に関する。
[0003] リソグラフィ投影装置は、例えば、集積回路(IC)の製造において使用され得る。このような場合、パターニングデバイス(例えば、マスク)は、ICの個々の層に対応する回路パターン(「設計レイアウト」)を含むこと、又は提供することができ、及びこの回路パターンは、パターニングデバイス上の回路パターンを通してターゲット部分を照射するなどの方法により、放射感応性材料(「レジスト」)の層でコートされた基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、1つ又は複数のダイを含む)上に転写され得る。一般に、単一の基板は、回路パターンがリソグラフィ投影装置によって連続して転写される複数の隣接するターゲット部分(一度に1つのターゲット部分)を含む。あるタイプのリソグラフィ投影装置においては、パターニングデバイス全体上の回路パターンが、一回で1つのターゲット部分上に転写され、このような装置は、一般にウェーハステッパと呼ばれる。一般にステップアンドスキャン装置と呼ばれる代替装置では、投影ビームが、所与の基準方向(「スキャン」方向)にパターニングデバイスをスキャンすることに同期して、この基準方向に平行又は逆平行に基板を移動させる。パターニングデバイス上の回路パターンの異なる部分が、1つのターゲット部分に漸進的に転写される。一般に、リソグラフィ投影装置は、拡大係数M(一般に、<1)を有するので、基板を移動させる速度Fは、投影ビームがパターニングデバイスをスキャンする速度×係数Mとなる。本明細書に記載するようなリソグラフィデバイスに関するさらなる情報は、例えば、本明細書に援用される米国特許第6,046,792号から学ぶことができる。
[0004] パターニングデバイスから基板に回路パターンを転写する前に、基板は、プライミング、レジストコーティング、及びソフトベークなどの様々なプロシージャを経てもよい。露光後に、基板は、ポストベーク(PEB)、現像、ハードベーク、及び転写された回路パターンの測定/インスペクションなどの他のプロシージャを受けてもよい。この多数のプロシージャは、デバイス、例えばICの個々の層を作るための基礎として使用される。基板は、次に、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械研磨など(全て、デバイスの個々の層を仕上げることを意図したもの)の様々なプロセスを経てもよい。デバイスに幾つかの層が必要とされる場合、プロシージャ全体又はそれの異形が、各層に対して繰り返される。最終的に、基板上の各ターゲット部分にデバイスが存在する。これらのデバイスは、次に、ダイシング又はソーイングなどの技術によって互いに分離され、その結果、個々のデバイスがキャリア上に取り付けられること、ピンに接続されることなどが可能である。
[0005] 上述の通り、マイクロリソグラフィは、基板上に形成されたパターンが、マイクロプロセッサ、メモリチップなどのICの機能素子を定義する、ICの製造における中心的ステップである。フラットパネルディスプレイ、微小電子機械システム(MEMS)、及び他のデバイスの形成においても、類似のリソグラフィ技術が使用される。
[0006] 半導体製造プロセスが進歩し続けるにつれて、機能素子の寸法は、継続的に小さくなっている一方で、一般に「ムーアの法則」と呼ばれる傾向に従って、1つのデバイス当たりのトランジスタなどの機能素子の量は、何十年にもわたり、着実に増加している。現在の技術状況では、デバイスの層は、深紫外線照明源からの照明を用いて、設計レイアウトを基板上に投影し、100nmをはるかに下回る(すなわち、照明源(例えば、193nm照明源)からの放射の波長の半分未満)寸法を有する個々の機能素子を生成するリソグラフィ投影装置を用いて製造される。
[0007] リソグラフィ投影装置の古典的限界解像度未満の寸法を持つフィーチャが印刷されるこのプロセスは、一般に、解像度式CD=k1×λ/NAによる低k1リソグラフィとして知られ、式中、λは、用いられた放射の波長(現在、ほとんどの場合、248nm又は193nm)であり、NAは、リソグラフィ投影装置における投影光学系の開口数であり、CDは、「クリティカルディメンジョン」(一般に、印刷される最小のフィーチャサイズ)であり、及びk1は、経験的解像度係数である。一般に、k1が小さいほど、特定の電気的機能性及び性能を達成するために回路設計者によって計画された形状及び寸法に酷似するパターンを基板上に再現することがより難しくなる。これらの困難を克服するために、最新式の微調整ステップが、リソグラフィ投影装置及び/又は設計レイアウトに適用される。これらは、例えば、限定されないが、NA及び光学コヒーレンス設定の最適化、カスタマイズ照明方式、位相シフトパターニングデバイスの使用、設計レイアウトにおける光近接効果補正(OPC、「光学及びプロセス補正(optical and process correction)」とも呼ばれることがある)、又は一般に「解像度向上技術」(RET)と定義される他の方法も含む。本明細書で使用する「投影光学系」という用語は、例えば、屈折光学系、反射光学系、アパーチャ、及び反射屈折光学系を含む、様々なタイプの光学システムを網羅すると広く解釈されるものとする。「投影光学系」という用語は、まとめて、又は単独で、放射の投影ビームの誘導、整形、又は制御を行うためにこれらの設計タイプの何れかに従って動作するコンポーネントも含み得る。「投影光学系」という用語は、光学コンポーネントがリソグラフィ投影装置の光路上のどこに位置するかにかかわらず、リソグラフィ投影装置内の何れの光学コンポーネントも含み得る。投影光学系は、ソースからの放射がパターニングデバイスを通過する前に、放射を整形、調節、及び/又は投影するための光学コンポーネント、及び/又は放射がパターニングデバイスを通過した後に、放射を整形、調節、及び/又は投影するための光学コンポーネントを含み得る。投影光学系は、一般に、ソース及びパターニングデバイスを除く。
[0008] 本開示は、計算機リソグラフィの領域において幾つかの改善をもたらす。特に、深層学習畳み込みニューラルネットワークなどのフレームワークにおいて、第1のモデル及び機械学習モデルを含むパターニングプロセスモデルを訓練すること。訓練されたモデルを更に使用して、パターニングプロセスにおいて基板に印刷されることになるパターンを決定することができる。本開示の利点は、限定するものではないが、基板に印刷されることになるパターンの特徴を測定し、メトロロジ像の正確な予測を行い、それによってメトロロジ時間及びリソースを節約するための、改良された方法を提供することである。
[0009] 一実施形態によれば、パターニングプロセスモデルを訓練するための方法が提供され、このパターニングプロセスモデルは、パターニングプロセス時に形成されることになるパターンを予測するように構成される。この方法は、(i)所望のパターンに関連付けられた像データ、(ii)基板の測定されたパターンであって、上記所望のパターンと関連付けられている、測定されたパターン、(iii)パターニングプロセスの一側面に関連付けられた第1のモデルであって、第1のパラメータの組を含む、第1のモデル、及び(iv)パターニングプロセスの別の側面に関連付けられた機械学習モデルであって、第2のパラメータの組を含む、機械学習モデル、を取得することと、第1のパラメータの組及び第2のパラメータの組の値を反復して決定して、パターニングプロセスモデルを訓練することと、を含む。反復には、像データを使用して、第1のモデル及び機械学習モデルを実行して基板の印刷されるパターンを協働して予測することと、パターニングプロセスモデルの測定されたパターンと予測されたパターンとの間の差が低減されるように、第1のパラメータの組及び第2のパラメータの組の値を修正することと、が含まれる。
[0010] 一実施形態では、第1のモデル及び機械学習モデルは、畳み込み型深層ニューラルネットワークフレームワークにおいて構成され訓練される。
[0011] 一実施形態では、訓練することには、第1のモデル及び機械学習モデルの出力の順伝播により、印刷されるパターンを予測することと、パターニングプロセスモデルの測定されたパターンと予測されたパターンとの間の差を決定することと、第1のパラメータの組及び第2のパラメータの組に関して、差の差分を決定することと、差の差分に基づいて、第1のモデル及び機械学習モデルの出力の逆伝播により第1のパラメータの組及び第2のパラメータの組の値を決定することと、が含まれる。
[0012] 更に、一実施形態によれば、パターニングプロセスの光近接効果補正を決定するための方法が提供され、この方法は、所望のパターンに関連付けられた像データを取得することと、像データを使用して、訓練されたパターニングプロセスモデルを実行して、基板上に印刷されることになるパターンを予測することと、パターニングプロセスを施される基板上に印刷されることになる予測されるパターンを使用して、光近接効果補正及び/又は欠陥を決定することと、を含む。
[0013] 更に、一実施形態によれば、エッチングプロセスに関連したエッチングバイアスを決定するように構成された機械学習モデルを訓練するための方法が提供される。この方法は、(i)基板上に印刷されることになるターゲットパターンに関連付けられたレジストパターンデータ、(ii)ターゲットパターンに対するエッチングプロセスの効果を特徴付ける物理的効果データ、(iii)印刷される基板上に形成されるエッチングパターンとレジストパターンとの間の測定されたバイアス、を取得することと、レジストパターンデータ、物理的効果データ、及び測定されたバイアスに基づいて、機械学習モデルを訓練して、測定されたバイアスと予測されたエッチングバイアスとの間の差を低減することと、を含む。
[0014] 更に、一実施形態によれば、エッチングプロセスに関連したエッチングバイアスを決定するためのシステムが提供される。半導体処理装置を含むシステム及びプロセッサ。プロセッサは、物理的効果モデルの実行を介して、基板に対するエッチングプロセスの効果を特徴付ける物理的効果データを決定し、入力としてレジストパターン及び物理的効果データを使用して、訓練された機械学習モデルを実行してエッチングバイアスを決定し、エッチングバイアスに基づいて、半導体装置又はエッチングプロセスを制御する、ように構成される。
[0015] 更に、一実施形態によれば、プロセスモデルを較正するための方法が提供され、このプロセスモデルは、シミュレートされたコンターを生成するように構成される。この方法は、(i)パターン上の複数の測定位置における測定データ、(ii)測定データに基づいて規定されるコンター制約、を取得することと、シミュレートされたコンターがコンター制約を満たすまで、プロセスモデルのモデルパラメータの値を調節することにより、プロセスモデルを較正することと、を含む。
[0016] 更に、一実施形態によれば、ターゲットパターンの像を予測するように構成されたプロセスモデルを較正するための方法が提供される。この方法は、(i)ターゲットパターンに関連付けられた基準像、(ii)基準像に関して規定される勾配制約、を取得することと、(i)シミュレートされた像と基準像との間の強度の差又は周波数の差を最小化し、(ii)勾配制約を満足する、シミュレートされた像をプロセスモデルが生成するように、プロセスモデルを較正することと、を含む。
[0017] 更に、一実施形態によれば、プロセスモデルを較正するためのシステムが提供され、このプロセスモデルは、シミュレートされたコンターを生成するように構成される。このシステムは、パターン上の複数の測定位置において測定データを取得するように構成されたメトロロジツールとプロセッサとを含む。プロセッサは、シミュレートされたコンターがコンター制約を満足するまで、プロセスモデルのモデルパラメータの値を調節することにより、プロセスモデルを較正するように構成され、コンター制約は、測定データに基づいている。
[0018] 更に、一実施形態によれば、ターゲットパターンの像を予測するように構成されたプロセスモデルを較正するためのシステムが提供される。このシステムは、ターゲットパターンに関連付けられた基準像を取得するように構成されたメトロロジツールと、プロセッサとを含む。プロセッサは、(i)シミュレートされた像と基準像との間の強度の差又は周波数の差を最小化し、(ii)基準像に関連した勾配制約を満足する、シミュレートされた像をプロセスモデルが生成するように、プロセスモデルを較正するように、構成される。
[0019] 更に、一実施形態によれば、命令を含む非一時的なコンピュータ可読媒体が提供され、この命令は、1つ又は複数のプロセッサによって実行されると、(i)基板上に印刷されることになるターゲットパターンに関連付けられたレジストパターンデータ、(ii)ターゲットパターンに対するエッチングプロセスの効果を特徴付ける物理的効果データ、(iii)印刷される基板上に形成されるエッチングパターンとレジストパターンとの間の測定されたバイアス、を取得することと、レジストパターンデータ、物理的効果データ、及び測定されたバイアスに基づいて、機械学習モデルを訓練して、測定されたバイアスと予測されたエッチングバイアスとの間の差を低減することと、を含む動作を引き起こす。
[0020] 更に、一実施形態によれば、命令を含む非一時的なコンピュータ可読媒体が提供され、この命令は、1つ又は複数のプロセッサによって実行されると、(i)パターン上の複数の測定位置における測定データ、(ii)測定データに基づいて規定されるコンター制約、を取得することと、シミュレートされたコンターがコンター制約を満足するまで、プロセスモデルのモデルパラメータの値を調節することにより、プロセスモデルを較正することと、を含む動作を引き起こす。
[0021] 更に、一実施形態によれば、命令を含む非一時的なコンピュータ可読媒体が提供され、この命令は、1つ又は複数のプロセッサによって実行されると、(i)ターゲットパターンに関連付けられた基準像、(ii)基準像に関して規定される勾配制約、を取得することと、(i)シミュレートされた像と基準像との間の強度の差又は周波数の差を最小化し、(ii)勾配制約を満足する、シミュレートされた像をプロセスモデルが生成するように、プロセスモデルを較正することと、を含む動作を引き起こす。
[0022] ここで、添付の図面を参照して、単なる例として、実施形態について説明する。
[0023]一実施形態による、リソグラフィシステムの様々なサブシステムのブロック図である。 [0024]一実施形態による、図1のサブシステムに対応するシミュレーションモデルのブロック図である。 [0025]一実施形態による、パターニングプロセスモデルを訓練するための方法の流れ図であり、このパターニングプロセスモデルは、パターニングプロセスにおいて形成されることになるパターンを予測するように構成される。 [0026]一実施形態による、第1のモデル及び第2のモデル(例えば、機械学習モデル)を含むパターニングプロセスモデルの例示的な構成を示す。 [0026]一実施形態による、第1のモデル及び第2のモデル(例えば、機械学習モデル)を含むパターニングプロセスモデルの例示的な構成を示す。 [0026]一実施形態による、第1のモデル及び第2のモデル(例えば、機械学習モデル)を含むパターニングプロセスモデルの例示的な構成を示す。 [0027]一実施形態による、図3の訓練されたパターニングプロセスモデルの予測されるパターンに基づいて、パターニングプロセスに対する光近接効果補正を決定するための方法の流れ図である。 [0028]一実施形態による、エッチングプロセスに関連したエッチングバイアスを決定するために機械学習モデルを訓練するための方法の流れ図である。 [0029]一実施形態による、例示的なレジストパターンである。 [0030]一実施形態による、レジストパターンに基づく例示的な物理的効果データである。 [0031]一実施形態による、レジスト内の例示的な酸塩基濃度である。 [0032]一実施形態による、酸塩基分布に基づく例示的な物理的効果データである。 [0033]一実施形態による、現像後像(ADI)コンターに適用される例示的なエッチングバイアスである。 [0034]一実施形態による、パターンのコンター形状(又は輪郭)に関連した物理的制約に基づいてプロセスモデルを較正するための方法の流れ図である。 [0035]一実施形態による、図11に関連した物理的制約を満足する、例示的なモデル出力を示す。 [0036]一実施形態による、図11の物理的制約を満足しない、例示的なモデル出力を示す。 [0037]一実施形態による、別の物理的制約に基づいてプロセスモデルを較正するための別の方法の流れ図である。 [0038]一実施形態による、空間像又はレジスト像の基準強度プロファイルを示す。 [0039]一実施形態による、図15Aの物理的制約を満足するモデルに関連した強度プロファイルを示す。 [0040]一実施形態による、図15Aの物理的制約を満足しないモデルに関連した強度プロファイルを示す。 [0041]一実施形態による、走査電子顕微鏡(SEM)の一実施形態を概略的に示す。 [0042]一実施形態による、電子ビーム検査装置の一実施形態を概略的に示す。 [0043]一実施形態による、ジョイント最適化の例示的な方法論の態様を示すフローダイヤグラムである。 [0044]一実施形態による、別の最適化方法の一実施形態を示す。 [0045]一実施形態による、様々な最適化プロセスの例示的な流れ図を示す。 [0045]一実施形態による、様々な最適化プロセスの例示的な流れ図を示す。 [0045]一実施形態による、様々な最適化プロセスの例示的な流れ図を示す。 [0046]ある実施形態による、コンピュータシステム例のブロック図である。 [0047]ある実施形態による、リソグラフィ投影装置の模式図である。 [0048]ある実施形態による、別のリソグラフィ投影装置の模式図である。 [0049]ある実施形態による、図24の装置のより詳細な図である。 [0050]ある実施形態による、図24及び図25の装置のソースコレクタモジュールSOのより詳細な図である。
[0051] ここで、図面を参照しながら実施形態について詳細に説明する。図面は、当業者がそれらの実施形態を実施することができるように、例証的な例として提供される。特に、以下の図及び例は、範囲を単一の実施形態に制限することを意図してはおらず、説明される又は図示される要素の一部又は全部を交換することにより、他の実施形態も可能である。便宜上、図面全体を通じて、同じ又は同様の部分を指すために、同じ参照番号が使用される。それらの実施形態の特定の要素を、既知の構成要素を使用して部分的に又は完全に実装することができる場合、そのような既知の構成要素の、実施形態を理解するために必要な部分のみが、説明され、そのような既知の構成要素の他の部分の詳細な説明は、実施形態の説明を不明瞭にしないように、省略される。本明細書では、単一の構成要素を示す実施形態は、限定するものとみなされるべきではない。むしろ、特段の断りがない限り、範囲には、複数の同じ構成要素を含む他の実施形態が包含されることが意図されており、逆も同様である。更に、特に断りの無い限り、出願人は、本明細書又は特許請求の範囲におけるいかなる用語も、一般的ではないか又は特別な意味を持つとみなすことを意図してはいない。更に、範囲には、例示によって本明細書で言及される構成要素の、現在の及び将来の既知の均等物が包含される。
[0052] 本明細書において、ICの製造に対して具体的な言及がなされる場合があるが、本明細書の記載は、多くの他の可能な適用例を有することが明白に理解されるものとする。例えば、それは、集積光学システム、磁気ドメインメモリ用のガイダンス及び検出パターン、液晶ディスプレイパネル、薄膜磁気ヘッドなどの製造において用いられ得る。当業者は、このような代替適用例の文脈において、本明細書における「レチクル」、「ウェーハ」、又は「ダイ」という用語の使用が、それぞれ、より一般的な用語である「マスク」、「基板」、及び「ターゲット部分」と同義であると見なされるべきであることを認識するだろう。
[0053] 本明細書において、「放射」及び「ビーム」という用語は、紫外線(例えば、365、248、193、157、又は126nmの波長を有する)及びEUV(極端紫外線、例えば、5~20nmの範囲内の波長を有する)を含むあらゆるタイプの電磁放射を網羅するために使用される。
[0054] 本明細書で使用される場合、「最適化する(optimizing)」及び「最適化(optimization)」という用語は、リソグラフィの結果及び/又はプロセスが、より望ましい特徴、例えば、基板への設計レイアウトのより高い精度での投影、より大きなプロセスウィンドウ、などを有するように、リソグラフィ投影装置を調節することを意味する。
[0055] 更に、リソグラフィ投影装置は、2つ以上の基板テーブル(及び/又は2つ以上のパターニングデバイステーブル)を有するタイプのものであり得る。そのような「マルチステージ」デバイスでは、追加のテーブルが並行して使用されることがあるか、又は、1つ若しくは複数のテーブルが露光に使用されている間に、1つ若しくは複数の他のテーブル上で準備ステップが実行されることがある。ツインステージのリソグラフィ投影装置が、例えば、参照により本明細書に組み込まれる米国特許第5,969,441号に説明されている。
[0056] 上記で言及されたパターニングデバイスは、設計レイアウトを含むか又は形成することができる。設計レイアウトは、CAD(コンピュータ支援設計)プログラムを利用して生成することができ、このプロセスは、EDA(電子設計自動化)と呼ばれることが多い。ほとんどのCADプログラムは、機能設計レイアウト/パターニングデバイスを生成するために、所定の設計ルールセットに従う。これらのルールは、処理及び設計の限界により設定される。例えば、設計ルールは、回路デバイス(ゲート、キャパシタなど)又は相互接続ライン間のスペース公差を定義し、これは、それらの回路デバイス又はラインが望ましくない態様で互いに作用しないことを確実にするように行われる。設計ルールの限界は、通常、「クリティカルディメンジョン」(CD)と呼ばれる。回路のクリティカルディメンジョンは、ライン若しくは孔の最小幅、又は2つのライン若しくは2つの孔間の最小のスペースと定義することができる。従って、CDは、設計される回路の全体のサイズ及び密度を決定する。当然ながら、集積回路製造のゴールの1つは、(パターニングデバイスを介して)基板上に本来の回路設計を忠実に再現することである。
[0057] 本明細書で用いられる「マスク」又は「パターニングデバイス」という用語は、到来する放射ビームに、基板のターゲット部分に生成されることになるパターンに対応したパターン付き断面を与えるために使用することができる、一般的なパターニングデバイスを指すと広く解釈することができ、「ライトバルブ」という用語も、この文脈で使用されることがある。従来のマスク(透過型又は反射型;バイナリ、位相シフト、ハイブリッドなど)に加えて、他のそのようなパターニングデバイスの例には、以下が含まれる:
-プログラマブルミラーアレイ。そのようなデバイスの一例は、粘弾性制御層及び反射面を有するマトリックスアドレス可能面である。そのような装置の背後にある基本原理は、(例えば)反射面のアドレスエリアが、入射放射を回折放射として反射し、非アドレスエリアが、入射放射を非回折放射として反射することである。適切なフィルタを使用して、上記の非回折放射が反射ビームから除去され、回折放射のみを後に残すことができ、このようにして、ビームが、マトリックスアドレス可能面のアドレッシングパターンに従ってパターン付けされる。必要とされるマトリックスアドレッシングは、適切な電子手段を使用して行うことができる。そのようなミラーアレイに関するより多くの情報を、例えば、参照により本明細書に組み込まれる米国特許第5,296,891号及び第5,523,193号から収集することができる。
-プログラマブルLCDアレイ。そのような構造の例が、参照により本明細書に組み込まれる米国特許第5,229,872号で与えられる。
[0058] 簡単な導入部として、図1は、例示的リソグラフィ投影装置10Aを示す。主なコンポーネントは、深紫外線エキシマレーザ源、又は極端紫外線(EUV)源を含む他のタイプのソースでもよい放射源12A(上記で説明するように、リソグラフィ投影装置自体は、放射源を有する必要がない)と、部分コヒーレンス(シグマで表す)を定義し、並びにソース12Aからの放射を整形する光学系14A、16Aa、及び16Abを含み得る照明光学系と;パターニングデバイス14Aと;パターニングデバイスパターンの像を基板面22A上に投影する透過光学系16Acとである。投影光学系の瞳面における調節可能フィルタ又はアパーチャ20Aは、基板面22Aに衝突するビーム角の範囲を制限することができ、ここで、可能な最大角が、投影光学系の開口数NA=sin(Θmax)を定義する。
[0059] システムの最適化プロセスでは、システムの性能指数を費用関数として表すことができる。最適化プロセスは、費用関数を最小化するシステムのパラメータ(設計変数)の組を見つけるプロセスに要約される。費用関数は、最適化のゴールに応じて、任意の適切な形式をしていることがある。例えば、費用関数は、システムの特定の特徴(評価ポイント)の、それらの特徴の意図した値(例えば、理想値)に対する偏差の重み付けされた二乗平均平方根(RMS)であり得る。費用関数は、それらの偏差の最大値(即ち、最も悪い偏差)であり得る。本明細書において「評価ポイント」という用語は、システムの任意の特徴を含むように広く解釈されるべきである。システムの設計変数は、システムの実装の実現性に起因して、有限の範囲に制限されたり、及び/又は相互依存したりすることがある。リソグラフィ投影装置の場合、制約は、調節可能範囲などのハードウェアの物理的特性及び特徴、及び/又はパターニングデバイス製造可能性設計ルールに関連していることが多く、評価ポイントは、基板上のレジスト像上の物理的ポイント、並びにドーズ及び焦点などの非物理的な特徴を含むことがある。
[0060] リソグラフィ投影装置では、放射源は、照明(すなわち、光)を提供し、投影光学系は、パターニングデバイスを介して、基板上へと照明の誘導及び整形を行う。「投影光学系」という用語は、ここでは、放射ビームの波面を変化させることができる任意の光学コンポーネントを含むように、広く定義される。例えば、投影光学系は、コンポーネント14A、16Aa、16Ab、及び16Acのうちの少なくとも幾つかを含むことがある。空間像(AI)は、基板レベルにおける放射強度分布である。基板上のレジスト層が露光され、空間像が、その中の潜在的な「レジスト像」(RI)としてレジスト層に転写される。レジスト像(RI)は、レジスト層におけるレジストの溶解度の空間分布として定義することができる。レジストモデルを使用して、空間像からレジスト像を計算することができ、その一例は、その開示内容が全体として本明細書に援用される、同一出願人による米国特許出願第12/315,849号に見つけることができる。レジストモデルは、レジスト層の特性(例えば、露光、PEB、及び現像中に生じる化学プロセスの影響)にのみ関係する。リソグラフィ投影装置の光学特性(例えば、放射源、パターニングデバイス、及び投影光学系の特性)が、空間像を決定付ける。リソグラフィ投影装置で使用されるパターニングデバイスは変更され得るので、パターニングデバイスの光学特性を、少なくともソース及び投影光学系を含む、リソグラフィ投影装置の残りの部分の光学特性から切り離すことが望ましい。
[0061] リソグラフィ投影装置においてリソグラフィをシミュレーションするための例示的フローチャートを図2に示す。放射源モデル31は、放射源の光学特徴(放射強度分布及び/又は位相分布を含む)を表す。投影光学系モデル32は、投影光学系の光学特徴(投影光学系によって生じた放射強度分布及び/又は位相分布に対する変化を含む)を表す。設計レイアウトモデル35は、設計レイアウト(これは、パターニングデバイス上の、又はパターニングデバイスによって形成されるフィーチャの配置の表現である)の光学特徴(所与の設計レイアウト33によって生じた放射強度分布及び/又は位相分布に対する変化を含む)を表す。空間像36は、設計レイアウトモデル35、投影光学系モデル32、及び設計レイアウトモデル35を用いてシミュレーションされることが可能である。レジスト像38は、レジストモデル37を用いて空間像36からシミュレーションされることが可能である。例えば、リソグラフィのシミュレーションは、レジスト像のコンター及びCDを予測することができる。
[0062] より具体的には、放射源モデル31は、限定するものではないが、NA-シグマ(σ)設定、及び任意の特定の照明放射源形状(例えば、環状、四極、及びダイポールなどのオフアクシス放射放射源)を含む放射源の光学特徴を表すことができることに留意されたい。投影光学系モデル32は、収差、ディストーション、屈折率、物理的サイズ、物理的寸法などを含む投影光学系の光学特徴を表すことができる。設計レイアウトモデル35は、例えば、参照によりその全体が組み込まれる、米国特許第7,587,704号に記載されるような、物理的パターニングデバイスの物理的特性を表すことができる。シミュレーションの目的は、例えば、エッジ配置、空間像強度傾き、及びCDを正確に予測することであり、これらは、その後、意図した設計と比較され得る。意図した設計は、一般に、GDSII、又はOASIS又は別のファイルフォーマットなどの標準デジタルファイルフォーマットで提供され得るプリOPC設計レイアウトとして定義される。
[0063] この設計レイアウトから、1つ又は複数の部分(これらは、「クリップ」と呼ばれる)を識別することができる。ある実施形態では、設計レイアウト内の複雑なパターンを表すクリップの一セットが抽出される(任意の数のクリップが使用され得るが、一般的に約50~1000個のクリップ)。当業者には理解されるように、これらのパターン又はクリップは、設計の小さな部分(すなわち、回路、セル、又はパターン)を表し、特にクリップは特別な注意及び/又は検証が必要とされる小さな部分を表す。つまり、クリップは、設計レイアウトの部分でもよく、又は類似していてもよく、又はクリティカルフィーチャが、経験(顧客によって提供されたクリップを含む)によって、試行錯誤によって、若しくはフルチップシミュレーションを実行することによって識別される設計レイアウトの部分の類似挙動を有してもよい。クリップは、通常、1つ又は複数のテストパターン又はゲージパターンを含む。
[0064] 初期のより大きなクリップの組が、特定の像最適化を必要とする設計レイアウト内の既知のクリティカルフィーチャエリアに基づいて、顧客によって先験的に提供されることがある。或いは、別の実施形態では、初期のより大きなクリップの組は、クリティカルフィーチャエリアを識別するある種の自動的な(機械視覚などの)又は手動のアルゴリズムを使用することによって、設計レイアウト全体から抽出されることがある。
[0065] パターニングプロセス(例えば、レジストプロセス)の確率的な変動により、場合によっては、例えば、フィーチャの収縮の可能性及び露光ドーズ量仕様の観点で、リソグラフィ(例えば、EUVリソグラフィ)が制限され、これは、パターニングプロセスのウェーハスループットに影響を与える。一実施形態では、レジスト層の確率的変動は、閉じた孔若しくはトレンチ、又は断線したラインなどの、確率的な破損として現れることがある。そのようなレジストに関連した確率的変動は、例えば、確率的なCD変動と比べると、大量生産(HVM)の成功により影響を与え制限することになり、この確率的なCD変動は、パターニングプロセスの性能を測定し調節するために関心の持たれる従来の指標である。
[0066] パターニングプロセス(例えば、フォトリソグラフィ、電子ビームリソグラフィ、等)では、基板上に堆積されたエネルギー感受性材料(例えば、フォトレジスト)は、パターン転写ステップ(例えば、露光)にかけられる。パターン転写ステップに続いて、レジストベーキングなどの様々なポストステップ、及びレジスト現像、エッチングなどのサブトラクティブプロセスが適用される。これらの露光後ステップ又はプロセスは、様々な影響をもたらし、パターン付与された層又はエッチングされた基板が、目標とされる寸法とは異なる寸法を有する構造を形成することにつながる。
[0067] 計算機リソグラフィでは、マスクモデル、光学モデル、レジストモデル、露光後モデルなどの、パターニングプロセスの異なる側面に関連したパターニングプロセスモデル(例えば、図2で考察したもの)を用いて、基板上に印刷されることになるパターンを予測することができる。(例えば、印刷されたウェーハに関連付けられた測定データを使用して)適切に較正されると、パターニングプロセスモデルは、パターニングプロセスから出力されるパターン寸法の正確な予測を生成することができる。例えば、露光後プロセスのパターニングプロセスモデルは、経験的な測定に基づいて較正される。較正プロセスは、異なるプロセスパラメータ(例えば、ドーズ量、焦点、等)を変化させることにより試験基板を露光することと、露光後プロセスの後に生じるクリティカルディメンジョン印刷パターンを測定することと、パターニングプロセスモデルを測定された結果に対して較正することと、を含む。実際には、高速で正確なモデルが、デバイス性能(例えば、歩留まり)の向上、プロセスウィンドウ、パターニングレシピの強化、及び/又は設計パターンの複雑さの増加に寄与する。
[0068] パターニングプロセスは複雑なプロセスであり、パターニングプロセスに含まれる物理的現象/化学的現象に基づいて全ての側面をモデル化できる訳ではない。例えば、露光後プロセスの幾つかの影響は十分に理解されており、プロセスの物理的現象/化学的現象を記述する物理項(例えば、レジストプロセスに関連付けられたパラメータ)の数式を用いてモデル化することができる。例えば、露光後の酸塩基拡散は、空間像に対するGaussianフィルタによってモデル化することができる。一実施形態では、物理項(例えば、ドーズ量、焦点、強度、瞳などに関連付けられている)のうちの幾つかは、リソグラフィ装置に関連しており、調節可能パラメータ(例えば、調節可能ノブ)を介して調節可能であり、それによって、調節可能ノブを介してパターニングプロセスのリアルタイム制御が可能になる。一実施形態では、幾つかの物理項は調節ノブを介して直接的に調節可能ではないことがあるが、プロセスの物理的現象/化学的現象(例えば、空間像の形成、レジスト像の形成、等)を説明することができる。例えば、レジストモデルは、露光後のレジスト内の酸塩基拡散をモデル化するために、空間像に対するGaussianフィルタを含む。このシグマ項は、通常、調節可能ノブを介して調節可能ではない。そうであっても、そのような物理項(例えば、シグマ)の値は、経験的な式、又はプロセスの効果(例えば、レジスト)をモデル化する物理学ベースの式に基づいて、決定することができる。
[0069] しかしながら、他の露光後効果の幾つかの側面又は効果は、十分に理解されておらず、従って、物理学/化学に基づく式を使用してモデル化することは困難である。そのような場合、本開示では、畳み込み型深層ニューラルネットワーク(CNN)などの機械学習モデルを、パターニングプロセス(例えば、露光後)のあまり理解されていない側面をモデル化するために、訓練する。本開示のプロセスモデルは、モデル開発のために、露光後プロセスなどの理解の要求を軽減し、モデルチューニングのための、エンジニアの個人的経験への依存を撤廃する。本開示の一実施形態では、訓練されたCNNは、従来の技術で生成されたモデル精度に匹敵するか又はこれを上回るモデル精度をもたらす。
[0070] 図3は、パターニングプロセスモデルを訓練するための方法の流れ図であり、このパターニングプロセスモデルは、パターニングプロセスにおいて形成されることになるパターンを予測するように構成される。前述のように、パターニングプロセスの幾つかの側面は、十分に理解されており、パターニングプロセスの物理的効果を正確に記述するように構成された物理項を利用する数式を使用して、モデル化することができる。更に、物理項を使用して正確にモデル化することができない、幾つかの側面がある。本方法は、2つの異なるモデル、即ち、物理項(例えば、光学系に関連するパラメータ、パターニングプロセスのレジストに関連するパラメータ)を介して既知の側面を記述するように構成された第1のモデルと、(物理学/化学の観点で)十分に理解されていない側面を記述するように構成された第2のモデル(即ち、機械学習モデル)、を採用する。
[0071] 混合モデル(即ち、第1及び第2のモデル)を利用して、パターニングプロセスのパターンを協働して予測することには、幾つかの利点がある。例えば、物理項は、計算するのが比較的に単純であり、そのような物理項を利用するモデルは、過剰適合により影響されにくい。例えば、CNNモデルと併せて物理項を組み込むことにより、CNNの複雑さが何倍か低減され、過剰適合の危険性が低減され、パターニングプロセスシミュレーションの実行時間が改善される。一方で、既存のモデルは、既知の効果と既知ではない効果の両方をモデル化するためにCNNを利用しており、これは、結果として、過剰適合しがちで実行時間が遅い不必要に複雑なCNNモデルをもたらすことがある。
[0072] 方法300は、プロセスP301で、(i)所望のパターンに関連付けられた像データ302、(ii)基板の測定されたパターン304であって、上記の所望のパターンに関連付けられた、測定されたパターン304、(iii)パターニングプロセスの側面(例えば、その効果を、物理学/化学に基づく式によって正確にモデル化することができる)と関連付けられた第1のモデルであって、第1のパラメータの組307を含む、第1のモデル、(iv)パターニングプロセスの別の側面(例えば、その効果を、物理学/化学に基づく式によって正確にモデル化することができない)と関連付けられた機械学習モデルであって、第2のパラメータの組308を含む、機械学習モデル、を取得することを含む。
[0073] 一実施形態では、像データ302は、一般的に、パターニングプロセスの側面の効果又は基板に印刷されることになる最終パターンの効果を予測するように構成されるパターニングプロセスモデルへの任意の入力を指す。一実施形態では、像データ302は、空間像、マスク像、レジスト像、又はパターニングプロセスの1つ又は複数の側面に関連した他の出力である。一実施形態では、空間像、マスク像、レジスト像等を取得することは、図2で考察したように、パターニングプロセスをシミュレートすることを含む。
[0074] 一実施形態では、パターニングプロセスモデルは、第2のモデル(例えば、機械学習モデル)に結合された第1のモデルを含む。第1のモデルは、直列又は並列の組み合わせで(例えば、図4A~図4Cと共に考察されるように)、機械学習モデルに接続されることがある。例示的な構成(例えば、図4A)では、モデルの直列の組み合わせは、機械学習モデルへの入力として第1のモデルの出力を提供することを含む。別の例示的な構成では、モデルの直列の組み合わせは、第1のモデルへの入力として機械学習モデルの出力を提供することを含む。更に別の例(例えば、図4B)では、モデルの並列の組み合わせは、第1のモデル及び機械学習モデルへ同じ入力を提供することと、第1のモデル及び機械学習モデルの出力を合成することと、それぞれのモデルの合成された出力に基づいて予測される印刷パターンを決定することと、を含む。更に別の例(例えば、図4C)では、パターニングプロセスモデルは、第1のモデル、機械学習モデル、及び/又は別の物理学ベースのモデル若しくは機械学習モデルの、直列及び並列の構成の両方を含むように構成されることがある。
[0075] 一実施形態では、第1のモデルは、パターニングプロセスの第1の側面の効果を正確に記述する物理項を含む、経験的なモデルである。一実施形態では、第1のモデルは、基板の露光後の酸ベースの拡散に関連した第1の側面に対応する。一実施形態では、例示的な第1のモデルはレジストモデルである。レジストモデルの第1のパラメータの組は、以下の物理項、即ち、初期の酸分布、酸の拡散、像コントラスト、長距離パターンローディング効果、中和後の酸濃度、中和後の塩基濃度、高い酸濃度に起因する拡散、高い塩基濃度に起因する拡散、レジスト収縮、レジスト現像、又は2次元の凸曲率効果、のうちの少なくとも1つに対応する。物理項を利用する経験的モデルの例は、以下の通りである:
R=cA×A+cMav×MAV+cAp×Ap*GAp+cBp×Bp*GBp+cAm×A*GAm+・・・
[0076] 上記の式では、Rは物理項及びそれに関連付けられた係数(第1のパラメータの組の例)に基づいて予測されたレジスト像である。上記の式では、cAは、空間像によって表すことができる、初期の酸分布Aの係数であり、cMavは、マスク像の平均として決定することができる、長距離パターンローディング効果MAVの係数であり、同様に、他の物理項は1つ又は複数の係数と関連付けられている。これらの係数は、以下で考察する訓練プロセス中に決定される。
[0077] 一実施形態では、機械学習モデルは、物理学に基づく理解が比較的になされていないパターニングプロセスの第2の側面をモデル化するニューラルネットワークである。一実施形態では、第2のパラメータの組は、ニューラルネットワークの1つ又は複数の層の重み及びバイアスを含む。訓練プロセス中(例えば、P303、P307を含む)、重み及びバイアスは、予測されたパターンと測定されたパターンとの間の差が低減されるように、第1のパラメータの組と連携して調節される。一実施形態では、パターニングプロセスモデルは、パターニングプロセスの露光後プロセスの第2の側面に対応する。
[0078] 一実施形態では、この方法は更に、パターニングプロセスモデルを訓練するために、第1のパラメータの組307及び第2のパラメータの組308の値を反復して決定することを含む。一実施形態では、反復は、プロセスP303、P305、及びP307を実行することを含む。
[0079] この方法300は、プロセスP303では、像データ302を使用して、第1のモデル及び機械学習モデルを実行して、協働して基板のパターンを予測することを含む。プロセスP305は、パターニングプロセスモデルの測定されたパターン304と予測されたパターン305との間の差を決定すること、更に、この差が低減されるか又は最小化されるかどうかを決定すること、を含む。プロセスP307は、パターニングプロセスモデルの測定されたパターン304と予測されたパターン305との間の差が低減されるように、第1のパラメータの組307及び第2のパラメータの組308の値を修正することを含む。
[0080] 一実施形態では、それぞれのパラメータに関する差の勾配が低減されるように、第1のパラメータの組及び第2のパラメータの組の値をどのように修正すべきかを導く勾配一定水準法などの最適化技術に基づいて、修正される値が決定される。数回の反復の後、予測と測定における差が最小になるように、パラメータのグローバル又はローカルの最適値が取得される。従って、パターニングプロセスモデルを較正し(又は訓練し)、OPC、欠陥検出、ホットスポットランキング、又はパターニングプロセスモデルの他の既知の用途を介して、パターニングプロセスを改善するために、更に利用することができる。
[0081] 図4A、図4B、及び図4Cは、第1のモデル及び第2のモデルを含むパターニングプロセスモデルの例示的な構成を示す。第1のモデル及び第2のモデル(CNN)は一緒に訓練され、その間に、第1のモデルの第1のパラメータの組及びCNNの第2のパラメータの組が決定される。
[0082] 図4Aは、第1のモデル及び第2のモデルの直列の組み合わせを示しており、ここで、第1のモデルは物理的パラメータの関数として表され、第2のモデルはCNNとして表されている。訓練プロセスは反復的であり、反復(又は各反復)は、c(これは、物理項に関連した係数である)などの第1のパラメータの組の値、並びにCNNのw及びuなどの重みなどの第2のパラメータの組の値を決定することを含む。係数cは、物理項term(例えば、パターニングプロセスに関連した、ドーズ量、焦点、酸濃度などの変数)の値と、(例えば、乗算、累乗、加算、又は他の数学演算を介して)直接的に作用して、第1のモデルの第1の出力を決定する。一実施形態では、物理項termは、パラメータparam(例えば、Gaussianフィルタのa、b)に関連付けられた関数(例えば、Gaussianフィルタ)であり得る。CNNの重みは、特定の物理項とは直接的に作用しないが、CNNは、入力として、第1のモデルからの第1の出力を受け取り、パターニングプロセスの別の側面(例えば、十分に理解されていない)に関連付けられた第2の出力を予測することができる。
[0083] 一実施形態では、第1のパラメータの組及び第2のパラメータの組の初期の値を割り当てて、シミュレーションプロセスを開始することがある。一実施形態では、第1のモデルへの入力は、例えば、基板上に印刷されることになる所望のパターンの空間像である。入力(例えば、空間像)に基づいて、第1のモデルは、パターニングプロセスのある側面(例えば、空間像を用いたレジストの露光)の第1の出力(例えば、レジストパターン)を予測する。第1の出力は、更にCNNに入力され、CNNは、基板上に印刷されることになるパターンを更に予測する。予測されるパターンは、所望の出力と比較される。所望の出力は、例えば、SEMツールを介して測定された、所望のパターンに対応する測定済パターンであり得る。比較には、予測されたパターンと測定されたパターンとの間の差を計算することが含まれる。この差に基づいて、逆伝播を実施することができ、ここで、CNNのw及びuなどの重みの値は、この差が低減されるように、計算されることがある。更に、c及び/又はparamの値を計算することができる。例えば、勾配ベースの方法を利用することができ、ここで、重みに関する差の差分を計算して勾配マップを生成する。勾配マップは、予測と所望の出力との間の差が低減(一実施形態では、最小化)されるように、重み及び/又はc及び/又はparamの値を修正するための、ガイドとして機能する。パターニングプロセスモデルが訓練された後、このモデルは、パターニングプロセスの(例えば、露光後プロセスの)十分に理解されている物理的効果と十分に理解されていない物理的効果との両方を説明するパターンを決定することができる。
[0084] 図4Bは、第1のモデルと第2のモデルの並列の組み合わせを示す。第1のモデル及び第2のモデルは、図4Aで上述したのと同様の態様で表されている。また、第1及び第2のパラメータの組の初期値は、訓練プロセスを開始して第1及び第2のパラメータの組の最終値を決定するために、似ていることがある。並列の組み合わせでは、同じ入力(例えば、所望のパターンの空間像)が、第1のモデルと第2のモデルの両方に同時に提供される。各モデルは出力を予測し、出力は合成されて、基板上に印刷されることになるパターンを形成することができる。予測された出力は、上述したように、所望の出力(例えば、印刷された基板の測定パターン)と比較されることがある。次いで、逆伝播が実施されることがあり、前述のように、勾配一定水準法を利用して、第1及び第2のパラメータのそれぞれに関する差の差分を決定することができる。更に、差が低減される(一実施形態では、最小化される)ように、第1及び第2のパラメータの値は選択される。数回の反復の後、予測されたパターンは所望のパターンに収束し、モデルの訓練は完了したと言える。
[0085] 図4Cは、より一般的なパターニングプロセスモデルを示しており、このプロセスモデルは、(i)パターニングプロセスの物理項(例えば、パターニングプロセスの変数)を含む1つ又は複数のモデル、(ii)1つ又は複数の機械学習モデル(CNN)、を含むように構成される。一実施形態では、入力及び出力を、図示するような様々なモデル間で伝達して、基板上に印刷されることになるパターンを協働して予測することができる。予測されたパターンは、各モデルのパラメータの決定された値に対して、所望のパターンと比較されることがある。前述のように、これらの値を、例えば、勾配一定水準法を使用して決定することができる。これらの値は、図4Cに示すように、CNN及び/又はモデルの異なる層の出力の逆伝播中に、修正される。
[0086] 従って、一実施形態では、図4A~図4Cで上述したように、第1のモデル及び機械学習モデルが一緒に、畳み込み型深層ニューラルネットワークフレームワーク(DCNN)で構成され訓練される。訓練することには、第1のモデル及び機械学習モデルの出力(例えば、図4A~図4Cのx、y、zなど)の順伝播により、印刷されるパターンを予測することと、パターニングプロセスモデルの測定されたパターンと予測されたパターン(例えば、出力)との間の差(例えば、図4A~図4Cのloss)を決定することと、第1のパラメータの組(例えば、c、param、z、u、wなど)及び第2のパラメータの組に関して、差の差分(例えば、d(loss))を決定することと、差の差分に基づいて、第1のモデル及び機械学習モデルの出力の逆伝播により第1のパラメータの組及び第2のパラメータの組の値を決定することと、が含まれる。例えば、図4A~図4Cでは、逆伝播中に、以下の差分を計算し使用して、第1及び第2のパラメータの組を調節することができる:d(loss)/dt、d(loss)/dz、d(loss)/du、d(loss)/dwなど。
[0087] 図5は、パターニングプロセスに対する光近接効果補正を決定するための方法の流れ図である。光近接効果補正は、基板上に印刷されることになる所望のパターンと関連付けられている。方法500は、プロセスP501において、所望のパターンに関連付けられた像データ502を取得することを含む。一実施形態では、像データ502は、所望のパターンの空間像及び/又はマスク像である。
[0088] 更に、プロセスP503は、像データ502を使用して、訓練されたパターニングプロセスモデル310を実行して、基板上に印刷されることになるパターンを予測することを含む。方法300において前述したように、訓練されたパターニングプロセスモデル310は、パターニングプロセスの第1の側面の第1のモデルと、基板上に印刷されることになるパターンを団結して予測するように構成された、パターニングプロセスの第2の側面の機械学習モデルと、を含む。第1のモデル及び機械学習モデルは、図4A~図4Cに関して考察したように、直列の組み合わせ及び/又は並列の組み合わせになっている。一実施形態では、第1のモデルは、パターニングプロセスの露光後プロセスの第1の側面の物理的現象を正確にモデル化する、経験的なモデル(例えば、前述のレジストモデル)である。一実施形態では、第1のモデルは、基板の露光後の酸ベースの拡散に関連した第1の側面に対応する。一実施形態では、機械学習モデルは、物理学に基づく理解が比較的になされていないパターニングプロセスの第2の側面をモデル化するニューラルネットワークである。
[0089] 予測されたパターンに基づいて、プロセスP505は、光近接効果補正及び/又は欠陥を決定することを含む。一実施形態では、光近接効果補正を決定することは、予測されたパターンと所望のパターンとの間の差が低減されるように、所望のパターンを調節すること、及び/又は所望のパターンの周りにアシストフィーチャを配置すること、を含む。OPCプロセスの例が、本開示の後半で図18~図21に関連して説明される。
[0090] 一実施形態では、欠陥を決定することは、予測されたパターンに対してリソグラフィ製造可能性チェック(LMC)を実施することを含む。LMCは、印刷されたパターンのフィーチャが、所望の仕様を満足するかどうかを判断する。LMCが、仕様が満たされていないと判断した場合、フィーチャには欠陥があるとみなされる。そのような欠陥情報は、パターニングプロセスの歩留まりを決定するのに役立つ場合がある。更に、欠陥(又は歩留まり)に基づいて、パターニングプロセスの1つ又は複数の変数を修正して、歩留まりを向上させることができる。
[0091] 前述のように、パターニングプロセス又は露光後プロセスの幾つかの効果は、十分に理解されており、基板上に形成されるパターンに関連した物理項を含む数式を用いて、モデル化することができる。例えば、物理項(例えば、ドーズ量、焦点、強度、瞳などに関連付けられている)のうちの幾つかは、リソグラフィ装置に関連しており、調節可能パラメータ(例えば、調節可能ノブ)を介して調節可能であり、それによって、調節可能ノブを介してパターニングプロセスのリアルタイム制御が可能になる。一実施形態では、幾つかの物理項は調節ノブを介して直接的に調節可能ではないことがあるが、プロセスの物理的現象/化学的現象(例えば、空間像の形成、レジスト像の形成、等)を説明することができる。例えば、レジストモデルは、露光後のレジスト内の酸塩基拡散をモデル化するために、空間像に対するGaussianフィルタ(シグマ又は分散項を含む)を含む。このシグマ項は、通常、調節可能ノブを介して調節可能ではない。そうであっても、そのような物理項(例えば、シグマ)の値は、経験的な式、又はプロセスの効果(例えば、レジスト)をモデル化する物理学ベースの式に基づいて、決定することができる。
[0092] 本明細書で考察するように、物理項に基づいてパターニングプロセスモデルを訓練するために提供される様々な方法(例えば、図4A~4C)がある。パターニングプロセスモデルを訓練又は較正するために物理項を利用することには、幾つかの利点がある。例えば、物理項は、計算するのが比較的に単純であり、そのような物理項を利用するモデルは、過剰適合により影響されにくい。一実施形態では、例えば、CNNモデルと併せて物理項を組み込むことにより、CNNの複雑さが何倍か低減され、過剰適合の危険性が低減され、パターニングプロセスシミュレーションの実行時間が改善される。以下の説明では、物理項に基づいてプロセスモデルを訓練及び較正するための追加の方法について考察する。
[0093] 図6は、エッチングプロセスに関連したエッチングバイアスを決定するために機械学習モデルを訓練するための方法600の流れ図である。一実施形態では、そのようなエッチングバイアスを予測することは、エッチングレシピ、又は現在のリソグラフィ装置の設定を改善するうえで、有益であることがある。方法600は、以下の通り詳細に説明される幾つかの手順を含む。
[0094] 手順P601は、(i)基板上に印刷されることになるターゲットパターンに関連付けられたレジストパターンデータ602、(ii)ターゲットパターンに対するエッチングプロセスの効果を特徴付ける物理的効果データ604、(iii)レジストパターンと印刷された基板上に形成されたエッチングパターンとの間の測定されたバイアス606、を取得することを含む。
[0095] 一実施形態では、測定されたバイアス606データは、以前にパターン付けされた基板のメトロロジデータに基づいて決定されることがある。例えば、測定されたバイアス606は、基板上に形成されたレジストパターンと印刷された基板上に形成されたエッチングパターンとの間の差であり得る。レジストパターンは、メトロロジツール又はパターニングプロセスのシミュレーションを介して決定されることがある。一実施形態では、印刷されたパターン上に形成されたエッチングパターンは、メトロロジツール(例えば、図16及び図17に関して説明されるSEMツール、又は光学メトロロジツール)を介して測定される。一実施形態では、レジストパターンの寸法(例えば、フィーチャのCD)は、例えば、(例えば、デスカム処理を介した)材料の除去に起因して、エッチングパターンよりも大きくなることがある。レジストパターンと印刷された基板上のエッチングパターンのこの差は、エッチングプロセスに起因して引き起こされる変動を含む。例えば、変動は、変動エッチングレート、プラズマ濃度の量の変動、アスペクト比(例えば、フィーチャの高さ/フィーチャの幅)の変動、又は、レジストパターン、エッチングプロセス、若しくはそれらの組み合わせに関連した他の物理的側面、に起因して、引き起こされる。
[0096] 一実施形態では、レジストパターンデータ602は、レジスト像として表される。レジスト像は、ピクセル化された像であることがあり、ピクセルの強度は、レジスト領域及びレジスト部分内部に形成されたパターン部分を示す。例えば、パターン部分は、レジストパターンのエッジ/コンターであり得る。一実施形態では、レジストパターンデータ602を取得することは、基板上に印刷されることになるターゲットパターンを使用して、パターニングプロセスのレジストモデルを含む1つ又は複数のプロセスモデルを実行することを含む。
[0097] 一実施形態では、物理的効果データ604は、エッチング効果を特徴付けるエッチング項に関連したデータであることがあり、このエッチング項は、ターゲットパターンに関連付けられたレジストパターンのトレンチ内部のプラズマの濃度、基板のレジスト層の上部上のプラズマの濃度、指定されたモデルパラメータを有するGaussian Kernelでレジストパターンを畳み込むことにより決定されるローディング効果、エッチングプロセス中のレジストパターンに対するローディング効果の変化、基板上の隣接するパターンに対するレジストパターンの相対位置、レジストパターンのアスペクト比、又は、2つ以上のエッチングプロセスパラメータの複合効果に関連した項、のうちの少なくとも1つを含む。
[0098] 一実施形態では、物理的効果データ604を取得することは、物理的効果モデルを実行することを含み、この物理的効果モデルは、1つ又は複数のエッチング項、及び、1つ又は複数のエッチング項のそれぞれに対して指定されたGaussian kernelを含む。一実施形態では、物理的効果データ604は、ピクセル化された像として表され、各ピクセル強度は、ターゲットパターンに関連付けられたレジストパターンに対する物理的効果を示す。図7~図10は、物理的効果データ604の幾つかの例を示す。
[0099] 図7は、レジスト702において形成されたレジストパターンを含む、例示的なレジスト像である。レジストパターンは、レジスト702内部に形成されたトレンチ領域704を含む。一実施形態では、エッチングモデルは、プラズマ集中エッチング法(CEM)に基づいて較正されることがある。CEM法は、レジストトレンチ704のエッジへのプラズマローディングを使用して、エッチングにより誘発されるバイアス挙動を特徴付ける。一実施形態では、評価ポイント、例えば706は、レジストトレンチ、例えば704のエッジに位置することがあり、CEM_rangeは、エッチングモデルが考慮しているエッチング近接範囲である。一実施形態では、エッチング物理項は、レジストパターンから生成されるプラズマローディング効果に関連したCT像又はCR像であり得る。例えば、CT0は、エッチング開始時のトレンチ領域(例えば、704)からのプラズマからの単位エッジ長へのプラズマローディングとして定義され、CR0は、エッチング開始時のレジスト領域(例えば、702)からのプラズマからの単位エッジ長へのプラズマローディングとして定義される。時刻tで、CT0及びCR0はそれぞれCT及びCRになり、時間に関する変換は、側壁付着又はエッチング反応定数に関連したパラメータを含む指数項に基づいていることがある。一実施形態では、CT像とCR像との積を使用して、近接効果に起因するエッチングバイアスを特徴付けることがある。
[00100] 図8は、レジストパターン801から生成された例示的なエッチング物理項(例えば、CR像)を示す。レジストパターン801は、レジストコンター802(又はレジストパターンエッジ)を含み、次いで、レジスト領域(例えば、上述したCR)からのプラズマローディング効果を計算することができ、これは、CR像810として示される。一実施形態では、CT像が生成されることがあり、ここで、CT像は、CRのフリップトーン像である。例えば、CRにおける明るいピクセルがCTでは暗いピクセルになり、逆も同様である。CR像810を使用して、近接効果からのエッチングバイアスを特徴付けることができる。この例示的なエッチングバイアスは、そのような近接効果を補償するためにレジストコンター802に適用される例示的なバイアスである。
[00101] 図9は、物理項、例えば酸塩基反応など、の別の例示的なモデル化を示す。一実施形態では、酸塩基反応は、クエンチャー塩基により酸濃度を切り詰めることにより、モデル化することができる。例えば、酸塩基反応(例えば、像901として示される)は、酸濃度910及び塩基濃度920の線形結合係数を特徴付けることができる。一実施形態では、切り詰め項(例えば、903)は、最終的な酸密度分布像901の形成における酸及び塩基の反応及び拡散をシミュレートする。複数の切り詰め項は、露光後ベーク中の異なる時間を表す。切り詰め項903(例えば、切り詰め項の切り詰め値)に応じて、酸濃度910及び塩基濃度920は変化する。
[00102] 図10は、空間像1010を使用して生成された別の例示的な物理項である。例えば、物理項は、空間像の特定の位置における初期の酸分布1020であり得る。一実施形態では、空間像の線形変換を、空間像のGaussianフィルタリングを使用して、実施することができる。一実施形態では、Gaussianフィルタは、通常は調節可能ノブを介して調節可能ではないシグマ項を含むが、エッチングに関連した長期間、中間範囲、短い範囲の効果を決定するように設定することができる。例えば、以前に印刷されエッチングされた基板データからのデータに基づいて、シグマ値を設定することができる。
[00103] 戻って図6を参照すると、手順P603は、レジストパターンデータ602、物理的効果データ604、及び測定されたバイアス606に基づいて、機械学習モデル603を訓練して、測定されたバイアス606と予測されたエッチングバイアスとの間の差を低減することを含む。この訓練プロセスが完了した後、機械学習モデル603を、訓練された機械学習モデル603と呼ぶことができる。この訓練された機械学習モデル603を、パターニングプロセスにおいて利用して、性能メトリック、例えば、印刷された基板の歩留まり、を改善することができる。例えば、訓練された機械学習モデル603によって予測されたエッチングバイアスに基づいて、プロセスパラメータを、パターンの破損数を低減しそれによって歩留まりを改善するように、調節することができる。
[00104] 一実施形態では、機械学習モデル603は、機械学習モデル603の第1の層においてレジストパターンデータ602を受け取るように構成され、物理的効果データ604は、機械学習モデル603の最後の層において受け取られる。一実施形態では、機械学習モデル603は、機械学習モデル603の第1の層において、レジストパターンデータ602及び物理的効果データ604を受け取るように構成される。本開示は、機械学習モデル603の特定の構成に限定されないことを、当業者は理解するであろう。
[00105] 一実施形態では、機械学習モデル603の最後の層の出力は、(i)入力としてレジストパターンデータ602を使用して機械学習モデル603を実行することにより予測されたエッチングバイアスと、(ii)エッチングプロセスに関連した物理的効果データ604に基づいて決定された別のエッチングバイアスとの、線形の組み合わせである。
[00106] 一実施形態では、機械学習モデル603の最後の層の出力は、エッチングバイアスマップであり、そこから、エッチングバイアスが抽出される。エッチングバイアスマップは、入力としてレジストパターンデータ602を使用して機械学習モデル603を実行して、エッチングバイアスマップを出力することであって、エッチングバイアスマップはバイアスされたレジストパターンを含むことと、エッチングバイアスマップを物理的効果データ604と組み合わせることと、を介して生成される。
[00107] 一実施形態では、機械学習モデル603を訓練することは、以下を含む反復プロセスである:(a)入力としてレジストパターンデータ602及び物理的効果データ604を使用して機械学習モデル603を実行することを介して、エッチングバイアスを予測すること、(b)測定されたバイアス606と予測されたエッチングバイアスとの間の差を決定すること、(c)機械学習モデル603のモデルパラメータ(例えば、層に関連付けられた重み)に関する差の勾配を決定すること、(d)その勾配をガイドとして使用して、測定されたバイアス606と予測されたエッチングバイアスとの間の差が低減されるように、モデルパラメータ値を調節すること、(e)差が最小化されたか又は訓練閾値を超えたかどうかを判断すること、(f)差が最小化されていないか又は訓練閾値が超えられていないことに応答して、ステップ(a)~(e)を実施すること。
[00108] 一実施形態では、方法600は、手順P605において、レジストパターン(例えば、上述した602)のレジストコンターを取得することと、(例えば、602の)レジストコンターにエッチングバイアス(例えば、訓練された機械学習モデル603を実行することにより決定される)を適用することにより、エッチングコンター605を生成することと、を更に含むことがある。
[00109] 図11は、エッチングモデルを介して決定されたエッチングバイアスの例を示す。一実施形態では、エッチングモデルは、現像後像(ADI)コンター(例えば、1110)に直接的にバイアスをかける(例えば、1120)ことにより、エッチング後像(AEI)コンター(例えば、1130)を計算する。一実施形態では、1120のバイアス方向は、ADIコンター1110に垂直である。1120のバイアス量は、ADIの環境(例えば、フィーチャ密度)及びエッチングに関連付けられた物理項に応じて、可変である。例えば、正のバイアス量は、ADIコンターを外側に移動させ、一方、負のバイアス(例えば、1120)量は、ADIコンター1110を内側に移動させる。言い換えると、エッチングバイアスは、パターン1110要素のサイズがエッチング後よりもエッチング前により大きい場合には、正であることがあり、サイズがエッチング後よりもエッチング前により小さい場合には、負である。一実施形態では、エッチングモデルは較正/チェックゲージを利用しており、ADIコンターの垂直方向は、そのようなゲージに対するものである。次いで、このモデルはLMC/OPC用途用のAEIコンターを直接的に出力することができる。例えば、LMCは、AEIコンターがターゲットパターンに関連したサイズ制約を満たすかどうかを判断することができる。パターニングプロセスの全体的な歩留まりが向上するように、AEIコンターを使用してマスクパターンのOPCを決定することができる。例えば、OPCプロセスでは、(例えば、パターニングプロセスシミュレーションに基づいて)シミュレートされたコンターをAEIコンターと比較することができ、この比較に基づいてOPCを決定することができる。例えば、マスクパターンは、シミュレートされたパターンがAEIコンターと厳密に一致するように、修正される。従って、AEIコンターの正確な予測により、マスクパターンのOPCが向上する。
[00110] 一実施形態では、モデル(例えば、603)によって決定されるバイアス量は、幾つかの物理項Termの線形結合であることがあり、それらの物理項は、1つの評価ポイントiの環境の関数である。
Figure 2023089034000002
[00111] 一実施形態では、物理項Termは、例えば、局所的な又は長距離のローディング効果であり得る。一実施形態では、効果は、ラスター化により、例えば、Gaussian Kernel又は第1のパラメータの組(例えば、90~100nmの間のシグマ)を有するフィルタ、を用いてレジストコンターを畳み込むことにより、決定されることがある。別の物理項は、Gaussian Kernel又は第2のパラメータの組(例えば、100~200nmの間のシグマ)を有するフィルタを使用して決定される、中間段階のローディングであり得る。別の例示的な物理項は、アスペクト比であり得る。一実施形態では、この項は、高次の、非線形の、又は複合の効果であり得る。
[00112] エッチングバイアスを数学的にモデル化することにより、最終的なデバイスのフィーチャ寸法の生成を改善することができる。このモデル化の結果は、様々な目的に使用することができる。例えば、そのような結果を使用して、設計、制御パラメータなどの変更の点で、パターニングプロセスを調節することができる。例えば、この結果を使用して、パターン付与されたデバイスにより提供される1つ又は複数の要素の1つ又は複数の空間的特性を調節することができ、パターン付与されたデバイスのパターンは、基板パターンのエッチングに使用されることになるデバイスを生成するために使用される。従って、一旦パターン付与されたデバイスパターンが基板に転写されると、基板上のデバイスパターンはエッチングに先立って効果的に調節されて、エッチング中に発生すると予期されるエッチング変動が補償される。別の例として、ドーズ量、焦点などの調節の観点で、リソグラフィ装置に対して1つ又は複数の調節を行うことができる。理解されるように、より多くの用途が存在する可能性がある。従って、エッチング変動を補償することにより、デバイスが、複数の均一なフィーチャサイズ、1つ若しくは複数の均一な電気的特性、及び/又は1つ若しくは複数の改善された(例えば、所望の結果により近い)性能特徴、を有することにつながることがある。
[00113] 更に、エッチング変動は基板上にデバイスを製造するのに時として有害であるものの、エッチングバイアスを使用して、基板上に所望の構造を生成することができる。パターン付与されたデバイスを製造する際にエッチングバイアスの程度を考慮することにより、パターン付与されたデバイスから基板へのパターン転写手続きの光学解像度の限界よりも小さなデバイスフィーチャを、基板上のデバイス内に製造することが可能になる。従って、この点で、エッチングバイアスのモデル化された結果を使用して、設計、制御パラメータなどの変更の点で、パターニングプロセスを調節することができる。従って、エッチングプロセスにおいてエッチングバイアスをモデル化すると、(例えば、パターン密度に応じて)エッチングプロセスの起こり得るエッチング変動を(正確に)予期するようにパターン付与されたデバイスを適合することなどにより、エッチング変動を補償することなどにより、より正確なデバイスフィーチャを生成するのに役立つことができる。この変動により、(調節済みの)リソグラフィの後でエッチングプロセスにより生成される実際のフィーチャを、所望の製品仕様に近づけることが可能になる。
[00114] 一実施形態では、本明細書で考察する(例えば、方法600の)手順を実施するエッチングプロセスに関連したエッチングバイアスを決定するためのシステムが、説明される。例えば、このシステムは、半導体処理装置(例えば、図1、23、24、25)と、1つ又は複数のプロセッサ(例えば、図22の104/105)と、を含み、このプロセッサは、物理的効果モデルの実行を介して、基板に対するエッチングプロセスの効果を特徴付ける物理的効果データ604を決定するように、且つ、入力としてレジストパターン及び物理的効果データ604を使用して、訓練された機械学習モデル603を実行して、エッチングバイアスを決定するように、且つ、エッチングバイアスに基づいて半導体装置(例えば、図1)又はエッチングプロセスを制御するように、構成される。
[00115] 一実施形態では、訓練された機械学習モデル603は、例えば、方法600に従って訓練される。例えば、訓練された機械学習モデル603は、測定されたバイアス606と決定されたエッチングバイアスとの間の差が最小化されるように、複数のレジストパターン、それらのレジストパターンの各レジストパターンに関連付けられた物理的効果データ604、及び、各レジストパターンに関連付けられた測定されたバイアス606、を使用して訓練される。
[00116] 一実施形態では、訓練された機械学習モデル603は、特定の重み及びバイアスを含む畳み込み型ニューラルネットワーク(CNN)であり、CNNの重み及びバイアスは、測定されたバイアス606と決定されたエッチングバイアスとの間の差が最小化されるように、複数のレジストパターン、それらのレジストパターンの各レジストパターンに関連付けられた物理的効果データ604、及び各レジストパターンに関連付けられた測定されたバイアス606、を使用する訓練プロセスを介して、決定される。
[00117] 一実施形態では、半導体処理装置(例えば、図1、23、24、25)の制御には、パターニングプロセスの歩留まりが改善されるように、半導体装置の1つ又は複数のパラメータの値を調節することが含まれる。一実施形態では、半導体処理装置の1つ又は複数のパラメータの値を調節することは、反復的なプロセスである。この反復的なプロセスには、(a)半導体処理装置の調節機構を介して、1つ又は複数のパラメータの現在の値を変更すること、(b)半導体処理装置を介して、基板上に印刷されるレジストパターンを取得すること、(c)レジストパターンを使用しての、訓練された機械学習モデル603の実行を介して、エッチングバイアスを決定すること、及び更に、エッチングバイアスをレジストパターンに適用することにより、エッチングパターンを決定すること、(d)エッチングパターンに基づいて、パターニングプロセスの歩留まりが所望の歩留まり範囲内であるかどうかを判断すること、歩留まり範囲内ではないことに応答して、ステップ(a)~(d)を実施すること、が含まれる。
[00118] 一実施形態では、エッチングプロセスの制御には、エッチングバイアスをレジストパターンに適用することにより、エッチングパターンを決定することと、エッチングパターンに基づいて、パターニングプロセスの歩留まりを決定することと、パターニングプロセスの歩留まりが改善されるように、エッチングパターンに基づいて、エッチングプロセスのエッチングレシピを決定することと、が含まれる。一実施形態では、パターニングプロセスの歩留まりとは、設計仕様を満たす基板全体のエッチングパターンのパーセンテージである。一実施形態では、半導体処理装置はリソグラフィ装置(例えば、図1、23、24、25)である。
[00119] 今日の半導体分野では、テクノロジー・ノードは収縮し続けており、リソグラフィ及びエッチングのより優れたモデルを持つことが望まれている。優れたモデルは、精度(例えば、モデルの結果を現実のウェーハのメトロロジ結果と一致させる)と、優れたウェーハ予測(例えば、物理的制限に従って振る舞う)との両方を満たす。精度仕様と予測仕様との両方を満たすことは、現在の複雑なモデル形式では困難であることがある、というのも、より良く適合する力は、モデルの過剰適合を示すからである。過剰適合されたモデルは、通常、基板に印刷するのが望ましくないことがある、不規則な形状をしたパターンを生成することがある。
[00120] 過剰適合の問題又は予測に関連した問題を解決するための現在の方法は、モデルの較正中により多くのメトロロジ情報を持つことである。例えば、より多くの情報には、より多くのパターンカバレッジ又はより多くの評価ポイントに関連したデータが含まれる。例えば、SEMツールを、特定のパターンに対して多数のEPゲージを生成するように構成することができる。しかしながら、メトロロジが増加すると、パターニングプロセスの費用及び時間が増加する。通常、パターンカバレッジは、設計レイアウト中のパターン総数の100%よりも大幅に少なくなる。従って、モデル較正は、ウェーハ上に印刷されることになる可能性のある全てのパターンで実施することはできない。パターンカバレッジを増やすのは時間がかかり、費用効率が悪い。これには、再較正及びデータ収集が数回かかる。更に、モデルが相当に複雑である場合、そのモデルは依然として過剰適合に進む。従って、より多くのデータをモデル較正に供給することから生じる問題を扱う代わりに、物理的制約に基づいてモデル較正を実施することにより、モデルを物理学を意識したものにするための、より根本的な解決策が提案される。
[00121] 一実施形態では、物理的制約は、メトロロジツール(例えば、SEM)から取得されるコンター形状、メトロロジツール(例えば、SEM)から取得される物理像(例えば、レジスト像、空間像)、又はそれらの組み合わせ、に関連していることがある。物理的制約を実装する方法の例が、例えば図12及び図14の方法が、本明細書で考察される。
[00122] 図12は、パターンのコンター形状(又は輪郭)に関連した物理的制約に基づいてプロセスモデルを較正するための方法2000の流れ図である。方法2000は、プロセスモデルを較正して、形状制約を満たすシミュレートされたコンターを生成する。方法2000の詳細な手順について、以下に説明する。
[00123] 手順P2001は、(i)パターン上の複数の測定位置における測定データ2002、(ii)測定データ2002に基づいて規定されるコンター制約2004、を取得することを含む。一実施形態では、複数の測定位置は、印刷されたパターン上又は印刷されたパターンの印刷されたコンター上に配置されたエッジ配置(EP)ゲージである。
[00124] 一実施形態では、測定データ2002は、複数の角度を含み、各角度は、パターン上又は印刷されたパターンの印刷されたコンター上に配置された各測定位置において、定義される。一実施形態では、各測定位置における各角度は、印刷されたコンターとターゲットコンターとの間のエッジ配置誤差を決定する方向を定義する。図13A及び図13Bは、例示的な測定位置EP1、EP2、及びEP3を示す。このとき、各測定位置EP1~EP3に関連付けられた角度は、EPEを計算することができる角度又は方向である。言い換えると、例えば、ポイントEP1では、コンター1110(又は図13Bの1120)との間の距離は、コンター1110(又は1120)から離れる方向を指す矢印によって示される方向で測定される。コンター1110/1120の形状に応じて、そのような測定は変化することがある。
[00125] 一実施形態では、各コンター制約は、所与の測定位置(例えば、EP1~EP3)におけるシミュレートされたコンター(例えば、コンター1110又は1120)への接線と、所与の位置での測定データ2002の角度との間の接線角度の関数である。例えば、図13Aを参照すると、コンター制約とは、シミュレートされたコンター1110への接線と、EP1での矢印(これは、測定データ2002の角度を示す)との間の角度θ1が、垂直範囲内にあるべきである、ということであり得る。一実施形態では、垂直範囲は、88°~92°の間、好ましくは90°であるべき、角度θ1の値である。各ポイントEP1、EP2、及びEP3は、そのような垂直制約に関連付けられていることがある。
[00126] 別の例では、図13Bは、物理的制約を満たさないシミュレートされたコンター1120を生成する、較正されたモデルの結果を示す。例えば、較正されたモデルは、測定データに対して良好な予測がなされるように、過剰適合されることがある。例えば、過剰なデータに起因して過剰適合されたモデル、ここで、フィッティングは、EP誤差を最小化することに焦点があてられる。そのような過剰適合されたモデルは、コンター1120などの不規則な形状をしたコンターを生成することがある。このとき、EP1、EP2、及びEP3におけるコンター1120への接線は、測定データ(例えば、それぞれ、EP1~EP3における矢印によって示される測定角度)に垂直ではないことがある。例えば、図13Bに見られるように、EP1、EP2、及びEP3における矢印に垂直な線は、コンター1120への接線ではない。従って、シミュレートされたコンター1120は、シミュレートされたコンター1120に関連付けられた誤差の合計が最小化されるように、測定データ(例えば、EPE又はCD値)に適合しているが、コンター1120の形状は、物理的に正確ではないことがある。
[00127] 戻って図12を参照すると、手順P2003は、シミュレートされたコンター(例えば、1110)がコンター制約2004を満たすまで、プロセスモデルのモデルパラメータの値を調節することにより、プロセスモデル2003を較正することを含む。較正プロセスの後、プロセスモデルは、較正されたプロセスモデル2003と呼ばれることがある。一例では、シミュレートされたコンター1120を生成するモデル2003は、較正されていないとみなされることがある、というのも、このモデルは、幾つかのポイントEP1、EP2、及びEP3で、コンター制約2004を満たさないからである。一実施形態では、プロセスモデルを較正することは、選択された数のポイントEP1及びEP3、又は全てのポイント、例えば、EP、EP2、及びEP3に限定されることがある。
[00128] 一実施形態では、モデルパラメータの値の調節は、反復プロセスである。この反復には、(a)所与の値のモデルパラメータを使用してプロセスモデル2003を実行して、シミュレートされたコンターを生成することであって、所与の値は、第1の反復ではランダムな値であり、後続の反復では調節された値であること、(c)測定位置のそれぞれにおいてシミュレートされたコンターへの接線を決定すること、(d)測定位置のそれぞれにおいて接線と測定データ2002の角度との間の接線角度を決定すること、(e)接線角度が1つ又は複数の測定位置において垂直範囲内であるかどうかを判断すること、(f)接線角度が垂直範囲内にはないことに応答して、モデルパラメータの値を調節すること、及びステップ(a)~(d)を実施すること、が含まれる。
[00129] 一実施形態では、各反復において、シミュレートされたコンターを取得することができ、接線を、測定位置において(例えば、三角法の関係「tan」を介して)描くか又は計算することができる。次いで、接線と測定データ2002のEPE角度との間の角度を決定して、接線角度が垂直範囲内、例えば、88°~92°の間、好ましくは90°であるかどうかをチェックすることができる。
[00130] 一実施形態では、調節は、モデルパラメータに関する各接線角度の勾配に基づいており、この勾配は、接線角度が、モデルパラメータ値の変化に対してどの位敏感かを示す。
[00131] 一実施形態では、プロセスモデル2003は、経験的なモデル及び/又は機械学習モデルを含む、データ駆動型のモデルである。例えば、機械学習モデルは畳み込み型ニューラルネットワークであり、モデルパラメータは、複数の層に関連付けられた重み及びバイアスである。本開示は、パターニングプロセスの特定のタイプのモデル又は特定のプロセスに限定はされない。方法2000は、パターニングプロセスの任意のプロセスモデル及び任意のプロセス(又はプロセスの組み合わせ)のために修正するか又は適合させることができる。
[00132] 一実施形態では、方法2000は、手順P2005において、レジストパターン(例えば、上述した602)のレジストコンターを取得することと、レジストコンターにエッチングバイアス(例えば、較正されたモデルを実行することにより決定される)を適用することにより、エッチングコンター2005を生成することと、を更に含むことがある。
[00133] 図14は、物理的制約に基づいてプロセスモデルを較正するための別の方法3000の流れ図である。一実施形態では、プロセスモデルは、ターゲットパターンの像を予測するように構成される。このとき、較正は、像に基づく制約に基づいていることがある。方法3000は、以下の手順を含む。
[00134] 手順P3001は、(i)ターゲットパターンに関連付けられた基準像3002、(ii)基準像3002に関連して規定される勾配制約3004、を取得することを含む。一実施形態では、基準像3002は、ターゲットパターンを使用してパターニングプロセスの物理学ベースのモデルをシミュレートすることを介して、取得される。基準像3002は、ターゲットパターンの空間像、ターゲットパターンのレジスト像、又はターゲットパターンのエッチング像、のうちの少なくとも1つを含むが、これに限定はされない。一実施形態では、シミュレートされた勾配は、シミュレートされた像を通過する所与の線に沿って信号の一次導関数を取得することにより、決定される。一実施形態では、勾配制約3004は、基準像3002を通過する所与の線に沿って信号の一次導関数を取得することにより、取得される。
[00135] 手順P3003は、(i)シミュレートされた像と基準像3002との間の強度の差又は周波数の差を最小化し、(ii)勾配制約3004を満たす、シミュレートされた像をプロセスモデル3003が生成するように、プロセスモデル3003を較正することを含む。較正の後、プロセスモデル3003は、較正されたプロセスモデル3003と呼ばれることがある。
[00136] 図15A~図15Cは、勾配制約3004の例を示す。図15Aは、物理像(例えば、空間像、レジスト像、及びADI)内の所与の位置における、例示的な基準強度分布1510を示す。一実施形態では、基準像3002とシミュレートされた像との間の類似度を使用して、レジストモデル安定性を定量化して過剰適合の危険度を知ることができる。例えば、類似度は、基準像3002とシミュレートされた像との間の強度差、又は基準像3002とシミュレートされた像との間の周波数差(例えば、像のFFTを介した)として、評価することができる。
[00137] 従って、一実施形態では、強度差又は周波数差の勾配が、プロセスモデル(例えば、3003)の較正中に制約として適用されることがある。例えば、較正プロセス中に基準強度分布1510に関して勾配制約を適用した後、プロセスモデルは、(図15Bの)強度分布1520を有するシミュレートされたコンターを生成することがある。この強度分布1520は、基準強度分布1510と類似の形状(例えば、類似のピーク及び谷)を有する。従って、較正されたプロセスモデル(例えば、3003)は、パターニングプロセスに関連付けられた基準像の物理項(例えば、強度プロファイル又は周波数プロファイル)に従うとみなされる。一実施形態では、物理項(例えば、AI/RI)に関連した勾配に従って較正されていないプロセスモデル、そのようなプロセスモデルは、基準強度分布と比べて許容できない強度分布1530(図15Cの)を生成することがある。例えば、1510と1530のピークは、大幅に異なっている。
[00138] 一実施形態では、プロセスモデルの較正は、反復プロセスである。反復には、(a)ターゲットパターンを使用してプロセスモデルを実行して、シミュレートされた像を生成すること、(b)シミュレートされた像の強度値と基準像3002の強度値との間の強度差を決定すること、及び/又は、Fourier変換を介して、シミュレートされた像及び基準像3002を周波数ドメインに変換すること、及びシミュレートされた像に関連した周波数と基準像3002に関連した周波数との間の周波数差を決定すること、(c)シミュレートされた像内の信号のシミュレートされた勾配を決定することであって、この信号は、シミュレートされた像を通過する所与の線に沿った信号であること、(d)(i)強度差又は周波数差が最小化されている、(ii)シミュレートされた勾配は、基準像3002に関連付けられた勾配制約3004を満たしている、という条件を判断すること、(e)条件(i)及び(ii)が満たされていないことに応答して、プロセスモデルのモデルパラメータの値を調節すること、及び条件(i)及び(ii)が満たされるまで、ステップ(a)~(d)を実施すること、が含まれる。
[00139] 一実施形態では、方法3000は、手順P3005において、シミュレートされた像からシミュレートされたコンターを抽出し、基準像3002から基準コンターを抽出することと、シミュレートされたコンターが、コンター形状制約を満たすように、プロセスモデルを較正することと、を更に含む。例えば、コンターは、エッジ検出アルゴリズム、又はターゲットパターンに関連したコンターを抽出するために使用される他のコンター抽出技術を使用して、像から抽出することができる。一実施形態では、シミュレートされたコンター及び基準コンターは、ターゲットパターンに関連付けられており、コンター形状制約により、シミュレートされたコンターが、基準コンターの形状と一致することが確実になる。一実施形態では、コンター形状制約は、モデル出力が満たすべき不変の条件として、実装されることがある。不変の条件が満たされない場合、モデルパラメータの値は、そのような不変の条件が満たされるまで、調節される。
[00140] 一実施形態では、コンター形状制約が満たされているかどうかを判断することは、シミュレートされたコンターの二次導関数が、基準コンターの二次導関数の所望の範囲内にあることを判断することを含む。一実施形態では、コンター形状は多角形として表され、従って、多角形の二次導関数が、計算ソフトウェアを使用して計算されることがある。
[00141] 一実施形態では、プロセスモデルは、印刷された基板上のパターンの印刷されたコンターに関して定義されるコンター制約(例えば、図12の方法2000で考察されたような)を満たすように構成されることがある。例えば、各コンター制約は、所与の測定位置におけるシミュレートされたコンターへの接線と、その所与の位置における測定データ2002の角度との間の接線角度の関数になり、ここで、シミュレートされたコンターは、ターゲットパターンを使用したプロセスモデルの実行を介して決定される、シミュレートされたパターンのコンターである。
[00142] 一実施形態では、方法3000は、手順P3005において、レジストパターン(例えば、上述した602)のレジストコンターを取得することと、レジストコンターにエッチングバイアス(例えば、較正されたモデルを実行することにより決定される)を適用することにより、エッチングコンター3005を生成することと、を更に含むことがある。
[00143] 一実施形態では、本明細書で考察する手順(例えば、方法2000の)を実施するプロセスモデルを較正ためのシステムが説明される。プロセスモデルは、シミュレートされたコンターを生成するように構成される。このシステムは、パターン上の複数の測定位置で測定データ2002を取得するように構成されたメトロロジツール(例えば、図16及び図17のSEMツール)、及び1つ又は複数のプロセッサ(例えば、図22の104/105)を含む。プロセッサ(例えば、104/105)は、シミュレートされたコンターがコンター制約2004を満たすまで、プロセスモデルのモデルパラメータの値を調節することにより、プロセスモデルを較正するように構成されることがあり、コンター制約2004は、測定データ2002に基づいている。
[00144] 一実施形態では、メトロロジツール、例えばSEMは、印刷されたパターン上又は印刷されたパターンの印刷されたコンター上に配置されるエッジ配置(EP)ゲージなどの複数の測定位置で測定値を取得するように構成される。一実施形態では、測定データ2002は、複数の角度を含み、各角度は、パターン上又は印刷されたパターンの印刷されたコンター上に配置された各測定位置において、定義される。一実施形態では、各測定位置における各角度は、印刷されたコンターとターゲットコンターとの間のエッジ配置誤差を決定する方向を定義する。前述のように、メトロロジツールは、電子ビーム装置(例えば、図16及び図17のSEM)であり得る。一実施形態では、メトロロジツールは、印刷された基板上のパターンのキャプチャされた像からコンターを識別及び抽出するように構成された、走査電子顕微鏡である。
[00145] 一実施形態では、プロセッサは、所与の測定位置におけるシミュレートされたコンターへの接線と、その所与の位置での測定データ2002の角度との間の接線角度の関数として、各コンター制約を含むように構成される。
[00146] 一実施形態では、プロセッサは、モデルパラメータの値を反復して調節するように構成される。例えば、この反復には、(a)所与の値のモデルパラメータを使用してプロセスモデルを実行して、シミュレートされたコンターを生成することであって、所与の値は、第1の反復ではランダムな値であり、後続の反復では調節された値であること、(c)測定位置のそれぞれにおいてシミュレートされたコンターへの接線を決定すること、(d)測定位置のそれぞれにおいて接線と測定データ2002の角度との間の接線角度を決定すること、(e)接線角度が1つ又は複数の測定位置において垂直範囲内であるかどうかを判断すること、(f)接線角度が垂直範囲内にはないことに応答して、モデルパラメータの値を調節すること、及びステップ(a)~(d)を実施すること、が含まれる。
[00147] 一実施形態では、垂直範囲は、88°~92°の間、好ましくは90°の角度の値である。一実施形態では、プロセッサは、モデルパラメータに関する各接線角度の勾配に基づいて調節するように構成され、この勾配は、接線角度が、モデルパラメータ値の変化に対してどの位敏感かを示す。一実施形態では、プロセスモデルは、経験的なモデル及び/又は機械学習モデルを含む、データ駆動型のモデルである。
[00148] 一実施形態では、機械学習モデルは畳み込み型ニューラルネットワークであり、モデルパラメータは、複数の層に関連付けられた重み及びバイアスである。
[00149] 同様に、一実施形態では、本明細書で考察する、例えば、方法3000の手順に従ってプロセスモデルを較正ためのシステムが説明される。プロセスモデルは、ターゲットパターンの像を予測するように構成されることがある。このシステムは、ターゲットパターンに関連付けられた基準像3002を取得するように構成されたメトロロジツール(例えば、図16及び図17のSEMツール)と、1つ又は複数のプロセッサ(図22の104/105)と、を含む。プロセッサは、(i)シミュレートされた像と基準像3002との間の強度差又は周波数差を最小化し、(ii)基準像3002に関連した勾配制約3004を満たす、シミュレートされた像をプロセスモデルが生成するように、プロセスモデルを較正するように、構成されることがある。
[00150] 一実施形態では、プロセッサは、プロセスモデルを反復して較正するように構成される。反復には、(a)ターゲットパターンを使用してプロセスモデルを実行して、シミュレートされた像を生成すること、(b)シミュレートされた像の強度値と基準像3002の強度値との間の強度差を決定すること、及び/又は、Fourier変換を介して、シミュレートされた像及び基準像3002を周波数ドメインに変換すること、及び、シミュレートされた像に関連した周波数と基準像3002に関連した周波数との間の周波数差を決定すること、(c)シミュレートされた像内の信号のシミュレートされた勾配を決定することであって、この信号は、シミュレートされた像を通過する所与の線に沿った信号であること、(d)(i)強度差又は周波数差が最小化されている、(ii)シミュレートされた勾配は、基準像3002に関連付けられた勾配制約3004を満たしている、という条件を判断すること、(e)条件(i)及び(ii)が満たされていないことに応答して、プロセスモデルのモデルパラメータの値を調節すること、並びに条件(i)及び(ii)が満たされるまで、ステップ(a)~(d)を実施すること、が含まれる。
[00151] 前述の通り、一実施形態では、シミュレートされた勾配は、シミュレートされた像を通過する所与の線に沿って信号の一次導関数を取得することにより、決定される。一実施形態では、勾配制約3004は、基準像3002を通過する所与の線に沿って信号の一次導関数を取得することにより、取得される。
[00152] 一実施形態では、プロセッサは、シミュレートされた像からシミュレートされたコンターを抽出し、基準像3002から基準コンターを抽出するように更に構成され、シミュレートされたコンター及び基準コンターはターゲットパターンと関連付けられ、また、プロセッサは、シミュレートされたコンターがコンター形状制約を満たすように、プロセスモデルを較正するように更に構成され、コンター形状制約により、シミュレートされたコンターが基準コンターの形状と一致することが確実になる。
[00153] 一実施形態では、コンター形状制約が満たされているかどうかを判断することは、シミュレートされたコンターの二次導関数が、基準コンターの二次導関数の所望の範囲内にあることを判断することを含む。
[00154] 一実施形態では、基準像3002は、ターゲットパターンを使用してパターニングプロセスの物理学ベースのモデルをシミュレートすることを介して、取得される。シミュレーションは、プロセッサ上で実施することができる。一実施形態では、基準像3002は、メトロロジツール(例えば、SEM)から取得されることがある。一実施形態では、基準像3002は、ターゲットパターンの空間像、ターゲットパターンのレジスト像、及び/又はターゲットパターンのエッチング像、を含む。
[00155] 一実施形態では、プロセスモデルは、図12で考察したように、印刷された基板上のパターンの印刷されたコンターに関して定義されるコンター制約2004を満たすように構成される。例えば、各コンター制約は、所与の測定位置におけるシミュレートされたコンターへの接線と、その所与の位置における測定データ2002の角度との間の接線角度の関数になり、ここで、シミュレートされたコンターは、ターゲットパターンを使用したプロセスモデルの実行を介して決定される、シミュレートされたパターンのコンターである。
[00156] 一実施形態では、命令を含む非一時的なコンピュータ可読媒体が提供され、この命令は、1つ又は複数のプロセッサによって実行されると、(i)基板上に印刷されることになるターゲットパターンに関連付けられたレジストパターンデータ602、(ii)ターゲットパターンに対するエッチングプロセスの効果を特徴付ける物理的効果データ604、(iii)印刷される基板上に形成されるエッチングパターンとレジストパターンとの間の測定されたバイアス606、を取得することと、レジストパターンデータ602、物理的効果データ604、及び測定されたバイアス606に基づいて、機械学習モデルを訓練して、測定されたバイアス606と予測されたエッチングバイアスとの間の差を低減することと、を含む動作を引き起こす。更に、非一時的なコンピュータ可読媒体は、図6に関して考察された追加の命令(例えば、P601、P603、及びP605に関連する)を含むことがある。
[00157] 一実施形態では、命令を含む非一時的なコンピュータ可読媒体が提供され、この命令は、1つ又は複数のプロセッサによって実行されると、(i)パターン上の複数の測定位置における測定データ2002、(ii)測定データ2002に基づいて規定されるコンター制約2004、を取得することと、シミュレートされたコンターがコンター制約2004を満たすまで、プロセスモデルのモデルパラメータの値を調節することにより、プロセスモデルを較正することと、を含む動作を引き起こす。更に、非一時的なコンピュータ可読媒体は、図12に関して考察された追加の命令(例えば、手順P2001、P2003、及びP2005に関連する)を含むことがある。
[00158] 一実施形態では、命令を含む非一時的なコンピュータ可読媒体が提供され、この命令は、1つ又は複数のプロセッサによって実行されると、(i)ターゲットパターンに関連付けられた基準像3002、(ii)基準像3002に関して規定される勾配制約3004、を取得することと、(i)シミュレートされた像と基準像3002との間の強度差又は周波数差を最小化し、(ii)勾配制約3004を満たす、シミュレートされた像をプロセスモデルが生成するように、プロセスモデルを較正することと、を含む動作を引き起こす。更に、非一時的なコンピュータ可読媒体は、図14に関して考察された追加の命令(例えば、手順P3001、P3003、及びP3005に関連する)を含むことがある。
[00159] 本開示によれば、開示される要素の組み合わせ及び副次的な組み合わせにより、別個の実施形態が構成される。例えば、第1の組み合わせには、訓練された機械学習モデルに基づいてエッチングコンターを決定することが含まれる。別の例では、組み合わせには、物理的制約に従って較正されたモデルに基づいて、シミュレートされたコンターを決定することが含まれる。
[00160] 実施形態によっては、走査電子顕微鏡(SEM)は、基板上に露光された又は転写された構造(例えば、デバイスの構造の一部又は全部)の像を生成する。図16は、SEM200の一実施形態を示す。電子放射源201から放射される一次電子ビーム202は、集光レンズ203によって集束し、その後、ビーム偏向器204、E x B偏向器205、及び対物レンズ206を通過して、基板テーブル101上の基板100を焦点をあわせて照射する。
[00161] 基板100に電子ビーム202を照射すると、二次電子が基板100から生成される。二次電子は、E x B偏向器205によって偏向され、二次電子検出器207によって検出される。2次元電子ビーム像は、例えば、ビーム偏向器204による電子ビームの2次元走査、又はX若しくはY方向におけるビーム偏向器204による電子ビーム202の反復走査(X若しくはY方向の他方における基板テーブル101による基板100の連続的な移動と共に行われる)と同期して、サンプルから生成された電子を検出することにより、取得することができる。
[00162] 二次電子検出器207によって検出された信号は、アナログ/デジタル(A/D)変換器208によりデジタル信号に変換され、そのデジタル信号は、像処理システム300に送られる。一実施形態では、像処理システム300は、メモリ303を有して、処理ユニット304による処理のためにデジタル像の全部又は一部を記憶することがある。処理ユニット304(例えば、特別に設計されたハードウェアか、又はハードウェアとソフトウェアとの組み合わせ)は、デジタル像を、デジタル像を表すデータセットへと変換又は処理するように、構成される。更に、像処理システム300は、デジタル像及び対応するデータセットを基準データベースに記憶するように構成された、ストレージ媒体301を有することがある。ディスプレイデバイス302は、像処理システム300と接続されることがあり、その結果、オペレーターは、装置の必要な操作を、グラフィカルユーザインターフェイスの助けを借りて、行うことができる。
[00163] 図17は、検査装置の更なる実施形態を概略的に示す。このシステムは、サンプルステージ89上のサンプル90(基板など)を検査するために使用され、また、荷電粒子ビーム生成器81、集光レンズモジュール82、プローブ形成対物レンズモジュール83、荷電粒子ビーム偏向モジュール84、二次荷電粒子検出器モジュール85、及び像形成モジュール86を備える。
[00164] 荷電粒子ビーム生成器81は、一次荷電粒子ビーム91を生成する。集光レンズモジュール82は、生成された一次荷電粒子ビーム91を集光する。プローブ形成対物レンズモジュール83は、集光された一次荷電粒子ビームを荷電粒子ビームプローブ92に集束させる。荷電粒子ビーム偏向モジュール84は、サンプルステージ89に固定されたサンプル90上の関心領域の表面に渡って、形成された荷電粒子ビームプローブ92を走査する。一実施形態では、荷電粒子ビーム生成器81、集光レンズモジュール82、及びプローブ形成対物レンズモジュール83、又はそれらの同等の設計、代替物、若しくはそれらの任意の組み合わせは、一緒になって、走査荷電粒子ビームプローブ92を生成する荷電粒子ビームプローブ生成器を形成する。
[00165] 二次荷電粒子検出器モジュール85は、荷電粒子ビームプローブ92によって衝撃を与えられたときにサンプル表面から放射される二次荷電粒子93(恐らくは、サンプル表面からの他の反射又は散乱荷電粒子と共に)を検出して、二次荷電粒子検出信号94を生成する。像形成モジュール86(例えば、計算機器)は、二次荷電粒子検出器モジュール85と結合されて、二次荷電粒子検出器モジュール85から二次荷電粒子検出信号94を受け取り、これに呼応して、少なくとも1つの走査像を形成する。一実施形態では、二次荷電粒子検出器モジュール85及び像形成モジュール86、又はそれらと同等の設計、代替物、若しくはそれらの任意の組み合わせは、一緒になって、像形成装置を形成し、この装置は、荷電粒子ビームプローブ92によって衝撃を与えられたサンプル90から放射された二次荷電粒子の検出から、走査像を形成する。
[00166] 上述のように、SEM像を処理して、像内の、デバイス構造を表す、オブジェクトのエッジを記述するコンターを抽出することができる。次いで、これらのコンターは、CDなどのメトリックを介して、定量化される。従って、通常、デバイス構造の像は、エッジ間距離(CD)又は像間の単純なピクセル差などの、単純なメトリックを介して比較され定量化される。CDを測定するために像内のオブジェクトのエッジを検出する典型的なコンターモデルは、像勾配を使用する。確かに、これらのモデルは、強い像勾配に依存している。しかし、実際には、像は通常、ノイズが多く、境界が不連続である。平滑化、適応的閾値処理、エッジ検出、侵食、及び拡張などの技術を使用して、像勾配コンターモデルの結果を処理して、ノイズが多く不連続な像に対処することができるが、これは、最終的には、高解像度像の低解像度定量化につながる。従って、大抵の場合、ノイズを低減しエッジ検出を自動化するためのデバイス構造の像の数学的操作は、像の解像度の損失につながり、それによって、情報の損失につながる。従って、結果は、低解像度の定量化になり、これは、複雑で高解像度の構造の単純な表現になる。
[00167] ついては、パターニングプロセスを使用して生成されるか又は生成されることが予測される構造(例えば、回路フィーチャ、アライメントマーク又はメトロロジターゲット部分(例えば、格子フィーチャ)、等)の数学的な表現を持つことが望ましく、これは、例えば、その構造が、潜在的なレジスト像内にあるか、現像されたレジスト像内にあるか、又は例えばエッチングにより、基板上の層であって、解像度を維持しながら更には構造の一般的な形状を表すことができる基板上の層、に転写されているかどうか、に関わらず言えることである。リソグラフィ又は他のパターニングプロセスの文脈では、構造は、製造されているデバイス又はその一部であることがあり、像は、構造のSEM像であり得る。場合によっては、構造は、半導体デバイス、例えば集積回路の、フィーチャであり得る。場合によっては、構造は、オブジェクト(例えば、基板)と別のオブジェクト(例えば、パターニングデバイス)とのアライメントを決定するためにアライメント測定プロセスで使用される、アライメントマーク、若しくはその一部(例えば、アライメントマークの格子)であることがあり、又は、パターニングプロセスのパラメータ(例えば、重ね合わせ、焦点、ドーズ量、等)を測定するために使用される、メトロロジターゲット、若しくはその一部(例えば、メトロロジターゲットの格子)であり得る。一実施形態では、メトロロジターゲットは、例えば、重ね合わせを測定するために使用される回折格子である。
[00168] 一実施形態では、図3の方法においてモデルを訓練するために使用される、印刷されたパターンに関連した測定データ。訓練されたモデルは、パターニングプロセスの最適化又はパターニングプロセスのパラメータの調節に、更に利用されることがある。一例では、OPCは、基板に投影される設計レイアウトの像の最終的なサイズ及び配置が、パターニングデバイス上の設計レイアウトのサイズ及び配置と同一にはならないか、又は単にパターニングデバイス上の設計レイアウトのサイズ及び配置にのみ依存しない、という事実に対処する。なお、「マスク」「レチクル」「パターニングデバイス」という用語は、本明細書では、交換可能に利用される。また、当業者であれば、特にリソグラフィシミュレーション/最適化の文脈において、「マスク」/「パターニングデバイス」及び「設計レイアウト」という用語は、交換可能に使用されることがある、というのも、リソグラフィシミュレーション/最適化では、物理的パターニングデバイスは必ずしも使用されるとは限らないが、設計レイアウトを使用して、物理的パターニングデバイスを表すことができるからである、ということを認識するであろう。一部の設計レイアウトに存在するようにフィーチャサイズが小さくフィーチャ密度が高い場合、所与のフィーチャの特定のエッジの位置は、他の隣接するフィーチャの有無によって、一定程度影響を受ける。これらの近接効果は、あるフィーチャから別のフィーチャに結合される微量の放射、及び/又は回折及び干渉などの非幾何学的な光学的効果から、生じる。同様に、近接効果は、一般的にリソグラフィに続く露光後ベーク(PEB)、レジスト現像、及びエッチング中の、拡散及び他の化学的効果から生じることもある。
[00169] 設計レイアウトの投影像が所与のターゲット回路設計の要件に確実に従うようにするために、高度な数値モデル、設計レイアウトの補正又は予歪を使用して、近接効果を予測し補償することが必要である。論文“Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design”, C. Spence, Proc.SPIE, Vol. 5751、pp 1-14 (2005)は、現在の「モデルベース」の光近接効果補正処理の概要を提供している。典型的なハイエンドの設計では、ターゲット設計に対する投影像の忠実性を高めるために、設計レイアウトの殆ど全てのフィーチャに、何らかの修正が加えられる。これらの修正には、エッジ位置又は線幅のシフト又はバイアス、並びに、他のフィーチャの投影を支援するように意図された「アシスト(支援)」フィーチャの適用が含まれることがある。
[00170] ターゲット設計にモデルベースOPCを適用することは、通常、何百万ものフィーチャがチップ設計に存在することを考えると、優れたプロセスモデル及び相当な計算リソースを必要とする。しかしながら、OPCを適用することは、一般的に、「正確な科学」ではないが、経験的な反復プロセスであり、これは、全ての起こり得る近接効果を常に補償するとは限らない。従って、OPCの効果、例えば、OPC及び任意の他のRETの適用後の設計レイアウトについては、パターニングデバイスパターンに組み込まれる設計不備の可能性を最小限に抑えるために、設計検査、即ち、較正された数値プロセスモデルを使用した集中的なフルチップシミュレーション、によって検証する必要がある。これは、数百万ドルの範囲がかかるハイエンドのパターニングデバイスを製造するという莫大なコストによって、並びに、実際のパターニングデバイスが一旦製造されると、そのデバイスを手直し又は修理することによる、所要時間への影響によって、推進される。
[00171] OPC検証とフルチップRET検証の両方とも、例えば、米国特許出願第10/815,573号、及び“Optimized Hardware and Software For Fast, Full Chip Simulation” by Y. Cao et al., Proc. SPIE, Vol. 5754, 405 (2005)と題された論文で説明されるような数値モデル化システム及び方法に基づいていることがある。
[00172] あるRETは、設計レイアウトのグローバルバイアスの調節に関係している。グローバルバイアスは、設計レイアウト中のパターンと基板上に印刷されるように意図されたパターンとの間の差である。例えば、直径25nmの円形のパターンは、設計レイアウト中の直径50nmのパターンによって、基板上に印刷されることがあり、又は、設計レイアウト中の直径20nmのパターンによって、但し高いドーズ量で、基板上に印刷されることがある。
[00173] 設計レイアウト又はパターニングデバイス(例えば、OPC)に対する最適化に加えて、照明放射源が、全体的なリソグラフィ忠実性を高めるために、パターニングデバイス最適化と一緒に、又は別々に、最適化されることもある。「照明放射源」及び「放射源」という用語は、この文書では交換可能に使用される。1990年代以降、例えば環状、四極、及び双極子などの、多数のオフアクシス照明放射源が導入され、OPCの設計により多くの自由度がもたらされ、それによって、結像結果が向上した。知られているように、オフアクシス照明は、パターニングデバイスに含まれる微細な構造(即ち、ターゲットフィーチャ)を解像するための、立証された方法である。しかしながら、従来の照明放射源と比べると、オフアクシス照明放射源は、通常、空間像(AI)に対してより小さな放射強度を提供する。従って、照明放射源を最適化して、解像度の微細化と放射強度の低減との間で最適なバランスを達成しようと試みることが望ましくなる。
[00174] 多数の照明放射源の最適化方式が、例えば、Journal of Microlithography, Microfabrication, Microsystems 1(1)、pp.13-20、(2002)に掲載された、「Optimum Mask and Source Patterns to Print A Given Shape」と題されたRosenbluthら著の論文に見られる。放射源は、幾つかの領域に分割され、そのそれぞれは、瞳スペクトルの特定の領域に対応している。次いで、放射源分布は、各放射源領域において均一であると仮定され、各領域の輝度が、プロセスウィンドウのために最適化される。しかしながら、各放射源領域において放射源分布が均一であるという仮定は、常に妥当であるとは限らず、その結果、この方式の有効性が損なわれる。Journal of Microlithography, Microfabrication, Microsystems 3(4)、pp.509-522、(2004)に掲載された、「Source Optimization for Image Fidelity and Throughput」と題された、Granikによる論文に記載されている別の例では、幾つかの既存の放射源最適化方式が概観されており、また、イルミネータピクセルに基づく方法が提案されており、この方法は、放射源最適化の問題を、一連の非負の最小二乗最適化に変換している。これらの方法は、幾らかの成功を実証しているが、通常、複数の複雑な反復が収束することを必要とする。更に、Granikの方法におけるγなど、幾つかの追加のパラメータの適切な/最適な値を決定するのが困難であることがあり、このことは、基板像忠実性のための放射源の最適化と、放射源の滑らかさの要件との間のトレードオフを規定している。
[00175] 低kフォトリソグラフィの場合、放射源とパターニングデバイスの両方を最適化すると、重要な回路パターンを投影するための実行可能なプロセスウィンドウを保証するのに役立つ。幾つかのアルゴリズム(例えば、Socha et al. Proc.SPIE vol. 5853,2005, p.180)は、照明を独立した放射源ポイントに離散化させ、空間周波数ドメインにおける回折次数へとマスクし、また、放射源ポイント強度及びパターニングデバイス回折次数から光学結像モデルによって予測することができる、露光寛容度などのプロセスウィンドウ・メトリックに基づいて、費用関数(これは、選択された設計変数の関数として定義される)を、別個に公式化する。本明細書で使用する場合、「設計変数」という用語は、リソグラフィ投影装置又はリソグラフィプロセスの、パラメータの組、例えば、リソグラフィ投影装置のユーザが調節することができるパラメータ、又はユーザがそれらのパラメータを調節することにより調節することができる像の特徴、を含む。放射源、パターニングデバイス、投影光学系、及び/又はレジスト特徴の特徴を含む、リソグラフィ投影プロセスの任意の特徴は、最適化における設計変数に含めることができることを、理解されたい。費用関数は、設計変数の非線形の関数であることが多い。次いで、標準的な最適化技術を使用して、費用関数を最小化する。
[00176] これに関連して、設計ルールを絶えず減少させるという圧力により、半導体チップメーカーは、既存の193nmArFリソグラフィを用いた低kリソグラフィの時代へと一層深く突入することになった。より低いkに向けたリソグラフィは、RET、露光ツール、及びリソフレンドリー設計の必要性に対する、強い要求をもたらす。1.35ArF高開口数(NA)露光ツールを、将来的に使用することができる。実現可能なプロセスウィンドウを用いて基板上に回路設計を確実に製造できるようにするために、放射源-パターニングデバイス最適化(本明細書では、放射源-マスク最適化又はSMOと呼ぶ)が、2x nmノードについて、重要なRETになりつつある。
[00177] 制約無しで実用に耐える時間内に費用関数を使用して放射源とパターニングデバイスとを同時に最適化することができる、放射源及びパターニングデバイス(設計レイアウト)最適化方法及びシステムが、「Fast Freeform Source and Mask Co-Optimization Method」と題された、2009年11月20に出願され、国際公開第2010/059954号として公開されている、同一出願人による国際特許出願第PCT/US2009/065359号に記載されており、該出願は、参照によりその全体が本明細書に組み込まれる。
[00178] 放射源のピクセルを調節することにより放射源を最適化することを含む、別の放射源及びマスクの最適化方法及びシステムが、「Source-Mask Optimization in Lithographic Apparatus」と題された、2010年6月10日に出願され、米国特許出願公開第2010/0315614号として公開されている、同一出願人による米国特許出願第12/813456号に記載されており、該出願は、参照によりその全体が本明細書に組み込まれる。
[00179] リソグラフィ投影装置では、例として、費用関数は次のように表される。
Figure 2023089034000003
ここで、(z、z、...、z)は、N個の設計変数又はその値である。f(z、z、...、z)は、(z、z、...、z)の設計変数の値の組についての評価ポイントにおける、特徴の実際の値と意図された値との間の差などの、設計変数(z、z、...、z)の関数であり得る。wは、f(z、z、...、z)に関連付けられた重み定数である。他よりもより重要な評価ポイント又はパターンには、より高いw値が割り当てられることがある。発生回数がより多いパターン及び/又は評価ポイントも、より高いw値を割り当てられることがある。評価ポイントの例は、基板上の任意の物理的なポイント若しくはパターン、仮想的な設計レイアウト上の任意のポイント、又はレジスト像、若しくは空間像、若しくはそれらの組み合わせ、であり得る。f(z、z、...、z)は、設計変数(z、z、...、z)の関数である、LWRなどの1つ又は複数の確率的影響の関数であることもある。費用関数は、リソグラフィ投影装置又は基板の任意の適切な特徴、例えば、フィーチャの欠陥率、焦点、CD、像シフト、像歪み、像回転、確率的影響、スループット、CDU、又はそれらの組み合わせ、などを表すことがある。CDUは、局所的なCD変動(例えば、局所CD分布の標準偏差の3倍)である。CDUは、互換的にLCDUと呼ばれることがある。一実施形態では、費用関数は、CDU、スループット、及び確率的影響を表す(即ち、それらの関数である)。一実施形態では、費用関数は、EPE、スループット、及び確率的影響を表す(即ち、それらの関数である)。一実施形態では、設計変数(z、z、...、z)は、ドーズ量、パターニングデバイスのグローバルバイアス、放射源からの照明の形状、又はそれらの組み合わせ、を含む。基板上の回路パターンを規定するのはレジスト像であることが多いので、費用関数は、レジスト像の何らかの特徴を表す関数を含むことが多い。例えば、そのような評価ポイントのf(z、z、...、z)は、単純に、レジスト像におけるポイントとそのポイントの意図された位置との間の距離(即ち、エッジ配置誤差EPE(z、z、...、z))であり得る。設計変数は、放射源、パターニングデバイス、投影光学系、ドーズ量、焦点等の調節可能パラメータなどの、任意の調節可能パラメータであり得る。投影光学系は、波面の形状並びに照射ビームの強度分布及び/又は位相シフトを調節するために使用することができる、「波面マニピュレーター」と総称されるコンポーネントを含むことがある。投影光学系は、リソグラフィ投影装置の光路に沿った任意の場所、例えば、パターニングデバイスの前、瞳面の近く、像面の近く、焦点面の近くなどで、波面及び強度分布を調節できることが好ましい。投影光学系を使用して、例えば、放射源、パターニングデバイス、リソグラフィ投影装置の温度変動、リソグラフィ投影装置のコンポーネントの熱膨張などにより引き起こされる、波面及び強度分布の特定の歪みを補正又は補償することができる。波面及び強度分布を調節することにより、評価ポイントの値及び費用関数の値が変化することがある。そのような変化は、モデルからシミュレートするか、又は実際に測定することができる。当然ながら、CF(z、z、...、z)は、式1の形式に限定はされない。CF(z、z、...、z)は、任意の他の適切な形式をしていることがあり得る。
[00180] なお、f(z、z、...、z)の通常の重み付けされた二乗平均平方根(RMS)は、
Figure 2023089034000004
として定義され、従って、f(z、z、...、z)の重み付けされたRMSを最小化することは、式1で定義される、費用関数
Figure 2023089034000005
を最小化することに等しい。従って、f(z、z、...、z)の重み付けされたRMSと式1は、本明細書では表記を単純にするために、互換的に使用されることがある。
[00181] 更に、PW(プロセスウィンドウ)の最大化を検討する場合、異なるPW条件からの同じ物理的位置を、(式1)の費用関数における異なる評価ポイントとみなすことができる。例えば、N個のPW条件を検討する場合、PW条件に従って評価ポイントを分類し、費用関数を次のように記述することができる:
Figure 2023089034000006
ここで、fpu(z、z、...、z)は、u番目のPW条件u=1、...、Uの下でのf(z、z、...、z)の値である。f(z、z、...、z)がEPEである場合、上記の費用関数を最小化することは、様々なPW条件の下でのエッジシフトを最小化することに等しく、従って、これはPWの最大化につながる。特に、PWが異なるマスクバイアスから構成される場合、上記の費用関数を最小化することは、MEEF(マスクエラーエンハンスメントファクター)を最小化することも含み、MEEFは、基板EPEと誘発されるマスクエッジバイアスとの間の比率として定義される。
[00182] 設計変数には制約があることがあり、この制約は、(z、z、...、z)∈Zとして表すことができ、ここで、Zは設計変数の可能な値の組である。設計変数に対する可能な制約の1つは、リソグラフィ投影装置の所望のスループットによって課されることがある。所望のスループットは、ドーズ量を限定することがあり、従って、確率的影響と関わり合いがある(例えば、確率的影響に下限を課す)。スループットがより高くなると、一般的に、ドーズ量がより低くなり、露光時間がより短くより長くなり、確率的影響がより大きくなる。基板のスループット及び確率的影響の最小化を考慮すると、設計変数の可能な値が抑制されることがある、というのも、確率的影響は、設計変数の関数であるからである。所望のスループットにより課されるそのような制約がないと、最適化により、非現実的な設計変数の値の組が生成されることがある。例えば、ドーズ量が設計変数に含まれる場合、そのような制約がないと、最適化により、スループットを経済的に不可能にするドーズ量値が生成されることがある。しかしながら、制約の有用性は、必要と解釈されるべきではない。スループットは、パターニングプロセスのパラメータに対する欠陥率ベースの調節によって、影響を受けることがある。高いスループットを維持しながら、フィーチャの欠陥率をより低くすることが望ましい。スループットは、レジストの化学的性質によっても影響を受けることがある。レジストがより遅いと(例えば、適切に露光されるためにより多くの光を必要とするレジスト)、スループットがより低くなる。従って、レジストの化学的性質又は変動に起因するフィーチャの欠陥率を伴う最適化プロセス、及びより高いスループットのためのドーズ量要件、に基づいて、パターニングプロセスの適切なパラメータが決定されることがある。
[00183] 従って、最適化プロセスとは、制約(z、z、...、z)∈Zの下で、費用関数を最小化する設計変数の値の組を見つけること、即ち、以下を見つけることである:
Figure 2023089034000007
一実施形態による、リソグラフィ投影装置を最適化する一般的な方法が、図18に示されている。この方法は、複数の設計変数の多変数費用関数を定義するステップS1202を含む。設計変数は、照明放射源の特徴(1200A)(例えば、瞳充填率、即ち瞳又は開口を通過する放射源の放射のパーセンテージ)、投影光学系の特徴(1200B)、及び設計レイアウトの特徴(1200C)から選択される、任意の適切な組み合わせを含むことがある。例えば、設計変数は、照明放射源の特徴(1200A)及び設計レイアウトの特徴(1200C)(例えば、グローバルバイアス)を含むが、投影光学系の特徴(1200B)は含まないことがあり、これはSMOにつながる。或いは、設計変数は、照明放射源の特徴(1200A)、投影光学系の特徴(1200B)、及び設計レイアウトの特徴(1200C)を含むことがあり、これは、放射源-マスク-レンズ最適化(SMLO)につながる。ステップS1204では、費用関数が収束に向けて動くように、複数の設計変数が同時に調節される。ステップS1206では、所定の終了条件が満たされているかどうかが判断される。所定の終了条件には、様々な可能性が含まれることがある、即ち、費用関数が、使用する数値的技法によって必要とされる通りに、最小化又は最大化されることがある;費用関数の値が、閾値と等しくなったか又は閾値を超えた;費用関数の値が、予め設定された誤差制限範囲内に達した;又は予め設定された反復回数に達した;などである。ステップS1206での条件の何れかが満たされた場合、この方法は終了する。ステップS1206での条件の何れも満たされていない場合、ステップS1204及びS1206が、所望の結果が得られるまで、反復して繰り返される。最適化は、必ずしも、設計変数の値の単一の組をもたらすとは限らない、というのも、例えば、欠陥率、瞳充填率、レジストの化学的性質、スループット、などの要因によって引き起こされる物理的制約が存在し得るからである。最適化は、設計変数の値の複数の組及び関連した性能特徴(例えば、スループット)をもたらすことがあり、リソグラフィ装置のユーザが1つ又は複数の組を選択することを可能にする。
[00184] リソグラフィ投影装置では、放射源、パターニングデバイス、及び投影光学系は、選択的に最適化される(選択的最適化と呼ばれる)か、又は同時に最適化される(同時最適化と呼ばれる)ことがある。「同時」「同時に」「一緒」及び「一緒に」という用語は、本明細書で使用される場合、放射源、パターニングデバイス、投影光学系の特徴の設計変数、及び/又は任意の他の設計変数が、同時に変化することができることを意味する。「選択的」及び「選択的に」という用語は、本明細書で使用される場合、設計変数の全てが、同時に変化することができるのではないことを意味する。
[00185] 図19では、全ての設計変数の最適化が同時に実行されている。そのようなフローは、同時フロー又は共同最適化フローと呼ばれることがある。或いは、全ての設計変数の最適化は、図19に示されるように、選択的に実行される。このフローでは、各ステップにおいて、幾つかの設計変数が固定されている一方で、他の設計変数が、費用関数を最小化するように最適化される。次いで、次のステップにおいて、変数の異なる組が固定される一方で、他の変数が、費用関数を最小化するように最適化される。これらのステップは、収束条件又は特定の終了条件が満たされるまで、選択的に実行される。
[00186] 図19の非限定的なフローチャートの例に示すように、まず、設計レイアウト(ステップS1302)が取得され、次いで、放射源最適化のステップがステップS1304において実行され、ここで照明放射源の全ての設計変数が、全ての他の設計変数が固定されている間に、費用関数を最小化するように最適化される(SO)。次いで、次のステップS1306において、マスク最適化(MO)が実施され、ここでは、パターニングデバイスの全ての設計変数が、全ての他の設計変数が固定されている間に、費用関数を最小化するように最適化される。これらの2つのステップは、ステップS1308で特定の終了条件が満たされるまで、選択的に実行される。様々な終了条件を使用することができ、例えば、費用関数の値が、閾値に等しくなった;費用関数の値が、閾値を超えた;費用関数の値が、予め設定された誤差制限範囲内に達した;又は予め設定された反復回数に達した;などである。なお、選択的フローの例として、SO-MO-選択的-最適化が使用されている。選択的フローは、SO-LO-MO-選択的-最適化などの、多数の異なる形式を取ることができ、ここで、SO、LO(レンズ最適化)が実行され、MOは選択的及び反復的であるか、又は、まずSMOを一度実行し、次いでLO及びMOを選択的に反復して実行することができる、等々である。最終的に、最適化結果の出力がステップS1310で取得され、プロセスは停止する。
[00187] 前述のように、パターン選択アルゴリズムが、同時最適化又は選択的最適化と統合されていることがある。例えば、選択的最適化が採用されている場合、まず、フルチップSOを実施することができ、「ホットスポット」及び/又は「ウォームスポット」を特定し、次いで、MOを実施する。本開示を考慮すると、所望の最適化結果を達成するために、副次的な最適化の多数の並べ換え及び組み合わせが可能である。
[00188] 図20Aは、最適化の1つの例示的な方法を示しており、ここでは、費用関数が最小化される。ステップS502では、設計変数の初期値が、もしあればそれらの調節範囲を含めて、取得される。ステップS504では、多変数費用関数が設定される。ステップS506では、費用関数は、最初の反復ステップ(i=0)に関する設計変数の開始ポイント値の周りの十分に小さい近傍の中で展開される。ステップS508では、標準的な多変数最適化技法を適用して、費用関数を最小化する。なお、最適化の問題は、S508における最適化プロセス中又は最適化プロセスの後の段階で、調節範囲などの制約を加えることがある。ステップS520は、リソグラフィプロセスを最適化するように選択された、特定された評価ポイントの所与のテストパターン(「ゲージ」としても知られる)に対して、各反復が行われたことを示す。ステップS510では、リソグラフィ応答が予測される。ステップS512では、ステップS510の結果が、ステップS522で得られる所望の又は理想的なリソグラフィ応答値と比較される。ステップS514で終了条件が満たされた場合、即ち、最適化により所望の値に十分に近いリソグラフィ応答値が生成された場合、設計変数の最終値がステップS518で出力される。出力ステップは、設計変数の最終値を使用して他の関数を出力すること、例えば、瞳面(又は他の面)における波面収差調節済マップ、最適化された放射源マップ、及び最適化された設計レイアウトなど、を出力すること、も含むことがある。終了条件が満たされていない場合、ステップS516で、設計変数の値が、i番目の反復の結果を用いて更新され、プロセスはステップS506に戻る。図20Aのプロセスについては、以下で詳細に説明する。
[00189] 例示的な最適化プロセスでは、設計変数(z、z、...、z)とf(z、z、...、z)との間には何の関係性も仮定又は近似されないが、f(z、z、...、z)は十分に滑らかである(例えば、1次導関数
Figure 2023089034000008
、(n=1、2、...N)が存在する)という点が例外であり、これは、一般的に、リソグラフィ投影装置では有効である。Gauss-Newtonアルゴリズム、Levenberg-Marquardtアルゴリズム、勾配降下アルゴリズム、焼きなまし法、遺伝的アルゴリズム、などのアルゴリズムを、
Figure 2023089034000009
を見つけるために適用することができる。
[00190] ここでは、Gauss-Newtonアルゴリズムを例として使用する。Gauss-Newtonアルゴリズムは、一般的な非線形多変数最適化問題に適用できる反復的な方法である。設計変数(z、z、...、z)が(z1i、z2i、...、zNi)の値を取るi番目の反復では、Gauss-Newtonアルゴリズムは(z1i、z2i、...、zNi)の近傍でf(z、z、...、z)を線形化し、次いで、CF(z、z、...、z)という最小値を与える、(z1i、z2i、...、zNi)の近傍での値(z1(i+1)、z2(i+1)、...、zN(i+1))を計算する。設計変数(z、z、...、z)は、(i+1)番目の反復では、(z1(i+1)、z2(i+1)、...、zN(i+1))の値を取る。この反復は、収束する(即ち、CF(z、z、...、z)がそれ以上減少しない)か又は予め設定された反復回数に達するまで、続けられる。
[00191] 特に、i番目の反復では、(z1i、z2i、...、zNi)の近傍で、
Figure 2023089034000010
[00192] 式3の近似の下では、費用関数は以下のようになる:
Figure 2023089034000011
これは、設計変数(z、z、...、z)の2次関数である。設計変数(z、z、...、z)を除いて、各項は一定である。
[00193] 設計変数(z、z、...、z)がいかなる制約の下にもない場合、(z1(i+1)、z2(i+1)、...、zN(i+1))は、以下のN個の1次方程式を解くことによって、導くことができる:
Figure 2023089034000012
、但しn=1、2、...、N。
[00194] 設計変数(z、z、...、z)が、J個の不等式(例えば、(z、z、...、z)の調節範囲)
Figure 2023089034000013
(但し、j=1、2、...、J)、及び、K個の等式(例えば、設計変数間の相互依存性)
Figure 2023089034000014
(但し、k=1、2、...、K)の形式をした制約の下にある場合、最適化プロセスは、典型的な2次計画問題になり、ここで、Anj、B、Cnk、Dは定数である。反復毎に、追加の制約が課されることがある。例えば、式3の近似が有効であるように、「減衰係数」Δを導入して、(z1(i+1)、z2(i+1)、...、zN(i+1))と(z1i、z2i、...、zNi)との間の差を制限することができる。そのような制約は、zni-Δ≦z≦zni+Δと表すことができる。(z1(i+1)、z2(i+1)、...、zN(i+1))は、例えば、Jorge Nocedal and Stephen J. Wright (Berlin New York: Vandenberghe.Cambridge University Press)による、数値最適化(第2版)に記載されている方法を使用して、導出することができる。
[00195] f(z、z、...、z)のRMSを最小化する代わりに、最適化プロセスは、意図した値に対する評価ポイント中の最大偏差(最も悪い欠陥)の大きさを最小化することができる。この方式では、費用関数は代替的に、以下のように表すことができる
Figure 2023089034000015
ここで、CLは、f(z、z、...、z)に対する最大許容値である。この費用関数は、評価ポイント中の最も悪い欠陥を表している。この費用関数を使用した最適化は、最も悪い欠陥の大きさを最小化する。この最適化には、反復的貪欲法を使用することができる。
[00196] 式5の費用関数は、以下のように近似することができる:
Figure 2023089034000016
ここで、qは少なくとも4、好ましくは少なくとも10などの、偶数の正の整数である。式6は、式5の挙動を模倣している一方で、最適化を分析的に実行できるようにし、最深降下法、共役勾配法などの方法を使用することにより、最適化を加速させることができる。
[00197] 最も悪い欠陥のサイズを最小化することは、f(z、z、...、z)の線形化と組み合わせることもできる。具体的には、f(z、z、...、z)は、式3のように近似される。次いで、最も悪い欠陥のサイズに対する制約は、不等式ELp≦f(z、z、...、z)≦EUpとして記述され、ここで、ELp及びEUpは、f(z、z、...、z)の最小及び最大許容偏差を規定する2つの定数である。式3を代入すると、これらの制約は、p=1、...、Pについて、以下のように変換される。
Figure 2023089034000017
[00198] 式3は、一般的に、(z、z、...、z)の近傍でのみ有効であるので、そのような近傍で所望の制約ELp≦f(z、z、...、z)≦EUpを達成することができない場合(これは、不等式間の何らかの矛盾によって決まることがある)、定数ELp及びEUpは、制約が達成可能になるまで、緩和されることがある。この最適化プロセスは、(z、z、...、z)の近傍で最も悪い欠陥のサイズを最小化する。このとき、各ステップは最も悪い欠陥のサイズを徐々に低減し、各ステップは、特定の終了条件が満たされるまで、反復して実行される。これにより、最も悪い欠陥のサイズが最適に低減される。
[00199] 最も悪い欠陥を最小化する別の方法は、各反復において重みwを調節することである。例えば、i番目の反復の後で、r番目の評価ポイントが最も悪い欠陥である場合、その評価ポイントの欠陥サイズを減少させることにより高い優先度を与えるように、wを、(i+1)番目の反復において増加させることができる。
[00200] 更に、式4及び式5の費用関数を、Lagrange未定乗数法を導入することにより修正して、欠陥サイズのRMSの最適化と最も悪い欠陥のサイズの最適化との間で折り合いをつけることができる、即ち、
Figure 2023089034000018
ここで、λは、欠陥サイズのRMSの最適化と最も悪い欠陥のサイズの最適化との間のトレードオフを規定する、予め設定された定数である。特に、λ=0の場合、これは式4になり、欠陥サイズのRMSだけが最小化される。一方、λ=1の場合、これは式5になり、最も悪い欠陥のサイズだけが最小化される。0<λ<1の場合、両方が、最適化において考慮に入れられる。そのような最適化は、複数の方法を使用して解決することができる。例えば、各反復における重み付けが、前述したものと同様に、調節されることがある。或いは、不等式から最も悪い欠陥のサイズを最小化するのと同様に、式6’及び6’’の不等式は、2次計画問題の解決中の、設計変数の制約とみなすことができる。次いで、最も悪い欠陥のサイズの境界を段階的に緩和するか、又は最も悪い欠陥のサイズの重みを段階的に増やし、全ての実現可能な最も悪い欠陥のサイズの費用関数値を計算し、合計費用関数を最小化する設計変数値を、次のステップへの初期ポイントとして選択する、ことができる。これを反復して行うことにより、この新しい費用関数の最小化を達成することができる。
[00201] リソグラフィ投影装置を最適化すると、プロセスウィンドウを拡大することができる。プロセスウィンドウがより大きいほど、プロセス設計及びチップ設計における柔軟性がより高くなる。プロセスウィンドウは、レジスト像がレジスト像の設計ターゲットの特定の限界範囲内にあるための焦点値及びドーズ量値の組として定義することができる。なお、ここで説明する全ての方法は、露光ドーズ及び焦点はずれに加えて異なる又は追加の基本パラメータにより確立することができる一般化されたプロセスウィンドウ定義に拡張することもできる。これらには、NA、シグマ、収差、偏光、又はレジスト層の光学定数、などの光学設定が含まれることがあるが、これらに限定はされない。例えば、前述のように、PWが異なるマスクバイアスから構成される場合、最適化することは、MEEF(マスクエラーエンハンスメントファクター)を最小化することを含み、MEEFは、基板EPEと誘発されるマスクエッジバイアスとの間の比率として定義される。焦点及びドーズ量値に対して定義されるプロセスウィンドウは、本開示では、単なる例としてはたらく。一実施形態による、プロセスウィンドウを最大化する方法について、以下に説明する。
[00202] 第1のステップでは、プロセスウィンドウにおける既知の条件(f、ε)から開始し、ここで、fは公称焦点であり、εは公称ドーズ量であり、近傍(f±Δf、ε±Δε)での以下の費用関数のうちの1つを最小化する。
Figure 2023089034000019
[00203] 公称焦点f及び公称ドーズ量εがシフト可能である場合、それらは、設計変数(z、z、...、z)と一緒に最適化することができる。次のステップでは、費用関数が予め設定された制限内になるような(z、z、...、z、f、ε)の値の組を見つけられた場合、(f±Δf、ε±Δε)は、プロセスウィンドウの一部として受け入れられる。
[00204] 或いは、焦点及びドーズ量がシフト可能ではない場合、設計変数(z、z、...、z)は、焦点及びドーズ量を公称焦点f及び公称ドーズ量εに固定して、最適化される。代替的な実施形態では、費用関数が予め設定された制限内になるような(z、z、...、z)の値の組を見つけられた場合、(f±Δf、ε±Δε)は、プロセスウィンドウの一部として受け入れられる。
[00205] 本開示で前述した方法を使用して、式7、7’、又は7’’のそれぞれの費用関数を最小化することができる。設計変数が、投影光学系の特徴、例えばZernike係数などである場合、式7、7’、又は7’’の費用関数を最小化すると、投影光学系最適化、即ちLOに基づいて、プロセスウィンドウが最大化される。設計変数が、投影光学系の特徴に加えて、放射源及びパターニングデバイスの特徴である場合、式7、7’、又は7’’の費用関数を最小化すると、図19に示すように、SMLOに基づいてプロセスウィンドウが最大化される。設計変数が放射源及びパターニングデバイスの特徴である場合、式7、7’、又は7’’の費用関数を最小化すると、SMOに基づいてプロセスウィンドウが最大化される。式7、7’、又は7’’の費用関数は、式7又は式8におけるものなどの、少なくとも1つのf(z、z、...、z)を含むことがあり、これは、2DフィーチャのLWR又は局所CD変動、及びスループットなどの、1つ又は複数の確率的影響の関数である。
[00206] 図21は、同時SMLOプロセスが最適化のためにGauss Newtonアルゴリズムを使用する方法の、1つの特定の例を示す。ステップS702では、設計変数の開始値が特定される。変数毎の調節範囲も特定されることがある。ステップS704では、設計変数を使用して費用関数が定義される。ステップS706では、費用関数が、設計レイアウト内の全ての評価ポイントの開始値の周りで展開される。オプションのステップS710では、フルチップ設計レイアウト内の全てのクリティカルパターンをカバーするために、フルチップシミュレーションが実行される。所望のリソグラフィ応答メトリック(CD又はEPEなど)がステップS714で取得され、ステップS712でそれらの量の予測値と比較される。ステップS716では、プロセスウィンドウが決定される。ステップS718、S720、及びS722は、図20Aに関して説明したような、対応するステップS514、S516、及びS518と同様である。前述のように、最終的な出力は、所望の結像性能を生み出すように最適化された、瞳面における波面収差マップであり得る。最終的な出力は、最適化された放射源マップ及び/又は最適化された設計レイアウトでもあり得る。
[00207] 図20Bは、費用関数を最適化するための例示的な方法を示しており、この方法では、設計変数(z、z、...、z)は、離散値のみを想定することができる設計変数を含む。
[00208] この方法は、照明放射源のピクセルグループ及びパターニングデバイスのパターニングデバイスタイルを定義することにより、開始する(ステップS802)。一般的に、ピクセルグループ又はパターニングデバイスタイルは、リソグラフィプロセスコンポーネントの分割と呼ばれることもある。一つの例示的な方式では、照明放射源は117個のピクセルグループに分割され、パターニングデバイスについては94個のパターニングデバイスタイルが定義され、実質的には上述のように、合計で211個の分割につながる。
[00209] ステップS804では、フォトリソグラフィシミュレーションの基礎としてリソグラフィモデルが選択される。フォトリソグラフィシミュレーションは、結果を生成し、この結果は、フォトリソグラフィメトリック又は応答の計算で使用される。特定のフォトリソグラフィメトリックが、最適化されることになる性能メトリックになるように定義される(ステップS806)。ステップS808では、照明放射源及びパターニングデバイスの初期(最適化前)条件が設定される。初期条件には、初期の照明形状及び初期のパターニングデバイスパターンを参照できるように、照明放射源のピクセルグループ及びパターニングデバイスのパターニングデバイスタイルの初期状態が含まれる。初期条件には、マスクバイアス、NA、及び焦点ランプ範囲も含まれることがある。ステップS802、S804、S806、及びS808は連続したステップとして示されているが、本発明の他の実施形態では、これらのステップは他の順序で実施されることがあることを、理解されたい。
[00210] ステップS810では、ピクセルグループ及びパターニングデバイスタイルがランク付けされる。ピクセルグループ及びパターニングデバイスタイルは、ランク付けの中でインターリーブされることがある。様々なランク付け方法が、ピクセルグループ及びパターニングデバイスタイルの物理的な位置に従って(例えば、照明放射源の中心により近いピクセルグループをより高くランク付けする)、且つ、ピクセルグループ又はパターニングデバイスタイルを変更すると、どれ位性能メトリックに影響が出るかに従って、順を追って(例えば、ピクセルグループ1からピクセルグループ117まで、及びパターニングデバイスタイル1からパターニングデバイスタイル94まで)、ランダムに、を含めて、用いられることがある。
[00211] 一旦、ピクセルグループ及びパターニングデバイスタイルがランク付けされると、照明放射源及びパターニングデバイスは、性能メトリックを改善するように調節される(ステップS812)。ステップS812では、ピクセルグループ及びパターニングデバイスタイルのそれぞれを、ランク順に分析して、ピクセルグループ又はパターニングデバイスタイルの変更が、性能メトリックの改善につながるかどうかを判断する。性能メトリックが改善されると判断された場合、ピクセルグループ又はパターニングデバイスタイルは呼応して変更され、結果として得られる改善された性能メトリック及び修正された照明形状又は修正されたパターニングデバイスパターンは、後に続く、より低くランク付けされたピクセルグループ及びパターニングデバイスタイルの分析に対する比較のベースラインを形成する。言い換えると、性能メトリックを改善する変更が保持される。ピクセルグループ及びパターニングデバイスタイルの状態への変更が行われ保持されると、初期照明形状及び初期パターニングデバイスパターンが呼応して変化し、その結果、修正された照明形状及び修正されたパターニングデバイスパターンが、ステップS812の最適化プロセスから生じる。
[00212] 他の方式では、パターニングデバイス多角形形状の調節、並びにピクセルグループ及び/又はパターニングデバイスタイルの二つをペアにしたポーリングも、S812の最適化プロセス内で実施される。
[00213] 代替的な実施形態では、インターリーブされた同時最適化手順は、照明放射源のピクセルグループを変更することを含むことがあり、性能メトリックの改善が見られる場合、更なる改善を探してドーズ量が上げ下げされる。更なる代替的な実施形態では、ドーズ量又は強度の上げ下げは、同時最適化手順における更なる改善を探すために、パターニングデバイスパターンのバイアス変化によって、置き換えられることがある。
[00214] ステップS814では、性能メトリックが収束したかだどうかに関する決定が行われる。性能メトリックは、例えば、ステップS810及びS812の最後の数回の反復において性能メトリックへの改善が殆ど又は全く見られない場合に、収束したとみなされることがある。性能メトリックが収束しなかった場合、S810及びS812のステップが次の反復で繰り返され、そこでは、現在の反復からの修正された照明形状及び修正されたパターニングデバイスが、次の反復のための初期照明形状及び初期パターニングデバイスとして使用される(ステップS816)。
[00215] 上述した最適化方法を使用して、リソグラフィ投影装置のスループットを高めることができる。例えば、費用関数は、露光時間の関数であるf(z、z、...、z)を含むことがある。そのような費用関数の最適化は、確率的影響の大きさ又は他のメトリックによって制約を受けるか又は影響されることが好ましい。具体的には、リソグラフィプロセスのスループットを高めるための、コンピュータに実装された方法には、リソグラフィプロセスの1つ又は複数の確率的影響の関数である費用関数及び基板の露光時間の関数を、露光時間を最小限に抑えるために、最適化することが含まれることがある。
[00216] 一実施形態では、費用関数は、1つ又は複数の確率的影響の関数である、少なくとも1つのf(z、z、...、z)を含む。確率的影響は、フィーチャの欠陥、図3の方法で決定された測定データ(例えば、SEPE)、2DフィーチャのLWR又は局所CD変動、を含むことがある。一実施形態では、確率的影響は、レジスト像の特徴の確率的変動を含む。 例えば、そのような確率的変動は、フィーチャの欠陥率、ラインエッジ粗さ(LER)、ライン幅粗さ(LWR)、及びクリティカルディメンジョン均一性(CDU)を含むことがある。費用関数に確率的変動を含めると、確率的変動を最小化する設計変数の値を見つけることが可能になり、それにより、確率的影響に起因した欠陥の危険性が低減される。
[00217] 図22は、本明細書に開示する最適化方法及びフローの実施を支援し得るコンピュータシステム100を示すブロック図である。コンピュータシステム100は、バス102又は情報を通信するための他の通信機構と、情報を処理するためにバス102と結合されたプロセッサ104(又は複数のプロセッサ104及び105)とを含む。コンピュータシステム100は、ランダムアクセスメモリ(RAM)又は他の動的ストレージデバイスなどの、プロセッサ104によって実行される情報及び命令を保存するためにバス102に結合されたメインメモリ106も含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に、一時変数又は他の中間情報を保存するためにも使用されてもよい。コンピュータシステム100は、リードオンリーメモリ(ROM)108、又はプロセッサ104のための静的情報及び命令を保存するためにバス102に結合された他の静的ストレージデバイスをさらに含む。情報及び命令を保存するための磁気ディスク又は光ディスクなどのストレージデバイス110が設けられると共に、バス102に結合される。
[00218] コンピュータシステム100は、バス102を介して、情報をコンピュータユーザに表示するための、陰極線管(CRT)、フラットパネル、又はタッチパネルディスプレイなどのディスプレイ112に結合されてもよい。英数字及び他のキーを含む入力デバイス114が、情報及びコマンド選択をプロセッサ104に通信するためにバス102に結合される。別のタイプのユーザ入力デバイスは、プロセッサ104に方向情報及びコマンド選択を通信するため、及びディスプレイ112上でカーソルの移動を制御するための、マウス、トラックボール、又はカーソル方向キーなどのカーソル制御部116である。この入力デバイスは、一般的に、2つの軸(第1の軸(例えばx)及び第2の軸(例えばy))において、デバイスがある面内で位置を特定することを可能にする2つの自由度を有する。タッチパネル(スクリーン)ディスプレイが、入力デバイスとして使用されてもよい。
[00219] ある実施形態によれば、最適化プロセスの部分は、メインメモリ106に含まれる1つ又は複数の命令の1つ又は複数のシーケンスを実行するプロセッサ104に応答して、コンピュータシステム100によって行われてもよい。このような命令は、ストレージデバイス110などの別のコンピュータ可読媒体からメインメモリ106に読み込まれてもよい。メインメモリ106に含まれる命令のシーケンスの実行は、プロセッサ104に本明細書に記載のプロセスステップを行わせる。メインメモリ106に含まれる命令のシーケンスを実行するために、多重処理構成の1つ又は複数のプロセッサが用いられてもよい。ある代替実施形態では、ソフトウェア命令の代わりに、又はソフトウェア命令と一緒に、ハードワイヤード回路が用いられてもよい。従って、本明細書の記載は、ハードウェア回路及びソフトウェアの特定の組み合わせに限定されない。
[00220] 本明細書で使用される「コンピュータ可読媒体」という用語は、実行のためにプロセッサ104に命令を提供することに関与するあらゆる媒体を指す。このような媒体は、限定されないが、不揮発性媒体、揮発性媒体、及び伝送媒体を含む、多くの形態をとり得る。不揮発性媒体は、例えば、ストレージデバイス110などの光又は磁気ディスクを含む。揮発性媒体は、メインメモリ106などの動的メモリを含む。伝送媒体は、同軸ケーブル、銅線及び光ファイバ(バス102を含むワイヤを含む)を含む。伝送媒体は、無線周波数(RF)及び赤外線(IR)データ通信中に生成されるものなどの、音波又は光波の形態もとり得る。コンピュータ可読媒体の一般的形態は、例えば、フロッピーディスク、フレキシブルディスク、ハードディスク、磁気テープ、その他の磁気媒体、CD-ROM、DVD、その他の光媒体、パンチカード、紙テープ、孔のパターンを有したその他の物理媒体、RAM、PROM、及びEPROM、FLASH-EPROM、その他のメモリチップ又はカートリッジ、以下に記載されるような搬送波、又はコンピュータが読み取ることができるその他の媒体を含む。
[00221] コンピュータ可読媒体の様々な形態が、実行のためにプロセッサ104に1つ又は複数の命令の1つ又は複数のシーケンスを運ぶことに関与してもよい。例えば、命令は、最初は、リモートコンピュータの磁気ディスクにある場合がある。リモートコンピュータは、命令をそれの動的メモリにロードし、及びモデムを使用して電話回線上で命令を送ることができる。コンピュータシステム100にローカルなモデムが、電話回線上のデータを受信し、及び赤外線送信機を用いてデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器が、赤外線信号で搬送されたデータを受信し、及びそのデータをバス102にのせることができる。バス102は、データをメインメモリ106に搬送し、そこからプロセッサ104が、命令の読み出し及び実行を行う。メインメモリ106によって受信された命令は、任意選択的に、プロセッサ104による実行の前又は後に、ストレージデバイス110に保存されてもよい。
[00222] コンピュータシステム100は、好ましくは、バス102に結合された通信インターフェース118も含む。通信インターフェース118は、ローカルネットワーク122に接続されたネットワークリンク120に結合する双方向データ通信も提供する。例えば、通信インターフェース118は、対応するタイプの電話回線にデータ通信接続を提供するデジタル総合サービス網(ISDN)カード又はモデムでもよい。別の例として、通信インターフェース118は、互換性のあるLANへのデータ通信接続を提供するローカルエリアネットワーク(LAN)カードでもよい。ワイヤレスリンクが実施されてもよい。このような実施において、通信インターフェース118は、様々なタイプの情報を表すデジタルデータストリームを搬送する電気、電磁、又は光信号の送信及び受信を行う。
[00223] ネットワークリンク120は、一般的に、1つ又は複数のネットワークを通して、他のデータデバイスにデータ通信を提供する。例えば、ネットワークリンク120は、ローカルネットワーク122を通して、ホストコンピュータ124又はインターネットサービスプロバイダ(ISP)126によって操作されるデータ機器への接続を提供することができる。ISP126は、次に、ワールドワイドパケットデータ通信ネットワーク(現在、一般に「インターネット」128と呼ばれる)によるデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128は共に、デジタルデータストリームを搬送する電気、電磁、又は光信号を使用する。コンピュータシステム100に対して、及びコンピュータシステム100からデジタルデータを搬送する、様々なネットワークを通る信号、及びネットワークリンク120上の、及び通信インターフェース118を通る信号は、情報を運ぶ搬送波の形態例である。
[00224] コンピュータシステム100は、1つ又は複数のネットワーク、ネットワークリンク120、及び通信インターフェース118を通して、メッセージを送信すること、及びプログラムコードを含むデータを受信することができる。インターネット例では、サーバ130は、インターネット128、ISP126、ローカルネットワーク122、及び通信インターフェース118を通して、アプリケーションプログラムの要求コードを送信する場合がある。そのようなダウンロードされたアプリケーションの1つは、例えば、その実施形態の照明最適化を提供することができる。受け取られたコードは、受け取られるとプロセッサ104によって実行され、及び/又は後で実行するためにストレージデバイス110若しくは他の不揮発性のストレージ内に記憶されることがある。このようにして、コンピュータシステム100は、搬送波の形式でアプリケーションコードを取得することができる。
[00225] 図23は、例示的なリソグラフィ投影装置を概略的に示しており、この装置の照明放射源は、本明細書で説明する方法を利用して、最適化することができる。この装置は、以下を含む:
-放射のビームBを調整するための、照明システムIL。この特定の場合には、照明システムは放射源SOも含む。
-第1のオブジェクトテーブル(例えば、マスクテーブル)MTであって、パターニングデバイスMA(例えば、レチクル)を保持するためにパターニングデバイスホルダーを備え、アイテムPSに対してパターニングデバイスを正確に位置決めするために第1のポジショナに接続された、第1のオブジェクトテーブルMT。
-第2のオブジェクトテーブル(基板テーブル)WTであって、基板W(例えば、レジストコーティングされたシリコンウェーハ)を保持するために基板ホルダーを備え、アイテムPSに対して基板を正確に位置決めするために第2のポジショナに接続された、第2のオブジェクトテーブルWT。
-パターニングデバイスMAの照射された部分を基板Wのターゲット部分C(例えば、1つ又は複数のダイを含む)上に結像させるための、投影システム(「レンズ」)PS(例えば、屈折型、反射型、又は反射屈折型の光学系)。
[00226] 本明細書に示すように、装置は、透過型のものである(即ち、透過型マスクを有する)。しかしながら、一般的に、装置は、例えば反射型(反射マスクを備える)のものであってもよい。或いは、装置は、典型的なマスクの使用の代替として、別の種類のパターニングデバイスを利用することがある。例としては、プログラマブルミラーアレイ又はLCDマトリクスが挙げられる。
[00227] ソースSO(例えば、水銀ランプ又はエキシマレーザ)は、放射ビームを生成する。このビームは、照明システム(イルミネータ)ILに対して、そのまま、又は例えばビームエキスパンダExなどの調節手段を横断した後に、供給される。イルミネータILは、ビームの強度分布の外側及び/又は内側半径範囲(一般的に、それぞれσ-outer及びσ-innerと呼ばれる)を設定するための調節手段ADを含み得る。さらにそれは、一般に、インテグレータIN及びコンデンサCOなどの様々な他のコンポーネントを含む。このようにして、パターニングデバイスMAに衝突するビームBは、断面に所望の均一性及び強度分布を有する。
[00228] 図23に関して、ソースSOは、リソグラフィ投影装置のハウジング内に位置してもよいが(大抵の場合、ソースSOが、例えば水銀ランプのとき)、リソグラフィ投影装置から離れた位置にあり、それが生成する放射ビームが装置内に導き入れられてもよい(例えば、適宜の誘導ミラーを用いて)ことに留意されたい。この後者のシナリオは、ソースSOがエキシマレーザ(例えば、KrF、ArF、又はF2レージングに基づく)であるケースが多い。
[00229] 続いて、ビームPBは、パターニングデバイステーブルMT上に保持されるパターニングデバイスMAと交差する。ビームBは、パターニングデバイスMAを横断した後、ビームBの焦点を基板Wのターゲット部分Cに合わせるレンズPLを通過する。第2の位置決め手段(及び干渉測定手段IF)を用いて、例えば異なるターゲット部分CをビームPBのパス内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、例えば、パターニングデバイスライブラリからのパターニングデバイスMAの機械検索後に、又はスキャン中に、第1の位置決め手段を用いて、ビームBのパスに対してパターニングデバイスMAを正確に位置決めすることができる。一般に、オブジェクトテーブルMT、WTの移動は、図23には明示的に描かれない、ロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を用いて実現される。但しウェーハステッパの場合は(ステップアンドスキャンツールとは対照的に)、パターニングデバイステーブルMTは、ショートストロークアクチュエータのみに接続されてもよく、又は固定されてもよい。
[00230] 描かれたツールは、2つの異なるモードで使用され得る:
-ステップモードでは、パターニングデバイステーブルMTは、基本的に静止したままであり、及びパターニングデバイス像全体が、一回(すなわち、単一の「フラッシュ」)でターゲット部分C上に投影される。次いで、異なるターゲット部分CがビームPBによって照射され得るように、基板テーブルWTが、x及び/又はy方向にシフトされる;
-スキャンモードでは、所与のターゲット部分Cが、単一の「フラッシュ」で露光されないことを除き、基本的に同じシナリオが当てはまる。代わりに、パターニングデバイステーブルMTは、投影ビームBがパターニングデバイス像上をスキャンさせられるように、速度vで、所与の方向(いわゆる「スキャン方向」、例えば、y方向)に移動可能である。並行して、基板テーブルWTが、速度V=Mv(Mは、レンズPLの倍率である(一般的に、M=1/4又は1/5))で、同じ又は反対方向に同時に移動される。このようにして、解像度を妥協する必要なしに、比較的大きなターゲット部分Cを露光させることができる。
[00231] 図24は、その照明源を本明細書に記載される方法を利用して最適化することができる別の例示的リソグラフィ投影装置LAを模式的に示す。
[00232] リソグラフィ投影装置LAは、以下を含む:
-ソースコレクタモジュールSO
-放射ビームB(例えば、EUV放射)を調節するように構成された照明システム(イルミネータ)IL。
-パターニングデバイス(例えば、マスク又はレチクル)MAを支持するように構築され、パターニングデバイスを正確に位置決めするように構成された第1のポジショナPMに接続されたサポート構造(例えば、マスクテーブル)MT;
-基板(例えば、レジストコートウェーハ)Wを保持するように構築され、基板を正確に位置決めするように構成された第2のポジショナPWに接続された基板テーブル(例えば、ウェーハテーブル)WT;及び
-パターニングデバイスMAによって放射ビームBに付与されたパターンを基板Wのターゲット部分C(例えば、1つ又は複数のダイを含む)上に投影するように構成された投影システム(例えば、反射型投影システム)PS。
[00233] ここで描かれるように、装置LAは、反射型(例えば、反射型マスクを用いる)である。ほとんどの材料が、EUV波長範囲内で吸収性であるので、マスクは、例えば、モリブデン及びシリコンのマルチスタックを含む多層リフレクタを有し得ることに留意されたい。一例では、マルチスタックリフレクタは、各層の厚さが4分の1波長である、モリブデン及びシリコンの40層ペアを有する。さらに小さな波長が、X線リソグラフィを用いて生成され得る。ほとんどの材料が、EUV及びx線波長で吸収性であるので、パターニングデバイストポグラフィ上の薄い一片のパターン付き吸収材料(例えば、多層リフレクタ上のTaNアブゾーバ)は、どこにフィーチャが印刷され(ポジ型レジスト)、又は印刷されないか(ネガ型レジスト)を定義する。
[00234] 図24を参照すると、イルミネータILが、ソースコレクタモジュールSOから極端紫外線放射ビームを受ける。EUV放射を生成する方法は、必ずしも限定されないが、EUV範囲において1つ又は複数の輝線を備えた少なくとも1つの元素(例えば、キセノン、リチウム、又はスズ)を有するプラズマ状態に材料を変換することを含む。レーザ生成プラズマ(「LPP」)と呼ばれることが多い、そのような1つの方法では、プラズマは、線発光元素を有する材料の小滴、ストリーム、又はクラスタなどの燃料をレーザビームで照射することによって生成され得る。ソースコレクタモジュールSOは、燃料を励起するレーザビームを提供するレーザ(図24では不図示)を含むEUV放射システムの一部でもよい。その結果生じるプラズマが、出力放射(例えば、EUV放射)を放出し、これが、ソースコレクタモジュールに配置される放射コレクタを用いて収集される。レーザ及びソースコレクタモジュールは、例えば、燃料励起用のレーザビームを提供するためにCO2レーザが使用される場合には、別個のエンティティでもよい。
[00235] このようなケースでは、レーザは、リソグラフィ装置の一部を形成するとは見なされず、及び放射ビームは、例えば、適宜の誘導ミラー及び/又はビームエキスパンダを含むビームデリバリシステムを用いて、レーザからソースコレクタモジュールへと渡される。他のケースでは、例えばソースが、DPPソースと呼ばれることが多い、放電生成プラズマEUVジェネレータである場合に、ソースは、ソースコレクタモジュールの一体化部分でもよい。
[00236] イルミネータILは、放射ビームの角度強度分布を調節するためのアジャスタを含み得る。一般に、イルミネータの瞳面の強度分布の少なくとも外側及び/又は内側半径範囲(一般的に、それぞれσ-outer及びσ-innerと呼ばれる)が、調節され得る。さらに、イルミネータILは、ファセットフィールド及び瞳ミラーデバイスなどの様々な他のコンポーネントを含み得る。イルミネータを使用して、断面に所望の均一性及び強度分布を有するように放射ビームを調整することができる。
[00237] 放射ビームBは、サポート構造(例えば、マスクテーブル)MT上に保持されるパターニングデバイス(例えば、マスク)MAに入射し、及びパターニングデバイスによってパターン付けされる。放射ビームBは、パターニングデバイス(例えば、マスク)MAから反射された後、ビームの焦点を基板Wのターゲット部分Cに合わせる投影システムPSを通過する。第2のポジショナPW及び位置センサPS2(例えば、干渉デバイス、リニアエンコーダ、又は静電容量センサ)を用いて、例えば異なるターゲット部分Cを放射ビームBのパス内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、第1のポジショナPM及び別の位置センサPS1を用いて、放射ビームBのパスに対してパターニングデバイス(例えば、マスク)MAを正確に位置決めすることができる。パターニングデバイス(例えば、マスク)MA及び基板Wは、パターニングデバイスアライメントマークM1、M2及び基板アライメントマークP1、P2を用いてアライメントされてもよい。
[00238] 描かれた装置LAは、以下のモードの少なくとも1つで使用され得る:
1.ステップモードでは、放射ビームに付与されたパターン全体が、一回でターゲット部分C上に投影される間に、サポート構造(例えば、マスクテーブル)MT及び基板テーブルWTは、基本的に静止したままである(すなわち、単一静的露光)。次いで、異なるターゲット部分Cが露光され得るように、基板テーブルWTが、X及び/又はY方向にシフトされる。
2.スキャンモードでは、放射ビームに付与されたパターンが、ターゲット部分C上に投影される間に、サポート構造(例えば、マスクテーブル)MT及び基板テーブルWTは、同期してスキャンされる(すなわち、単一動的露光)。サポート構造(例えば、マスクテーブル)MTに対する基板テーブルWTの速度及び方向は、投影システムPSの縮小及び像反転特性によって決定され得る。
3.別のモードでは、放射ビームに付与されたパターンが、ターゲット部分C上に投影される間に、サポート構造(例えば、マスクテーブル)MTは、プログラマブルパターニングデバイスを保持して基本的に静止したままであり、基板テーブルWTは、移動又はスキャンされる。このモードでは、一般にパルス放射源が用いられ、及びプログラマブルパターニングデバイスが、基板テーブルWTの各移動後に、又はスキャン中の連続する放射パルスの合間に、必要に応じて更新される。この動作モードは、上記で言及したようなタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[00239] 図25は、ソースコレクタモジュールSO、照明システムIL、及び投影システムPSを含む装置LAをより詳細に示す。ソースコレクタモジュールSOは、ソースコレクタモジュールSOの閉鎖構造220内で真空環境が維持され得るように、構築及び配置される。EUV放射放出プラズマ210は、放電生成プラズマ源によって形成され得る。EUV放射は、ガス又は蒸気(例えば、電磁スペクトルのEUV範囲内の放射を放出するために、超高温プラズマ210が作られるXeガス、Li蒸気、又はSn蒸気)によって生成され得る。超高温プラズマ210は、例えば、少なくとも部分的にイオン化されたプラズマを生じさせる放電によって作られる。Xe、Li、Sn蒸気又は任意のその他の適宜のガス若しくは蒸気の例えば10Paの分圧が、放射の効率的生成に必要とされ得る。ある実施形態では、励起スズ(Sn)のプラズマは、EUV放射を生成するために提供される。
[00240] 高温プラズマ210によって放出された放射は、ソースチャンバ211から、ソースチャンバ211の開口内、又はその後ろに位置する任意選択的なガスバリア又は汚染物質トラップ230(場合によっては、汚染物質バリア又はフォイルトラップとも呼ばれる)を介して、コレクタチャンバ212内へと渡される。汚染物質トラップ230は、チャネル構造を含み得る。汚染物質トラップ230は、ガスバリア、又はガスバリア及びチャネル構造の組み合わせも含み得る。本明細書にさらに示される汚染物質トラップ又は汚染物質バリア230は、当該技術分野で知られているように、少なくともチャネル構造を含む。
[00241] コレクタチャンバ211は、いわゆる斜入射型コレクタでもよい放射コレクタCOを含み得る。放射コレクタCOは、上流放射コレクタ側251及び下流放射コレクタ側252を有する。コレクタCOを横断する放射は、格子スペクトルフィルタ240に反射して、一点鎖線「O」によって示される光軸に沿った仮想光源点IFに焦点を合わせることができる。仮想光源点IFは、一般的に中間焦点と呼ばれ、及びソースコレクタモジュールは、中間焦点IFが、閉鎖構造220の開口221に、又はその付近に位置するように配置される。仮想光源点IFは、放射放出プラズマ210の像である。
[00242] 続いて、放射は、パターニングデバイスMAにおいて放射ビーム21の所望の角度分布、及びパターニングデバイスMAにおいて放射強度の所望の均一性を提供するように配置されたファセットフィールドミラーデバイス22及びファセット瞳ミラーデバイス24を包含し得る照明システムILを横断する。サポート構造MTによって保持されたパターニングデバイスMAにおける放射ビーム21の反射時に、パターン付きビーム26が形成され、及びパターン付きビーム26は、投影システムPSによって、反射要素28、30を介して、基板テーブルWTによって保持される基板W上に結像される。
[00243] 一般に、図示されるよりも多くの要素が、照明光学系ユニットIL及び投影システムPS内に存在し得る。格子スペクトルフィルタ240が、リソグラフィ装置のタイプに応じて、任意選択的に存在してもよい。さらに、図面に示されるミラーよりも多くのミラーが存在してもよく、例えば、図25に示されるよりも1~6個の追加の反射要素が、投影システムPSに存在してもよい。
[00244] 図25に示されるようなコレクタ系COは、コレクタ(又はコレクタミラー)の単なる一例として、斜入射型リフレクタ253、254、及び255を備えた入れ子式コレクタとして描かれる。斜入射型リフレクタ253、254、及び255は、光軸Oに対して軸対称に配置され、及びこのタイプのコレクタ系COは、好ましくは、DPPソースと呼ばれることが多い、放電生成プラズマ源と組み合わせて使用される。
[00245] 代替的に、ソースコレクタモジュールSOは、図26に示すように、LPP放射システムの一部であってもよい。レーザLAは、レーザエネルギーをキセノン(Xe)、スズ(Sn)、又はリチウム(Li)などの燃料に堆積させ、数十eVの電子温度の高イオン化プラズマ210を生成するように配置される。脱励起及びこれらのイオンの再結合中に生成されるエネルギー放射は、プラズマから放出され、近法線入射コレクタ系COによって収集され、及び閉鎖構造220の開口221上に焦点が合わせられる。
[00246] 本明細書に開示する概念は、サブ波長フィーチャを結像するための一般的結像システムのシミュレーション又は数学的モデル化を行うことができ、及び特に、ますます短くなる波長を生成することが可能な新しい結像技術にとって有用となり得る。既に使用されている新しい技術には、EUV(極端紫外線)、ArFレーザを使用して193nmの波長、及びフッ素レーザを使用して157nmの波長さえ生成可能なDUVリソグラフィが含まれる。また、EUVリソグラフィは、20~5nmの範囲内で光子を生成するために、シンクロトロンを使用することによって、又は材料(固体又はプラズマ)に高エネルギー電子をぶつけることによって、この範囲内の波長を生成することが可能である。
[00247] 実施形態については、以下の条項を使用して更に説明することができる。
1.パターニングプロセスモデルを訓練するための方法であって、上記パターニングプロセスモデルは、パターニングプロセス時に形成されることになるパターンを予測するように構成され、上記方法は、
(i)所望のパターンに関連付けられた像データ、(ii)基板の測定されたパターンであって、上記所望のパターンと関連付けられている、測定されたパターン、(iii)上記パターニングプロセスの一側面に関連付けられた第1のモデルであって、第1のパラメータの組を含む、第1のモデル、及び(iv)上記パターニングプロセスの別の側面に関連付けられた機械学習モデルであって、第2のパラメータの組を含む、機械学習モデル、を取得することと、
上記第1のパラメータの組及び上記第2のパラメータの組の値を反復して決定して、上記パターニングプロセスモデルを訓練することと、を含み、反復には、
上記像データを使用して、上記第1のモデル及び上記機械学習モデルを実行して、上記基板の印刷されるパターンを協働して予測すること、及び
上記パターニングプロセスモデルの上記測定されたパターンと上記予測されたパターンとの間の差が低減されるように、上記第1のパラメータの組及び上記第2のパラメータの組の値を修正すること、が含まれる、方法。
2.上記第1のモデル及び上記機械学習モデルは、畳み込み型深層ニューラルネットワークフレームワークにおいて構成され訓練される、条項1に記載の方法。
3.上記訓練することは、
上記第1のモデル及び上記機械学習モデルの出力の順伝播により、上記印刷されるパターンを予測することと、
上記パターニングプロセスモデルの上記測定されたパターンと上記予測されたパターンとの間の差を決定することと、
上記第1のパラメータの組及び上記第2のパラメータの組に対する上記差の差分を決定することと、
上記差の上記差分に基づいて、上記第1のモデル及び上記機械学習モデルの上記出力の逆伝播により、上記第1のパラメータの組及び上記第2のパラメータの組の値を決定することと、を含む、条項2に記載の方法。
4.上記第1のモデルは、直列又は並列の組み合わせで上記機械学習モデルに接続される、条項1~3の何れか一項に記載の方法。
5.上記モデルの上記直列の組み合わせは、
上記第1のモデルの出力を上記機械学習モデルへの入力として提供することを含む、条項4に記載の方法。
6.上記モデルの上記直列の組み合わせは、
上記機械学習モデルの出力を上記第1のモデルへの入力として提供することを含む、条項4に記載の方法。
7.上記モデルの上記並列の組み合わせは、
同一の入力を上記第1のモデル及び上記機械学習モデルへ提供することと、
上記第1のモデル及び上記機械学習モデルの出力を組み合わせることと、
それぞれのモデルの上記組み合わされた出力に基づいて、上記予測される印刷パターンを決定することと、を含む、条項4に記載の方法。
8.上記第1のモデルは、レジストモデル及び/又は空間モデルである、条項1~7の何れか一項に記載の方法。
9.上記レジストモデルの上記第1のパラメータの組は、
初期の酸分布、
酸の拡散、
像のコントラスト、
長距離パターンローディング効果、
長距離パターンローディング効果、
中和後の酸濃度、
中和後の塩基濃度、
高い酸濃度に起因する拡散、
高い塩基濃度に起因する拡散、
レジスト収縮、
レジスト現像、又は
二次元の凸曲率効果、のうちの少なくとも1つに対応する、条項8に記載の方法。
10.上記第1のモデルは、上記パターニングプロセスの第1の側面の物理的現象を正確にモデル化する経験的モデルである、条項1~9の何れか一項に記載の方法。
11.上記第1のモデルは、上記基板の露光後の酸ベースの拡散に関係した上記第1の側面に対応する、条項1~10の何れか一項に記載の方法。
12.上記機械学習モデルは、物理学に基づく理解が比較的になされていない上記パターニングプロセスの第2の側面をモデル化するニューラルネットワークである、条項1~9の何れか一項に記載の方法。
13.上記第2のパラメータの組は、上記ニューラルネットワークの1つ又は複数の層の重み及びバイアスを含む、条項12に記載の方法。
14.上記パターニングプロセスモデルは、上記パターニングプロセスの露光後プロセスの上記第2の側面に対応する、条項1~13の何れか一項に記載の方法。
15.上記露光後プロセスの上記第1の側面及び/又は上記第2の側面は、レジストベーキング、レジスト現像、及び/又はエッチングを含む、条項1~14の何れか一項に記載の方法。
16.パターニングプロセスに対する光近接効果補正を決定するための方法であって、
所望のパターンに関連付けられた像データを取得することと、
上記像データを使用して、訓練されたパターニングプロセスモデルを実行して、基板上に印刷されることになるパターンを予測することと、
上記パターニングプロセスにかけられる上記基板上に印刷されることになる上記予測されたパターンを使用して、光近接効果補正及び/又は欠陥を決定することと、を含む方法。
17.上記像データは、上記所望のパターンの空間像及び/又はマスク像である、条項16に記載の方法。
18.上記訓練されたパターニングプロセスモデルは、上記パターニングプロセスの第1の側面の第1のモデルと、上記基板上に印刷されることになる上記パターンを団結して予測するように構成された、上記パターニングプロセスの第2の側面の機械学習モデルと、を含む、条項16に記載の方法。
19.上記第1のモデル及び上記機械学習モデルは、直列の組み合わせ及び/又は並列の組み合わせになっている、条項18に記載の方法。
20.上記第1のモデルは、上記パターニングプロセスの露光後プロセスの上記第1の側面の物理的現象を正確にモデル化する経験的モデルである、条項16~19の何れか一項に記載の方法。
21.上記第1のモデルは、上記基板の露光後の酸ベースの拡散に関係した上記第1の側面に対応する、条項16~20の何れか一項に記載の方法。
22.上記機械学習モデルは、物理学に基づく理解が比較的になされていない上記パターニングプロセスの上記第2の側面をモデル化するニューラルネットワークである、条項16~21の何れか一項に記載の方法。
23.上記光近接効果補正を上記決定することは、
上記予測されたパターンと所望のパターンとの間の差が低減されるように、上記所望のパターンを調節すること、及び/又は上記所望のパターンの周りにアシストフィーチャを配置すること、を含む、条項16~22の何れか一項に記載の方法。
24.上記欠陥を上記決定することは、
上記予測されたパターンに対して、リソグラフィ製造可能性チェックを実施することを含む、条項16~22の何れか一項に記載の方法。
25.エッチングプロセスに関係したエッチングバイアスを決定するように構成された機械学習モデルを訓練するための方法であって、
(i)基板上に印刷されることになるターゲットパターンに関連付けられたレジストパターンデータ、(ii)上記ターゲットパターンに対する上記エッチングプロセスの効果を特徴付ける物理的効果データ、(iii)レジストパターンと印刷された基板上に形成されたエッチングパターンとの間の測定されたバイアス、を取得することと、
上記レジストパターンデータ、上記物理的効果データ、及び上記測定されたバイアスに基づいて、上記機械学習モデルを訓練して、上記測定されたバイアスと上記予測されたエッチングバイアスとの間の差を低減することと、を含む方法。
26.上記機械学習モデルは、上記機械学習モデルの第1の層において上記レジストパターンデータを受け取るように構成され、上記物理的効果データは、上記機械学習モデルの最後の層において受け取られる、条項25に記載の方法。
27.上記最後の層の出力は、(i)入力として上記レジストパターンデータを使用して上記機械学習モデルを実行することにより予測された上記エッチングバイアスと、(ii)上記エッチングプロセスに関係した上記物理的効果データに基づいて決定された別のエッチングバイアスとの、線形の組み合わせである、条項26に記載の方法。
28.上記最後の層の上記出力は、エッチングバイアスマップであり、上記エッチングバイアスマップから上記エッチングバイアスが抽出され、上記エッチングバイアスマップは、
入力として上記レジストパターンデータを使用して上記機械学習モデルを実行してエッチングバイアスマップを出力することであって、上記エッチングバイアスマップはバイアスをかけられたレジストパターンを含む、こと、及び、
上記エッチングバイアスマップを上記物理的効果データと組み合わせること、により、生成される、条項27に記載の方法。
29.上記機械学習モデルは、上記機械学習モデルの上記第1の層において、上記レジストパターンデータ及び上記物理的効果データを受け取るように構成される、条項28に記載の方法。
30.上記機械学習モデルを上記訓練することは、
(a)入力として上記レジストパターンデータ及び上記物理的効果データを使用して上記機械学習モデルを実行することにより、上記エッチングバイアスを予測することと、
(b)上記測定されたバイアスと上記予測されたエッチングバイアスとの間の上記差を決定することと、
(c)上記機械学習モデルのモデルパラメータに関する上記差の勾配を決定することと、
(d)上記勾配をガイドとして使用して、上記測定されたバイアスと上記予測されたエッチングバイアスとの間の上記差が低減されるように、モデルパラメータの値を調節することと、
(e)上記差が最小化されたか又は訓練閾値を超えたかを判断することと、
(f)上記差が最小化されていないか又は上記訓練閾値が超えられていないことに応答して、ステップ(a)~(e)を実施することと、を含む反復プロセスである、条項25~29の何れか一項に記載の方法。
31.上記レジストパターンデータを上記取得することは、
上記基板上に印刷されることになる上記ターゲットパターンを使用して、上記パターニングプロセスのレジストモデルを含む1つ又は複数のプロセスモデルを実行すること、を含む、条項25~30の何れか一項に記載の方法。
32.上記レジストパターンデータはレジスト像として表され、上記レジスト像はピクセル化された像である、条項25~31の何れか一項に記載の方法。
33.上記物理的効果データは、エッチング効果を特徴付けるエッチング項に関係したデータであり、上記エッチング項は、
上記ターゲットパターンに関連付けられた上記レジストパターンのトレンチ内部のプラズマの濃度、
上記基板のレジスト層の上部上のプラズマの濃度、
上記レジストパターンを特定のモデルパラメータを有するGaussian Kernelと畳み込むことにより決定されるローディング効果、
上記エッチングプロセス中の上記レジストパターンに対する上記ローディング効果の変化、
上記基板上の隣接パターンに対する上記レジストパターンの相対位置、
上記レジストパターンのアスペクト比、又は
2つ以上のエッチングプロセスパラメータの複合効果に関係した項、のうちの少なくとも1つを含む、条項25~32の何れか一項に記載の方法。
34.上記物理的効果データを上記取得することは、
上記エッチング項の1つ又は複数と、上記エッチング項の上記1つ又は複数のそれぞれに対して規定されたGaussian kernelと、を含む、物理的効果モデルを実行すること、を含む、条項25~33の何れか一項に記載の方法。
35.上記物理的効果データは、ピクセル化された像として表され、各ピクセル強度は、上記ターゲットパターンに関連付けられた上記レジストパターンに対する物理的効果を示す、条項25~34の何れか一項に記載の方法。
36.上記レジストパターンのレジストコンターを取得することと、
上記レジストコンターに上記エッチングバイアスを適用することにより、エッチングコンターを生成することと、を更に含む、条項25~35に記載の方法。
37.エッチングプロセスに関係したエッチングバイアスを決定するためのシステムであって、
半導体処理装置、及び
プロセッサであって、
物理的効果モデルを実行することにより、基板に対する上記エッチングプロセスの効果を特徴付ける物理的効果データを決定し、
入力として上記レジストパターン及び上記物理的効果データを使用して、訓練された機械学習モデルを実行して、上記エッチングバイアスを決定し、
上記エッチングバイアスに基づいて、上記半導体装置又は上記エッチングプロセスを制御する、ように構成されたプロセッサ、を含む、システム。
38.上記訓練された機械学習モデルは、上記測定されたバイアスと上記決定されたエッチングバイアスとの間の差が最小化されるように、複数のレジストパターン、上記複数のレジストパターンの各レジストパターンに関連付けられた上記物理的効果データ、及び各レジストパターンに関連付けられた上記測定されたバイアス、を使用して訓練される、条項37に記載のシステム。
39.上記訓練された機械学習モデルは、特定の重み及びバイアスを含む畳み込み型ニューラルネットワーク(CNN)であり、上記CNNの上記重み及びバイアスは、上記測定されたバイアスと上記決定されたエッチングバイアスとの間の差が最小化されるように、上記複数のレジストパターン、上記複数のレジストパターンの各レジストパターンに関連付けられた上記物理的効果データ、及び各レジストパターンに関連付けられた上記測定されたバイアス、を使用する訓練プロセスを介して、決定される、条項37~38の何れか一項に記載のシステム。
40.上記半導体処理装置の上記制御は、
上記パターニングプロセスの歩留まりが改善されるように、上記半導体装置の1つ又は複数のパラメータの値を調節すること、を含む、条項37~39の何れか一項に記載のシステム。
41.上記半導体処理装置の上記1つ又は複数のパラメータの値を上記調節することは、
(a)上記半導体処理装置の調節機構を介して、上記1つ又は複数のパラメータの現在の値を変更することと、
(b)上記半導体処理装置を介して、基板上に印刷された上記レジストパターンを取得することと、
(c)上記レジストパターンを使用して上記訓練された機械学習モデルを実行することにより、上記エッチングバイアスを決定すること、及び、更に、上記エッチングバイアスを上記レジストパターンに適用することにより、上記エッチングパターンを決定することと、
(d)上記エッチングパターンに基づいて、上記パターニングプロセスの上記歩留まりが所望の歩留まり範囲内にあるかどうかを判断することと、
上記歩留まり範囲内にはないことに応答して、ステップ(a)~(d)を実施することと、を含む反復プロセスである、条項40に記載のシステム。
42.上記エッチングプロセスの上記制御は、
上記エッチングバイアスを上記レジストパターンに適用することにより、上記エッチングパターンを決定することと、
上記エッチングパターンに基づいて、上記パターニングプロセスの上記歩留まりを決定することと、
上記パターニングプロセスの上記歩留まりが改善されるように、上記エッチングパターンに基づいて、上記エッチングプロセスのエッチングレシピを決定することと、を含む、条項37~41の何れか一項に記載のシステム。
43.上記パターニングプロセスの上記歩留まりは、設計仕様を満たす上記基板全体のエッチングパターンのパーセンテージである、条項37~42の何れか一項に記載のシステム。
44.上記半導体処理装置はリソグラフィ装置である、条項37~43の何れか一項に記載のシステム。
45.プロセスモデルを較正するための方法であって、上記プロセスモデルはシミュレートされたコンターを生成するように構成され、上記方法は、
(i)パターン上の複数の測定位置における測定データ、(ii)上記測定データに基づいて規定されるコンター制約、を取得することと、
上記シミュレートされたコンターが上記コンター制約を満たすまで、上記プロセスモデルのモデルパラメータの値を調節することにより、上記プロセスモデルを較正することと、を含む、方法。
46.上記複数の測定位置は、印刷されたパターン上又は上記印刷されたパターンの印刷されたコンター上に配置されたエッジ配置(EP)ゲージである、条項45に記載の方法。
47.上記測定データは、複数の角度を含み、各角度は、上記パターン上又は上記印刷されたパターンの上記印刷されたコンター上に配置された各測定位置において定義される、条項45~46の何れか一項に記載の方法。
48.各測定位置における各角度は、上記印刷されたコンターとターゲットコンターとの間のエッジ配置誤差を決定する方向を定義する、条項47に記載の方法。
49.各コンター制約は、所与の測定位置における上記シミュレートされたコンターへの接線と、上記所与の位置での上記測定データの上記角度との間の接線角度の関数である、条項45~48の何れか一項に記載の方法。
50.上記モデルパラメータの上記値を調節することは、
(a)上記モデルパラメータの所与の値を使用して上記プロセスモデルを実行して、上記シミュレートされたコンターを生成することであって、上記所与の値は、最初の反復ではランダムな値であり、後に続く反復では調節された値である、ことと、
(c)上記測定位置のそれぞれにおいて、上記シミュレートされたコンターの接線を決定することと、
(d)上記測定位置のそれぞれにおいて、上記接線と上記測定データの上記角度との間の接線角度を決定することと、
(e)上記測定位置の1つ又は複数において、上記接線角度が垂直範囲内にあるかどうかを判断することと、
(f)上記接線角度が垂直範囲内にないことに応答して、上記モデルパラメータの上記値を調節し、ステップ(a)~(d)を実施することと、を含む、反復プロセスである、条項45~49の何れか一項に記載の方法。
51.上記垂直範囲は、88°~92°の間の角度の値、好ましくは90°である、条項45~50の何れか一項に記載の方法。
52.上記調節することは、上記モデルパラメータに関する各接線角度の勾配に基づいており、上記勾配は、上記接線角度が、モデルパラメータ値の変化に対してどの位敏感であるかを示す、条項45~51の何れか一項に記載の方法。
53.上記プロセスモデルは、経験的なモデル及び/又は機械学習モデルを含む、データ駆動型のモデルである、条項45~52の何れか一項に記載の方法。
54.上記機械学習モデルは畳み込み型ニューラルネットワークであり、上記モデルパラメータは、複数の層に関連付けられた重み及びバイアスである、条項45~53の何れか一項に記載の方法。
55.ターゲットパターンの像を予測するように構成されたプロセスモデルを較正するための方法であって、
(i)上記ターゲットパターンに関連付けられた基準像、(ii)上記基準像に関して規定される勾配制約、を取得することと、
(i)シミュレートされた像と上記基準像との間の強度差又は周波数差を最小化し、(ii)上記勾配制約を満たす、上記シミュレートされた像を上記プロセスモデルが生成するように、上記プロセスモデルを較正することと、を含む、方法。
56.上記プロセスモデルの上記較正は、
(a)上記ターゲットパターンを使用して上記プロセスモデルを実行して、上記シミュレートされた像を生成することと、
(b)上記シミュレートされた像の強度値と上記基準像の強度値との間の上記強度差を決定すること、及び/又は、上記シミュレートされた像及び上記基準像を、Fourier変換を介して周波数ドメインに変換すること、及び上記シミュレートされた像に関係した周波数と上記基準像に関係した周波数との間の上記周波数差を決定することと、
(c)上記シミュレートされた像内の信号のシミュレートされた勾配を決定することであって、上記信号は、シミュレートされた像を通過する所与の線に沿った信号である、ことと、
(d)条件:(i)上記強度差又は上記周波数差が最小化される、(ii)上記シミュレートされた勾配が、上記基準像に関連付けられた上記勾配制約を満たす、かどうか判断することと、
(e)条件(i)及び(ii)が満たされていないことに応答して、上記プロセスモデルのモデルパラメータの値を調節すること、及び上記条件(i)及び(ii)が満たされるまで、ステップ(a)~(d)を実施することと、を含む反復プロセスである、条項55に記載の方法。
57.上記シミュレートされた勾配は、上記シミュレートされた像を通過する上記所与の線に沿って上記信号の一次導関数を取得することにより、決定される、条項55~56の何れか一項に記載の方法。
58.上記勾配制約は、上記基準像を通過する上記所与の線に沿って信号の一次導関数を取ることによって取得される、条項55~57の何れか一項に記載の方法。
59.上記シミュレートされた像からシミュレートされたコンターを抽出し、上記基準像から基準コンターを抽出することであって、上記シミュレートされたコンター及び上記基準コンターは上記ターゲットパターンに関連付けられている、ことと、
上記シミュレートされたコンターがコンター形状制約を満たすように、上記プロセスモデルを較正することであって、上記コンター形状制約は、上記シミュレートされたコンターが上記基準コンターの形状と一致することを確実にする、ことと、を更に含む、条項55~58の何れか一項に記載の方法。
60.上記コンター形状制約が満たされているかどうかを判断することは、
上記シミュレートされたコンターの2次導関数が、上記基準コンターの2次導関数の所望の範囲内にあることを判断することを含む、条項55~59の何れか一項に記載の方法。
61.上記基準像は、上記ターゲットパターンを使用してパターニングプロセスの物理学ベースのモデルをシミュレートすることにより取得され、上記基準像は、
上記ターゲットパターンの空間像、
上記ターゲットパターンのレジスト像、及び/又は、
上記ターゲットパターンのエッチング像、を含む、条項55~60の何れか一項に記載の方法。
62.上記プロセスモデルは、印刷された基板上のパターンの印刷されたコンターに関して定義されるコンター制約を満たすように構成される、条項55~61の何れか一項に記載の方法。
63.各コンター制約は、所与の測定位置におけるシミュレートされたコンターへの接線と、上記所与の位置における上記測定データの上記角度との間の接線角度の関数になり、ここで、上記シミュレートされたコンターは、上記ターゲットパターンを使用した上記プロセスモデルの実行を介して決定される、上記シミュレートされたパターンのコンターである、条項55~62の何れか一項に記載の方法。
64.プロセスモデルを較正するためのシステムであって、上記プロセスモデルはシミュレートされたコンターを生成するように構成され、上記システムは、
パターン上の複数の測定位置において測定データを取得するように構成されたメトロロジツールと、
プロセッサであって、
上記シミュレートされたコンターが上記コンター制約を満たすまで、上記プロセスモデルのモデルパラメータの値を調節することにより、上記プロセスモデルを較正するように構成されたプロセッサと、を含み、上記コンター制約は、上記測定データに基づいている、システム。
65.上記複数の測定位置は、印刷されたパターン上又は上記印刷されたパターンの印刷されたコンター上に配置されたエッジ配置(EP)ゲージである、条項64に記載のシステム。
66.上記測定データは、複数の角度を含み、各角度は、上記パターン上又は上記印刷されたパターンの上記印刷されたコンター上に配置された各測定位置において、定義される、条項64~65の何れか一項に記載のシステム。
67.各測定位置における各角度は、上記印刷されたコンターとターゲットコンターとの間のエッジ配置誤差を決定する方向を定義する、条項66に記載のシステム。
68.各コンター制約は、所与の測定位置における上記シミュレートされたコンターへの接線と、上記所与の位置での上記測定データの上記角度との間の接線角度の関数である、条項64~67の何れか一項に記載のシステム。
69.上記モデルパラメータの上記値を上記調節することは、
(a)上記モデルパラメータの所与の値を使用して上記プロセスモデルを実行して、上記シミュレートされたコンターを生成することであって、上記所与の値は、最初の反復ではランダムな値であり、後に続く反復では調節された値である、ことと、
(c)上記測定位置のそれぞれにおいて、上記シミュレートされたコンターの接線を決定することと、
(d)上記測定位置のそれぞれにおいて、上記接線と上記測定データの上記角度との間の接線角度を決定することと、
(e)上記測定位置の1つ又は複数において、上記接線角度が垂直範囲内にあるかどうかを判断することと、
(f)上記接線角度が垂直範囲内にないことに応答して、上記モデルパラメータの上記値を調節し、ステップ(a)~(d)を実施することと、を含む、反復プロセスである、条項64~68の何れか一項に記載のシステム。
70.上記垂直範囲は、88°~92°の間の角度の値、好ましくは90°である、条項64~69の何れか一項に記載のシステム。
71.上記調節することは、上記モデルパラメータに関する各接線角度の勾配に基づいており、上記勾配は、上記接線角度が、モデルパラメータ値の変化に対してどの位敏感であるかを示す、条項64~70の何れか一項に記載のシステム。
72.上記プロセスモデルは、経験的なモデル及び/又は機械学習モデルを含む、データ駆動型のモデルである、条項64~71の何れか一項に記載のシステム。
73.上記機械学習モデルは畳み込み型ニューラルネットワークであり、上記モデルパラメータは、複数の層に関連付けられた重み及びバイアスである、条項64~72の何れか一項に記載のシステム。
74.上記メトロロジツールは電子ビーム装置である、条項64~73の何れか一項に記載のシステム。
75.上記メトロロジツールは、印刷された基板上のパターンのキャプチャされた像からコンターを識別及び抽出するように構成された、走査電子顕微鏡である、条項64~74の何れか一項に記載のシステム。
76.ターゲットパターンの像を予測するように構成されたプロセスモデルを較正するためのシステムであって、
上記ターゲットパターンに関連付けられた基準像を取得するように構成されたメトロロジツールと、
プロセッサであって、
(i)シミュレートされた像と上記基準像との間の強度差又は周波数差を最小化し、(ii)上記基準像に関係した勾配制約を満たす、上記シミュレートされた像を上記プロセスモデルが生成するように、上記プロセスモデルを較正する、ように構成されたプロセッサと、を含むシステム。
77.上記プロセスモデルの上記較正は、
(a)上記ターゲットパターンを使用して上記プロセスモデルを実行して、上記シミュレートされた像を生成することと、
(b)上記シミュレートされた像の強度値と上記基準像の強度値との間の上記強度差を決定すること、及び/又は、上記シミュレートされた像及び上記基準像を、Fourier変換を介して周波数ドメインに変換すること、及び上記シミュレートされた像に関係した周波数と上記基準像に関係した周波数との間の上記周波数差を決定することと、
(c)上記シミュレートされた像内の信号のシミュレートされた勾配を決定することであって、上記信号は、シミュレートされた像を通過する所与の線に沿った信号である、ことと、
(d)条件:(i)上記強度差又は上記周波数差が最小化される、(ii)上記シミュレートされた勾配が、上記基準像に関連付けられた上記勾配制約を満たす、かどうか判断することと、
(e)条件(i)及び(ii)が満たされていないことに応答して、上記プロセスモデルのモデルパラメータの値を調節すること、及び上記条件(i)及び(ii)が満たされるまで、ステップ(a)~(d)を実施することと、を含む反復プロセスである、条項76に記載のシステム。
78.上記シミュレートされた勾配は、上記シミュレートされた像を通過する上記所与の線に沿って上記信号の一次導関数を取ることにより、決定される、条項76~77の何れか一項に記載のシステム。
79.上記勾配制約は、上記基準像を通過する上記所与の線に沿って信号の一次導関数を取ることによって取得される、条項76~78の何れか一項に記載のシステム。
80.上記プロセッサは、更に、
上記シミュレートされた像からシミュレートされたコンターを抽出し、上記基準像から基準コンターを抽出するように構成され、ここで、上記シミュレートされたコンター及び上記基準コンターは上記ターゲットパターンに関連付けられており、
上記シミュレートされたコンターがコンター形状制約を満たすように、上記プロセスモデルを較正するように構成され、ここで、上記コンター形状制約は、上記シミュレートされたコンターが上記基準コンターの形状と一致することを確実にする、条項76~79の何れか一項に記載のシステム。
81.上記コンター形状制約が満たされているかどうかを判断することは、
上記シミュレートされたコンターの2次導関数が、上記基準コンターの2次導関数の所望の範囲内にあることを判断することを含む、条項76~80の何れか一項に記載のシステム。
82.上記基準像は、上記ターゲットパターンを使用してパターニングプロセスの物理学ベースのモデルをシミュレートすることにより取得され、上記基準像は、
上記ターゲットパターンの空間像、
上記ターゲットパターンのレジスト像、及び/又は、
上記ターゲットパターンのエッチング像、を含む、条項76~81の何れか一項に記載のシステム。
83.上記プロセスモデルは、印刷された基板上のパターンの印刷されたコンターに関して定義されるコンター制約を満たすように構成される、条項76~82の何れか一項に記載のシステム。
84.各コンター制約は、所与の測定位置におけるシミュレートされたコンターへの接線と、上記所与の位置における上記測定データの上記角度との間の接線角度の関数になり、ここで、上記シミュレートされたコンターは、上記ターゲットパターンを使用した上記プロセスモデルの実行を介して決定される、上記シミュレートされたパターンのコンターである、条項76~83の何れか一項に記載のシステム。
85.命令を含む非一時的なコンピュータ可読媒体であって、上記命令は、1つ又は複数のプロセッサによって実行されると、
(i)基板上に印刷されることになるターゲットパターンに関連付けられたレジストパターンデータ、(ii)上記ターゲットパターンに対するエッチングプロセスの効果を特徴付ける物理的効果データ、(iii)レジストパターンと印刷された基板上に形成されたエッチングパターンとの間の測定されたバイアス、を取得することと、
上記レジストパターンデータ、上記物理的効果データ、及び上記測定されたバイアスに基づいて、上記機械学習モデルを訓練して、上記測定されたバイアスと上記予測されたエッチングバイアスとの間の差を低減することと、を含む動作を引き起こす、非一時的なコンピュータ可読媒体。
86.命令を含む非一時的なコンピュータ可読媒体であって、上記命令は、1つ又は複数のプロセッサによって実行されると、
(i)パターン上の複数の測定位置における測定データ、(ii)上記測定データに基づいて規定されるコンター制約、を取得することと、
上記シミュレートされたコンターが上記コンター制約を満たすまで、上記プロセスモデルのモデルパラメータの値を調節することにより、プロセスモデルを較正することと、を含む動作を引き起こす、非一時的なコンピュータ可読媒体。
87.命令を含む非一時的なコンピュータ可読媒体であって、上記命令は、1つ又は複数のプロセッサによって実行されると、
(i)ターゲットパターンに関連付けられた基準像、(ii)上記基準像に関して規定される勾配制約、を取得することと、
(i)シミュレートされた像と上記基準像との間の強度差又は周波数差を最小化し、(ii)上記勾配制約を満たす、上記シミュレートされた像をプロセスモデルが生成するように、上記プロセスモデルを較正することと、を含む動作を引き起こす、非一時的なコンピュータ可読媒体。
[00248] 本明細書に開示する概念は、シリコンウェーハなどの基板上の結像のために使用され得るが、開示した概念は、あらゆるタイプのリソグラフィ結像システム(例えば、シリコンウェーハ以外の基板上の結像に使用されるもの)に使用され得ることが理解されるものとする。
[00249] 上記の記載は、説明のためのものであり、限定するものではないことが意図される。従って、当業者には、以下に記載される請求項の範囲から逸脱することなく、説明したように、変更が行われ得ることが明らかとなるだろう。

Claims (15)

  1. パターニングプロセスモデルを訓練するための方法であって、前記パターニングプロセスモデルは、パターニングプロセス時に形成されることになるパターンを予測するように構成され、前記方法は、
    (i)所望のパターンに関連付けられた像データ、(ii)基板の測定されたパターンであって、前記所望のパターンと関連付けられている、測定されたパターン、(iii)前記パターニングプロセスの一側面に関連付けられた第1のモデルであって、第1のパラメータの組を含む、第1のモデル、及び(iv)前記パターニングプロセスの別の側面に関連付けられた機械学習モデルであって、第2のパラメータの組を含む、機械学習モデル、を取得することと、
    前記第1のパラメータの組及び前記第2のパラメータの組の値を反復して決定して、前記パターニングプロセスモデルを訓練することと、を含み、反復には、
    前記像データを使用して、前記第1のモデル及び前記機械学習モデルを実行して、前記基板の印刷されるパターンを協働して予測すること、及び
    前記パターニングプロセスモデルの前記測定されたパターン及び前記予測されたパターンに基づいて、前記第1のパラメータの組及び前記第2のパラメータの組の値を修正すること、が含まれる、方法。
  2. 前記第1のモデル及び前記機械学習モデルは、畳み込み型深層ニューラルネットワークフレームワークにおいて構成され訓練される、請求項1に記載の方法。
  3. 前記訓練することは、
    前記第1のモデル及び前記機械学習モデルの出力の順伝播により、前記印刷されるパターンを予測することと、
    前記パターニングプロセスモデルの前記測定されたパターンと前記予測されたパターンとの間の差を決定することと、
    前記第1のパラメータの組及び前記第2のパラメータの組に対する前記差の差分を決定することと、
    前記差の前記差分に基づいて、前記第1のモデル及び前記機械学習モデルの前記出力の逆伝播により、前記第1のパラメータの組及び前記第2のパラメータの組の値を決定することと、を含む、請求項2に記載の方法。
  4. 前記第1のモデルは、直列の組み合わせ又は並列の組み合わせで前記機械学習モデルに結合される、請求項1に記載の方法。
  5. 前記第1のモデルと前記機械学習モデルとの前記直列の組み合わせは、
    前記第1のモデルの出力を前記機械学習モデルへの入力として提供することを含む、請求項4に記載の方法。
  6. 前記第1のモデルと前記機械学習モデルとの前記直列の組み合わせは、前記機械学習モデルの出力を前記第1のモデルへの入力として提供することを含む、請求項4に記載の方法。
  7. 前記第1のモデルと前記機械学習モデルとの前記並列の組み合わせは、
    同一の入力を前記第1のモデル及び前記機械学習モデルへ提供することと、
    前記第1のモデル及び前記機械学習モデルの出力を合成することと、
    それぞれのモデルの前記合成された出力に基づいて、前記予測される印刷パターンを決定することと、を含む、請求項4に記載の方法。
  8. 前記第1のモデルは、レジストモデル及び/又は空間像モデルである、請求項1に記載の方法。
  9. 前記レジストモデルの前記第1のパラメータの組は、
    初期の酸分布、
    酸の拡散、
    像のコントラスト、
    長距離パターンローディング効果、
    長距離パターンローディング効果、
    中和後の酸濃度、
    中和後の塩基濃度、
    高い酸濃度に起因する拡散、
    高い塩基濃度に起因する拡散、
    レジスト収縮、
    レジスト現像、又は
    二次元の凸曲率効果、のうちの少なくとも1つに対応する、請求項8に記載の方法。
  10. 前記第1のモデルは、前記パターニングプロセスの第1の側面の物理的現象をモデル化する経験的モデルである、請求項1に記載の方法。
  11. 前記第1のモデルは、前記基板の露光後の酸ベースの拡散に関係した前記第1の側面に対応する、請求項10に記載の方法。
  12. 前記機械学習モデルは、前記パターニングプロセスの第2の側面をモデル化するニューラルネットワークである、請求項1に記載の方法。
  13. 前記第2のパラメータの組は、前記ニューラルネットワークの1つ又は複数の層の重み及びバイアスを含む、請求項12に記載の方法。
  14. 前記パターニングプロセスモデルは、前記パターニングプロセスの露光後プロセスの前記第2の側面に対応する、請求項13に記載の方法。
  15. 前記露光後プロセスの前記第1の側面及び/又は前記第2の側面は、レジストベーキング、レジスト現像、及び/又はエッチングを含む、請求項14に記載の方法。
JP2023054693A 2019-03-25 2023-03-30 パターニングプロセスにおいてパターンを決定するための方法 Pending JP2023089034A (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201962823029P 2019-03-25 2019-03-25
US62/823,029 2019-03-25
US201962951097P 2019-12-20 2019-12-20
US62/951,097 2019-12-20
JP2021551938A JP7256287B2 (ja) 2019-03-25 2020-03-05 パターニングプロセスにおいてパターンを決定するための方法
PCT/EP2020/055785 WO2020193095A1 (en) 2019-03-25 2020-03-05 Method for determining pattern in a patterning process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021551938A Division JP7256287B2 (ja) 2019-03-25 2020-03-05 パターニングプロセスにおいてパターンを決定するための方法

Publications (1)

Publication Number Publication Date
JP2023089034A true JP2023089034A (ja) 2023-06-27

Family

ID=69784422

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021551938A Active JP7256287B2 (ja) 2019-03-25 2020-03-05 パターニングプロセスにおいてパターンを決定するための方法
JP2023054693A Pending JP2023089034A (ja) 2019-03-25 2023-03-30 パターニングプロセスにおいてパターンを決定するための方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021551938A Active JP7256287B2 (ja) 2019-03-25 2020-03-05 パターニングプロセスにおいてパターンを決定するための方法

Country Status (6)

Country Link
US (1) US20220179321A1 (ja)
JP (2) JP7256287B2 (ja)
KR (1) KR20210130784A (ja)
CN (1) CN113892059A (ja)
TW (2) TWI747212B (ja)
WO (1) WO2020193095A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220001262A (ko) * 2020-06-29 2022-01-05 삼성전자주식회사 반도체 공정의 근접 보정 방법
US11900042B2 (en) * 2020-11-12 2024-02-13 Synopsys, Inc. Stochastic-aware lithographic models for mask synthesis
US20240004309A1 (en) * 2020-12-21 2024-01-04 Asml Netherlands B.V. A method of monitoring a lithographic process
EP4030236A1 (en) * 2021-01-18 2022-07-20 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
IL306140A (en) * 2021-03-30 2023-11-01 Asml Netherlands Bv System and method for determining local focus points during testing in a charged particle system
EP4105746A1 (en) * 2021-06-17 2022-12-21 Saint-Gobain Glass France Method for adjusting parameters of a coating process to manufacture a coated transparent substrate
CN117597627A (zh) * 2021-07-06 2024-02-23 Asml荷兰有限公司 确定局部化图像预测误差以改进预测图像的机器学习模型
WO2023088649A1 (en) * 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023198381A1 (en) * 2022-04-14 2023-10-19 Asml Netherlands B.V. Methods of metrology and associated devices
WO2024020363A1 (en) * 2022-07-18 2024-01-25 Syncell (Taiwan) Inc. Training ai model for a microscope-based pattern photoillumination system
WO2024041831A1 (en) * 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes
CN115598937B (zh) * 2022-12-13 2023-04-07 华芯程(杭州)科技有限公司 一种光刻掩膜形状预测方法及装置、电子设备

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
KR100512450B1 (ko) 1996-12-24 2006-01-27 에이에스엠엘 네델란즈 비.브이. 두개의물체홀더를가진이차원적으로안정화된위치설정장치와이런위치설정장치를구비한리소그래픽장치
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
JP4954211B2 (ja) 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
JP5395340B2 (ja) * 2007-08-06 2014-01-22 株式会社東芝 プロセスモデル作成方法、プロセスモデル作成プログラム及びパターン補正方法
JP5178257B2 (ja) * 2008-03-13 2013-04-10 キヤノン株式会社 パラメータ決定方法、露光方法、デバイス製造方法及びプログラム
JP2010122438A (ja) 2008-11-19 2010-06-03 Nec Electronics Corp リソグラフィシミュレーションモデルの検証方法、検証プログラム及び検証装置
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US8473271B2 (en) * 2010-03-12 2013-06-25 Synopsys, Inc. Fast photolithography process simulation to predict remaining resist thickness
JP6610278B2 (ja) 2016-01-18 2019-11-27 富士通株式会社 機械学習装置、機械学習方法及び機械学習プログラム
KR102350572B1 (ko) * 2016-02-22 2022-01-11 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
WO2017171891A1 (en) * 2016-04-02 2017-10-05 Intel Corporation Systems, methods, and apparatuses for modeling reticle compensation for post lithography processing using machine learning algorithms
EP3336608A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method and apparatus for image analysis
WO2018153866A1 (en) * 2017-02-24 2018-08-30 Asml Netherlands B.V. Methods of determining process models by machine learning
US10546085B2 (en) * 2017-04-12 2020-01-28 Anchor Semiconductor Inc. Pattern centric process control
US20200380362A1 (en) * 2018-02-23 2020-12-03 Asml Netherlands B.V. Methods for training machine learning model for computation lithography
US10579764B2 (en) * 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks

Also Published As

Publication number Publication date
TWI790792B (zh) 2023-01-21
JP2022524970A (ja) 2022-05-11
CN113892059A (zh) 2022-01-04
TW202316203A (zh) 2023-04-16
JP7256287B2 (ja) 2023-04-11
TWI747212B (zh) 2021-11-21
TW202206950A (zh) 2022-02-16
US20220179321A1 (en) 2022-06-09
WO2020193095A1 (en) 2020-10-01
KR20210130784A (ko) 2021-11-01
TW202043938A (zh) 2020-12-01

Similar Documents

Publication Publication Date Title
JP7256287B2 (ja) パターニングプロセスにおいてパターンを決定するための方法
US11354484B2 (en) Failure model for predicting failure due to resist layer
CN107430347B (zh) 图像对数斜率(ils)优化
US11768442B2 (en) Method of determining control parameters of a device manufacturing process
KR102182005B1 (ko) 레지스트 변형을 결정하는 방법들
KR20210117332A (ko) 프린트된 패턴들의 확률적 변동을 결정하는 방법
US11669019B2 (en) Method for determining stochastic variation associated with desired pattern
CN113924525A (zh) 用于确定对掩模的特征的校正的方法
CN113508338A (zh) 用于表征半导体器件的制造工艺的方法
CN112969971A (zh) 器件的制造过程中的方法、非暂态计算机可读介质和被配置为执行该方法的系统
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
CN114514476A (zh) 用于确定视场设定的方法
KR20230004633A (ko) 확률적 기여자를 예측하는 방법
TWI839039B (zh) 用於訓練機器學習模型之方法及微影方法
TWI837495B (zh) 器件製造程序中的方法
EP3910418A1 (en) Method for direct decomposition of stochastic contributors
TW202409967A (zh) 在器件之製造程序中之方法、非暫態電腦可讀取媒體及經組態以執行該方法之系統

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230425

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230425

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240307