KR20210117332A - 프린트된 패턴들의 확률적 변동을 결정하는 방법 - Google Patents

프린트된 패턴들의 확률적 변동을 결정하는 방법 Download PDF

Info

Publication number
KR20210117332A
KR20210117332A KR1020217026997A KR20217026997A KR20210117332A KR 20210117332 A KR20210117332 A KR 20210117332A KR 1020217026997 A KR1020217026997 A KR 1020217026997A KR 20217026997 A KR20217026997 A KR 20217026997A KR 20210117332 A KR20210117332 A KR 20210117332A
Authority
KR
South Korea
Prior art keywords
contour
pattern
images
image
measurements
Prior art date
Application number
KR1020217026997A
Other languages
English (en)
Inventor
창안 왕
알빈 지안지앙 왕
지아오 리앙
젠-쉬앙 왕
무 펭
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210117332A publication Critical patent/KR20210117332A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/10Segmentation; Edge detection
    • G06T7/13Edge detection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/10Segmentation; Edge detection
    • G06T7/181Segmentation; Edge detection involving edge growing; involving edge linking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10016Video; Image sequence
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20212Image combination
    • G06T2207/20216Image averaging
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Abstract

본 명세서에서, 기판 상의 프린트된 패턴의 측정 데이터를 결정하는 방법이 설명된다. 상기 방법은 (ⅰ) 기준 패턴(401)에 대응하는 프린트된 패턴을 포함하는 기판의 원시 이미지들(402), (ⅱ) 원시 이미지들의 평균 이미지(403), 및 (ⅲ) 평균 이미지에 기초한 합성 윤곽(404)을 얻는 단계를 수반한다. 또한, 합성 윤곽은 기준 패턴의 기준 윤곽에 대해 정렬되고, 원시 윤곽들(415)이 정렬된 합성 윤곽 및 합성 윤곽(419)의 다이-대-데이터베이스 정렬의 출력 모두에 기초하여 원시 이미지들로부터 추출된다. 또한, 상기 방법은 원시 윤곽들에 기초하여 복수의 패턴 측정들(425)을 결정하고, 복수의 패턴 측정들에 기초하여 프린트된 패턴들에 대응하는 측정 데이터를 결정한다. 또한, 상기 방법은 확률적 변동, 다이-간 변동, 다이-내 변동 및 총 변동과 같은 복수의 공정 변동들을 결정한다.

Description

프린트된 패턴들의 확률적 변동을 결정하는 방법
본 출원은 2019년 2월 25일에 출원된 미국 출원 62/809,838의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 명세서의 기재내용은 리소그래피 장치들 및 공정들에 관한 것으로, 특히 공정 변동 예측 및 결함 검출을 위해 확률적 변동(stochastic variation)을 모델링하고, 웨이퍼 상의 결함들을 검출하며, 마스크 최적화 및 소스 최적화와 같은 패터닝 공정을 최적화하는 데 사용될 수 있는, 예를 들어 웨이퍼 상의 레지스트 층에서의 프린트된 패턴들의 확률적 변동을 결정하는 툴에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별층에 대응하는 회로 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 회로 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 회로 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 회로 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 회로 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판이 이동된다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 배율 인자(M)(일반적으로 < 1)를 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 인자(M) 배가 될 것이다. 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792로부터 얻을 수 있다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 절차들을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 핀에 연결되는 캐리어 등에 장착될 수 있다.
유의되는 바와 같이, 마이크로리소그래피는 IC의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 심자외선(DUV) 또는 극자외선(EUV) 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수들, 즉 조명 소스(예를 들어, 193 nm DUV 및 13.5 nm EUV 조명 소스)로부터의 방사선의 파장의 절반보다 작은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택되는 방사선의 파장(현재, 대부분의 경우 248 nm 또는 193 nm 또는 13.5 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세-조정 단계들이 리소그래피 투영 장치 및/또는 디자인 레이아웃에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다. 본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처(aperture) 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
일 실시예에서, 기판 상의 프린트된 패턴의 측정 데이터의 확률적 변동을 결정하는 방법이 제공된다. 상기 방법은 (ⅰ) 기판의 복수의 이미지들 -이미지들 각각은 기준 패턴(reference pattern)에 대응하는 프린트된 패턴을 포함함- , (ⅱ) 복수의 이미지들의 평균 이미지, 및 (ⅲ) 평균 이미지에 기초한 프린트된 패턴들의 합성 윤곽(composite contour)을 얻는 단계; 프로세서를 통해, 기준 패턴의 기준 윤곽에 대해 평균 이미지의 합성 윤곽을 정렬하는 단계; 프로세서를 통해, 복수의 원시 이미지들로부터 이미지들 내의 프린트된 패턴들의 윤곽들을 추출하는 단계 -추출은 정렬된 평균 윤곽에 기초함- ; 프로세서를 통해, 복수의 원시 윤곽들에 기초하여 복수의 패턴 측정들을 결정하는 단계 -패턴 측정들은 프린트된 패턴들 및 패터닝 공정 모두의 특성들임- ; 및 프로세서를 통해, 복수의 패턴 측정들에 기초하여 프린트된 패턴들에 대응하는 측정 데이터를 결정하는 단계를 수반한다. 또한, 상기 방법은 확률적 변동, 다이-간 변동, 다이-내 변동 및 총 변동과 같은 복수의 공정 변동들을 결정한다.
또한, 일 실시예에서, 메트롤로지 이미지로부터 윤곽을 추출하도록 구성되는 장치가 제공된다. 상기 장치는 (ⅰ) 프린트된 패턴을 갖는 기판의 메트롤로지 이미지, (ⅱ) 프린트된 패턴의 평균 이미지, (ⅲ) 평균 이미지로부터의 합성 윤곽, (ⅳ) 기준 패턴의 기준 윤곽에 대해 정렬된 합성 윤곽, (ⅴ) 메트롤로지 이미지들로부터의 원시 윤곽들, 및 (ⅵ) 원시 윤곽들에 기초한 프린트된 패턴에 대응하는 측정 통계를 얻도록 구성되는 프로세서를 포함한다.
또한, 일 실시예에서, 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품이 제공되며, 명령어들은 컴퓨터 시스템에 의해 실행될 때 앞서 언급된 방법을 구현한다.
이제, 첨부된 도면들을 참조하여, 단지 예시의 방식으로만 실시예들을 설명할 것이다:
도 1은 일 실시예에 따른 리소그래피 시스템의 다양한 서브시스템들의 블록 다이어그램;
도 2는 일 실시예에 따른 도 1의 서브시스템들에 대응하는 시뮬레이션 모델들의 블록 다이어그램;
도 3은 일 실시예에 따른, 프린트된 기판의 원시 이미지들(예를 들어, SEM)로부터 윤곽들을 추출하고 추출된 윤곽들로부터 측정들을 결정하는 방법의 흐름도;
도 4a는 일 실시예에 따른, 도 3의 방법에 기초하여 결정되는 프린트된 패턴들의 추출된 윤곽들 및 측정 데이터(예를 들어, 확률적 변동)의 일 예시를 나타내는 도면;
도 4b는 일 실시예에 따른 프린트된 패턴의 예시적인 SEM 이미지 및 SEM 이미지 내에서의 신호를 나타내는 도면;
도 4c는 일 실시예에 따른 도 4b의 프린트된 패턴의 평균 이미지 및 평균 이미지 내에서의 신호의 일 예시를 나타내는 도면;
도 5는 일 실시예에 따른 예시적인 프린트된 패턴의 윤곽의 측정들을 결정하는 일 예시를 나타내는 도면;
도 6a는 일 실시예에 따른 예시적인 1-차원(1D) 패턴의 평균 이미지, 1D 패턴의 대응하는 확률적 대역(stochastic band), 및 1D 패턴들의 CD 값들의 분포의 일 예시를 나타내는 도면;
도 6b는 일 실시예에 따른 예시적인 2-차원(2D) 패턴의 평균 이미지, 2D 패턴의 대응하는 확률적 대역, 및 2D 패턴들의 CD 값들의 분포의 일 예시를 나타내는 도면;
도 6c는 일 실시예에 따른 2-차원(2D) 패턴의 또 다른 예시의 평균 이미지, 2D 패턴의 대응하는 확률적 대역, 및 2D 패턴들의 CD 값들의 분포의 일 예시를 나타내는 도면;
도 7a는 일 실시예에 따른, 다이에 걸친 및 상이한 다이들의 프린트된 패턴들을 포함한 예시적인 이미지들 및 총 변동, 즉 σall의 결정을 나타내는 도면;
도 7b는 일 실시예에 따른, 프린트된 패턴들의 다이에 걸친 예시적인 이미지들 및 다이-내 변동, 즉 σintra-die의 결정을 나타내는 도면;
도 7c는 일 실시예에 따른, 단일의 프린트된 패턴의 상이한 다이들 간의 예시적인 이미지들 및 다이-간 변동, 즉 σinter-die의 결정을 나타내는 도면;
도 7d는 일 실시예에 따른, 단일의 프린트된 패턴의 예시적인 이미지 시야(FOV) 및 이미지 시야(FOV)-내 변동, 즉 σsepe의 결정을 나타내는 도면;
도 8a는 일 실시예에 따른 예시적인 다이-대-다이(die-to-die) 이미지 정렬 프로세스를 나타내는 도면;
도 8b는 일 실시예에 따른, 도 8a의 프로세스에 따라 정렬된 이미지들의 공통 영역을 찾기 위한 예시적인 서브-픽셀 시프팅을 나타내는 도면;
도 9a는 일 실시예에 따른 예시적인 다이-대-데이터베이스 이미지 정렬 프로세스를 나타내는 도면;
도 9b는 일 실시예에 따른, 예시적인 추출된 윤곽 및 기준 윤곽 및 도 9a의 프로세스에 따른 다이-대-데이터베이스 정렬 프로세스를 나타내는 도면;
도 10은 일 실시예에 따른 스캐닝 전자 현미경(SEM)의 일 실시예를 개략적으로 도시하는 도면;
도 11은 일 실시예에 따른 전자 빔 검사 장치의 일 실시예를 개략적으로 도시하는 도면;
도 12는 일 실시예에 따른 공동 최적화(joint optimization)의 예시적인 방법론의 측면들을 예시하는 흐름도;
도 13은 일 실시예에 따른 또 다른 최적화 방법의 일 실시예를 나타내는 도면;
도 14a, 도 14b 및 도 15는 일 실시예에 따른 다양한 최적화 프로세스들의 예시적인 흐름도들;
도 16은 일 실시예에 따른 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 17은 일 실시예에 따른 리소그래피 투영 장치의 개략적인 다이어그램;
도 18은 일 실시예에 따른 또 다른 리소그래피 투영 장치의 개략적인 다이어그램;
도 19는 일 실시예에 따른 도 18의 장치의 더 상세한 도면; 및
도 20은 일 실시예에 따른 도 18 및 도 19의 장치의 소스 컬렉터 모듈(SO)의 더 상세한 도면이다.
이제, 당업자가 실시예들을 실시할 수 있게 하도록 실례가 되는 예시로서 제공되는 도면들을 참조하여, 실시예들이 상세하게 설명될 것이다. 특히, 아래의 도면들 및 예시들은 단일 실시예로 범위를 제한하려는 것이 아니며, 설명되거나 예시된 요소들 중 일부 또는 전부의 상호교환에 의해 다른 실시예들이 가능하다. 편리하다면, 동일하거나 유사한 부분들을 지칭하기 위해 도면 전체에 걸쳐 동일한 참조 번호들이 사용될 것이다. 이 실시예들의 소정 요소가 알려진 구성요소들을 사용하여 부분적으로 또는 완전히 구현될 수 있는 경우, 실시예들의 이해에 필요한 이러한 알려진 구성요소들의 부분들만이 설명될 것이며, 이러한 알려진 구성요소들의 다른 부분들의 상세한 설명은 생략되어 실시예들의 설명을 모호하게 하지 않을 것이다. 본 명세서에서, 단일 구성요소를 나타내는 실시예는 제한적인 것으로 간주되어서는 안 되며; 오히려, 본 명세서에서 달리 명시적으로 언급되지 않는 한, 범위는 복수의 동일한 구성요소들을 포함하는 다른 실시예들을 포괄하도록 의도되고, 그 역도 마찬가지이다. 더욱이, 출원인들은 명세서 또는 청구항들의 여하한의 용어가 명시적으로 언급되지 않는 한, 일반적이지 않거나 특별한 의미를 갖는 것을 의도하지 않는다. 또한, 범위는 예시에 의해 본 명세서에서 언급되는 구성요소들에 대한 현재 알려진 및 미래 알려질 균등물들을 포괄한다.
본 명세서에서는, IC의 제조에 대하여 특히 언급되지만, 본 명세서의 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 함을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 타입들의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 리소그래피의 결과들 및/또는 공정들이 더 바람직한 특성들, 예컨대 기판 상의 디자인 레이아웃의 더 높은 투영 정확성, 더 큰 공정 윈도우 등을 갖도록 리소그래피 투영 장치를 조정하는 것을 의미한다.
또한, 리소그래피 투영 장치는 2 이상의 기판 테이블(및/또는 2 이상의 패터닝 디바이스 테이블)을 갖는 타입으로 이루어질 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가적인 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비 작업 단계들이 수행될 수 있다. 트윈 스테이지(twin stage) 리소그래피 투영 장치는, 예를 들어 본 명세서에서 인용참조되는 US 5,969,441에서 설명된다.
앞서 언급된 패터닝 디바이스는 디자인 레이아웃들을 포함하거나 형성할 수 있다. 디자인 레이아웃들은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들은 통상적으로 "임계 치수"(CD)라고 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소 폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목표들 중 하나는 원래 회로 디자인을 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선(diffracted radiation)으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여 회절 방사선만이 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다. 이러한 거울 어레이들에 관한 더 많은 정보는, 예를 들어 미국 특허 제 5,296,891호 및 제 5,523,193호로부터 얻을 수 있으며, 이들은 본 명세서에서 인용참조된다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
간략한 도입부로서, 도 1는 예시적인 리소그래피 투영 장치(10A)를 나타낸다. 주요 구성요소들은 심자외선 엑시머 레이저 소스 또는 극자외선(EUV) 소스를 포함한 다른 형태의 소스일 수 있는 방사선 소스(12A)(앞서 언급된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음); (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하고, 상기 소스(12A)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있는 조명 광학기; 패터닝 디바이스(18A); 및 기판 평면(22A) 상에 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16Ac)이다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(20A)가 기판 평면(22A) 상에 부딪히는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수 NA = sin(Θmax)를 정의한다.
시스템의 최적화 프로세스에서, 시스템의 성능 지수(figure of merit)가 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최소화하는 시스템의 파라미터들(디자인 변수들)의 세트를 발견하는 공정으로 압축된다. 비용 함수는 최적화의 목표에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 소정 특성들의 의도된 값들(예를 들어, 이상적인 값들)에 대한 이러한 특성들[평가 포인트(evaluation point)들]의 편차들의 가중 RMS(root mean square)일 수 있다; 또한, 비용 함수는 이 편차들의 최대값(즉, 가장 심한 편차)일 수도 있다. 본 명세서에서 "평가 포인트들"이라는 용어는 시스템의 여하한의 특성을 포함하는 것으로 폭넓게 해석되어야 한다. 시스템의 디자인 변수들은 시스템 구현의 실용성(practicality)들로 인해 상호의존적이고, 및/또는 유한한 범위로 한정될 수 있다. 리소그래피 투영 장치의 경우, 제약은 흔히 패터닝 디바이스 제조성 디자인 규칙들, 및/또는 조절가능한 범위들과 같은 하드웨어의 물리적 속성들 및 특성들과 관련되며, 평가 포인트들은 기판 상의 레지스트 이미지에 대한 물리적 포인트, 및 도즈 및 포커스와 같은 비-물리적 특성들을 포함할 수 있다.
리소그래피 투영 장치에서, 소스는 조명(즉, 광)을 제공하고; 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 상기 조명을 지향하고 성형한다. "투영 광학기"라는 용어는, 본 명세서에서 방사선 빔의 파면을 변경할 수 있는 여하한의 광학 구성요소를 포함하는 것으로 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 기판 상의 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 가용성(solubility)의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 일반적으로 승인된 미국 특허 출원 일련번호 12/315,849에서 찾아볼 수 있고, 이는 본 명세서에서 그 전문이 인용참조된다. 레지스트 모델은 레지스트 층의 속성들(예를 들어, 노광, PEB 및 현상 시 일어나는 화학 공정들의 효과들)에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 소스, 패터닝 디바이스 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우한다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다.
리소그래피 투영 장치에서 리소그래피를 시뮬레이션하는 예시적인 흐름도가 도 2에 예시된다. 소스 모델(31)이 소스의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(32)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 디자인 레이아웃 모델(35)이 패터닝 디바이스에 의해 형성되는, 또는 패터닝 디바이스 상의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들[주어진 디자인 레이아웃(33)에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함]을 나타낸다. 소스 모델(31), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(35)로부터 에어리얼 이미지(36)가 시뮬레이션될 수 있다. 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 레지스트 이미지(38)가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽들 및 CD들을 예측할 수 있다.
더 명확하게는, 소스 모델(31)은 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상[예를 들어, 환형, 쿼드러폴(quadrupole) 및 다이폴(dipole) 등과 같은 오프-액시스(off-axis) 방사선 소스들]을 포함 -이에 제한되지는 않음- 하는 소스의 광학적 특성들을 나타낼 수 있다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 또한, 디자인 레이아웃 모델(35)은, 예를 들어 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 물리적 패터닝 디바이스의 물리적 특성들을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치들, 에어리얼 이미지 세기 기울기들, 및 CD들을 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 OPC-전 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
이 디자인 레이아웃으로부터, 1 이상의 부분이 식별될 수 있으며, 이는 "클립(clip)"이라고 칭해진다. 일 실시예에서, 클립들의 일 세트가 추출되고, 이는 디자인 레이아웃 내의 복잡한 패턴들을 나타낸다(전형적으로, 약 50 내지 1000 개의 클립들이 사용되지만, 여하한 수의 클립들이 사용될 수 있음). 당업자라면 이해하는 바와 같이, 이 패턴들 또는 클립들은 디자인의 작은 부분들(즉, 회로들, 셀들 또는 패턴들)을 나타내며, 특히 클립들은 특정 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 다시 말하면, 클립들은 경험에 의해(고객에 의해 제공된 클립들을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션 실행에 의해 중요한 피처(critical feature)들이 식별되는 디자인 레이아웃의 부분들일 수 있거나, 또는 디자인 레이아웃의 부분들과 유사할 수 있거나, 또는 디자인 레이아웃의 부분들과 유사한 동작을 가질 수 있다. 클립들은 통상적으로 1 이상의 테스트 패턴 또는 게이지 패턴(gauge pattern)을 포함한다.
클립들의 더 큰 초기 세트는 특정 이미지 최적화를 필요로 하는 디자인 레이아웃 내의 알려진 중요한 피처 영역들에 기초하여 고객에 의해 선험적으로(a priori) 제공될 수 있다. 대안적으로, 또 다른 실시예에서, 클립들의 더 큰 초기 세트는 중요한 피처 영역들을 식별하는 어떤 종류의 자동화[예를 들어, 머신 비전(machine vision)] 또는 수동 알고리즘을 이용함으로써 전체 디자인 레이아웃으로부터 추출될 수 있다.
패터닝 공정(예를 들어, 레지스트 공정)의 확률적 변동들은, 예를 들어 피처들의 수축 가능성 및 노광-도즈 사양의 관점에서, 바람직한 저 도즈 공정들과 밀리줄 도즈당 "적은" 광자들의 조합으로 인해 반도체 대량 제조(예를 들어, HVM)를 위한 EUV 리소그래피 구현을 잠재적으로 제한하며, 이는 차례로 제품 수율 또는 패터닝 공정의 웨이퍼 스루풋 또는 둘 모두에 영향을 미친다. 일 실시예에서, 레지스트 층의 확률적 변동들은 예를 들어 라인 폭 거칠기(LWR), 라인 에지 거칠기(LER), 국부적 CD 불균일성, 폐쇄된 홀 또는 트렌치, 및/또는 극한 조건에서의 파선들에 의해 설명되는 상이한 실패 모드들로 나타날 수 있다. 이러한 확률적 변동들은 성공적인 HVM EUV 채택에 영향을 미치고 이를 제한한다. 확률적 변동을 특성화, 이해 및 예측하기 위해, 업계에서는 1D 라인 공간 패턴 또는 제한된 조밀한 접촉부 어레이 패턴뿐만 아니라 다양한 디자인 패턴들에 대해 이러한 변동을 측정하는 신뢰할 수 있는 방법이 필요하다.
확률적 변동을 측정하는 기존 접근법들은 1-차원(1D) 및 2-차원(2D) 패턴들, 예를 들어 기판 상에 프린트된 1D 라인/공간 및 2D 반복적인 조밀한 접촉부 어레이 패턴들에 대해 상이한 측정 기술들을 수반한다. 이러한 측정들에 기초하여, 패터닝 공정을 최적화하기 위해 패터닝 공정의 성능 메트릭(예를 들어, 확률적 변동)이 결정된다. 예를 들어, 1D 패턴 측정은 라인 폭 거칠기(LWR)이고, 2D 반복적인 조밀한 접촉부 어레이 측정은 국부적 CD 균일성(LCDU)이다.
현재, 동일한 알고리즘으로 1D 및 2D 패턴들을 측정하는 통합 솔루션은 없다. 상이한 SEM 알고리즘들이 LWR 및 LCDU 측정들에 사용된다. 또한, 상이한 SEM 기계들 사이에 상당한 알고리즘 차이가 존재한다. 1D 라인/공간의 LWR과 2D 반복적인 조밀한 접촉부 어레이의 LCDU 간의 어플-대-어플 비교(apple-to-apple comparision)를 수행하고 상이한 패턴들에 대한 SEPE를 정확하게 추산하는 것은 어렵다. 또한, 2D 반복적인 조밀한 접촉부 어레이 외에 불규칙한 2D 패턴들에 대한 SEPE를 추산하는 방법이 존재하지 않는다. 본 명세서에서 제안되는 바와 같은 통합 측정 시스템을 갖는 것이 동일한 알고리즘으로의 1D 패턴 및 2D 패턴의 측정 및 동일한 룰러(즉, 동일한 측정 알고리즘)로의 SEPE의 추산을 허용하며, 이에 의해 측정 일관성, 정확성 및 효율이 개선된다. 1D 및 2D 패턴들의 확률적 변동들이 (예를 들어, LWR 및 LCDU의 경우에) 상이한 측정 시스템과 달리 동일한 측정 시스템에 있으므로, 패터닝 공정의 모델링 및 최적화 동안 사용될 때의 통합 측정들은 예를 들어 패터닝 레시피의 개선된 모델링 및 최적화 정확성, 핫스폿 식별 및 예측, 소스 및 마스크 최적화, 또는 다른 패터닝 관련 공정들을 유도할 것이다.
통상적으로, 프린트된 패턴들의 측정들은 프린트된 패턴들의 이미지들(예를 들어, SEM 이미지)로부터 게이지 위치들(예를 들어, CD 측정들이 수행되는 위치들)에서 추출된 파형들에 기초한다. 프린트된 패턴의 파형들은 파형의 에지들을 검출하기 위해 수학적 알고리즘들을 사용함으로써 측정된다. 가장 간단한 알고리즘은 이미지 세기의 임계화이다. 이러한 알고리즘은 메트롤로지 산업 전반에 걸쳐, 특히 반도체 산업의 결함 및 CD 메트롤로지들에서 널리 사용되었다.
이전 출원(예를 들어, 본 명세서에서 그 전문이 인용참조되는 2018년 6월 4일에 출원된 미국 출원 제 62/680,284호)은 평균 이미지들에 대한 윤곽 기반 메트롤로지를 제공한다. 예를 들어, 추출은 정확한 다이-대-다이 정렬, 평균 이미지, 및 윤곽 추출 방법에 기초한다. 어플리케이션은 매우 높은 신호-대-잡음 비를 얻기 위해 이미지들을 평균함으로써 빠르고 정확한 윤곽 기반 측정, 예를 들어 CD 및 EPE 측정들을 가능하게 한다. 불행하게도, 이미지 평균 프로세스에서, 확률적 변동 정보가 손실된다. 본 발명은 패터닝 공정의 확률적 변동을 결정하기 위해 평균 이미지 대신에 원시 이미지들에 대한 더 정확하고 개선된 윤곽 추출 방법 및 이러한 원시 윤곽들(예를 들어, CD 또는 EPE)의 측정 데이터를 제공한다. 일 실시예에서, 본 발명의 개념들은 특정 측정에 제한되지 않는다.
쉬운 가독성을 위해, 상기 방법들은 일 예시로서 확률적 에지 배치 오차(SEPE)에 대하여 설명되고, 이는 EUV 시대의 성능 제한인자이다. SEPE를 제어하고, 감소시키고, 및 예측하기 위해, 반도체 산업에는 SEPE를 정확하게 측정하는 견고하고 통합된 제조-준비 솔루션이 필요하다. 앞서 언급된 바와 같이, 반도체 산업은 동일한 알고리즘으로 1D 및 2D 모두에 대한 SEPE를 측정하는 통합 솔루션을 갖지 않는다. 현재, 업계에서는 SEPE를 추산하기 위해 1D 라인에 대해 LWR을, 반복적인 2D 접촉부 어레이에 대해 LCDU를 측정한다. 더욱이, 이러한 측정들은 패턴 레벨(예를 들어, 패턴당 하나의 수)에만 초점을 맞추고, 핫스폿이 발생하는 에지 포인트 레벨(예를 들어, 패턴의 윤곽을 따른 포인트들)에는 초점을 맞추지 않는다. 그러므로, 에지 포인트 레벨의 확률적 변동 측정을 위해서는 윤곽 기반 SEPE 메트롤로지 방법이 필요하다.
각각의 에지 포인트(즉, 패턴의 윤곽을 따른 포인트)에 대한 실제 에지 배치 오차를 측정하기 위해, 프린트된 패턴의 평균 이미지 대신에 원시 이미지(예를 들어, 원시 SEM 이미지)로부터 정확하고 신뢰할 수 있는 윤곽이 추출되어야 한다. 업계는 원시 SEM 이미지들, 특히 원시 SEM 이미지들의 낮은 신호-대-잡음 비로 인한 바람직한 저 도즈 SEM 이미지들로부터 이러한 신뢰할 수 있는 윤곽들을 추출하는 데 어려움을 겪고 있다.
다양한 이미지 잡음-제거 필터들이 원시 윤곽 품질을 개선하는 데 사용된다. 불행하게도, 잡음-제거 필터링은 확률적 영향들의 실제 값을 나타내기에는 이미지의 특성들을 너무 많이 왜곡할 수 있다. 윤곽 품질은 이미지 품질 또는 이미지 콘트라스트에 크게 의존한다. 이미지 품질이 낮은 경우, 윤곽 품질도 낮을 것이다. 윤곽 품질을 개선하기 위해, 평균 이미지로부터 윤곽을 추출하거나, 많은 원시 이미지들로부터 추출된 윤곽들을 평균함으로써 평균화 방법이 사용된다. 예를 들어, ASML MXP 제품(이전 어플리케이션)이 매우 높은 품질로 평균 이미지로부터 윤곽을 추출하지만, 원시 이미지로부터 고품질 윤곽을 추출하는 방식의 문제가 여전히 남아 있다.
본 발명의 방법은 몇 가지 장점을 갖는다. 상기 방법은 웨이퍼에 걸친 상이한 위치들에서 수집되는 동일한 디자인 패턴에 대응하는 다수의 반복 이미지들의 평균 이미지로부터 고품질 합성 윤곽을 추출한다. 일 실시예에서, 본 접근법은 원시 이미지들로부터의 윤곽 추출을 안내하기 위한 기준으로서 평균 이미지로부터 신뢰성 있게 추출된 윤곽을 사용한다. 이는, 예를 들어 원시 이미지 콘트라스트가 비교적 열악한 위치에 대한 부정확한 윤곽 포인트들을 회피하거나 감소시킴으로써 더 현실적인 확률적 측정 정보를 얻도록 원시 윤곽 품질을 개선한다. 또한, 원시 이미지들 간의 정확한 서브-픽셀 정렬 분해능이 SEPE 대역폭 추출 및 후속한 통계 데이터 분석에서 더 나은 정확성을 제공하여 확률적 변동 및 다른 공정 변동을 결정한다. 일 실시예에 따르면, 본 발명은 산업을 위한 통합 SEPE 메트롤로지 솔루션을 제공하기 위해 동일한 윤곽 추출 알고리즘으로의 윤곽 기반 메트롤로지를 통해 1D 및 2D 패턴들 모두에 대한 확률적 변동을 포착할 수 있게 한다. 또한, 본 방법은 더 빠르고 더 정확한 윤곽 포인트 특정적 측정을 제공한다.
본 발명의 일 실시예에 따르면, "원시 이미지" 및 "메트롤로지 이미지"라는 용어는 프린트된 기판의 이미지(예를 들어, SEM 이미지)를 지칭한다. 원시 이미지는 기판의 일부(예를 들어, 원하는 패턴을 갖는 제 1 다이, 제 2 다이, 제 3 다이 등), 전체 프린트된 기판, 또는 원하는 패턴을 갖는 상이한 기판들로 이루어질 수 있다. 일 실시예에서, 이미지는 측정들이 수집되고 추가로 측정들이 상이한 패터닝 공정 시뮬레이션들(예를 들어, OPC, 레지스트 이미지, 마스크 이미지 등)을 위해 사용되는 원하는 프린트된 패턴을 지칭할 수 있다. 일 실시예에서, 원하는 패턴은 기판 상에 프린트될 여하한의 패턴을 지칭하며, 이는 프린트될 때 원하는 프린트된 패턴이라고 칭해질 수 있다. 예를 들어, 원하는 패턴은 메모리, 로직 또는 다른 IC 회로들에서 발견되는 접촉홀, 라인 및 여하한의 불규칙한 패턴들과 같은 디자인 패턴에 대응한다.
도 3은 프린트된 기판의 이미지들(원시 이미지들 또는 메트롤로지 이미지들이라고도 함)로부터 윤곽들을 추출하는 방법의 흐름도이다. 또한, 추출된 윤곽들에 기초하여, 측정 데이터(예를 들어, 확률적 변동/표준 편차 또는 다른 관련 측정 통계)가 결정되어 프린트된 패턴들 및 그 프린팅 공정 모두를 특성화할 수 있다. 프린트된 패턴들 및 그 프린팅 공정 모두와 관련된 이러한 측정 데이터는 공정 시뮬레이션(예를 들어, 도 2의 공정 시뮬레이션)을 통해, 예를 들어 OPC, 마스크 패턴, 에어리얼 이미지, 레지스트 이미지, 핫스폿 결정 및 랭킹, 소스 및 마스크 최적화, 메트롤로지 측정들, 또는 다른 리소그래피 적용들과 관련된 패터닝 공정 또는 패터닝 레시피를 최적화하는 데 더 사용될 수 있다. 상기 방법은 다음과 같이 상세히 더 설명된다.
프로세스 P301 및 P302에서, 상기 방법은 (ⅰ) 기판의 복수의 이미지들(302) -이미지들 각각은 기준 패턴(301)에 대응하는 프린트된 패턴을 포함함- , (ⅱ) 복수의 이미지들(302)의 평균 이미지(303), 및 (ⅲ) 평균 이미지(303)에 기초한 프린트된 패턴들의 합성 윤곽(304)을 얻는 단계를 수반한다. 일 실시예에서, 기준 패턴(301)은 디자인 패턴 또는 GDS/OASIS 파일 포맷으로 제공되는 디자인 패턴에 대응하는 시뮬레이션된 패턴이다.
일 실시예에서, 기판의 복수의 이미지들(302)은 메트롤로지 툴을 통해 얻어진다. 일 실시예에서, 얻는 것은 프로세서(예를 들어, 104)를 통해 메트롤로지 툴에 의해 캡처된 이미지들을 수신하는 것을 지칭한다. 일 실시예에서, 복수의 이미지들(302)은 스캐닝 전자 현미경(SEM) 이미지들이다. 일 실시예에서, 이미지는 신호 값 및 잡음 값을 포함하는 벡터 형태로 표현될 수 있다. 일 실시예에서, 이미지는 픽셀화된 이미지(pixelated image)이고, 각각의 픽셀은 신호 값 및 잡음 값을 포함한다. 이미지의 신호 값은 프린트된 패턴의 피처에 대응한다. 일 실시예에서, 신호 값은 이미지의 특정 위치에서의 픽셀의 픽셀 세기를 포함한다. 잡음 값은, 예를 들어 측정 프로세스 동안의 메트롤로지 잡음에 대응한다. 복수의 이미지들(402)의 일 예시가 도 4a에 도시되어 있으며, 이는 본 발명에서 추후 논의된다.
일 실시예에서, 복수의 이미지들(302)은 프린트된 패턴들의 다이-내 이미지들이라고 하는 특정 다이 내의 프린트된 패턴의 제 1 복수의 이미지들을 포함한다. 제 1 복수의 이미지들은 기판의 프린트된 패턴들의 측정들에서 다이-내 변동(σintra-die)을 결정하기 위해 사용될 수 있다. 다이-내 변동은 본 발명에서 추후 도 7b를 참조하여 더 논의된다.
또한, 복수의 이미지들(302)은 기판의 복수의 다이들에 대응하는 프린트된 패턴들의 제 2 복수의 이미지들을 포함할 수 있다. 제 2 이미지들은 프린트된 패턴들의 다이-간 이미지들이라고 한다. 제 2 복수의 이미지들은 기판의 프린트된 패턴들의 측정들에서 다이-간 변동(σinter-die)을 결정하기 위해 사용될 수 있다. 다이-간 변동은 본 발명에서 추후 도 7c를 참조하여 더 논의된다.
일 실시예에서, 평균 이미지(303)를 얻는 것(예를 들어, 프로세스 P301)은 복수의 이미지들(302)의 프린트된 패턴들을 서로에 대해 정렬하는 것; 비교적 높은 신호 값들을 갖는 복수의 이미지들(302)의 프린트된 패턴들을 따른 위치들을 식별하고 식별된 위치들을 정렬하는 것; 및 정렬된 복수의 이미지들(302)의 신호 값들의 평균 값들을 연산함으로써 평균 이미지를 결정하는 것을 수반한다. 일 실시예에서, 복수의 이미지들(302)의 프린트된 패턴들을 정렬하는 것은 복수의 이미지들(302)의 프린트된 패턴들 간의 차이가 감소되도록 기준 패턴(301)에 1 이상의 이미지의 대응하는 프린트된 패턴들을 정렬하기 위해 복수의 이미지들(302)의 1 이상의 이미지를 시프팅하는 것을 수반한다. 평균 이미지(403)의 일 예시는 본 발명에서 추후 논의되는 도 4a에 도시되어 있다. 이미지를 평균하는 예시적인 방법의 상세한 설명은, 본 명세서에서 그 전문이 인용참조되는 2018년 6월 4일에 출원된 미국 출원 제 62/680,284호에서 찾아볼 수 있다.
또한, 프로세스 P302에서, 평균 이미지(303)로부터 합성 윤곽(304)이 얻어질 수 있다. 프린트된 패턴들의 합성 윤곽(304)은 복수의 이미지들(302)의 조합된 이미지로부터 얻어지는 프린트된 패턴의 윤곽이다. 일 실시예에서, 조합된 이미지는 평균 이미지(303)이고, 합성 윤곽(304)은 복수의 이미지들(302)의 윤곽들 또는 평균 이미지(303)의 평균 윤곽이다. 일 실시예에서, 합성 윤곽(304)을 얻는 것은 이미지들 간의 차이가 감소되도록 프린트된 패턴들의 이미지들을 서로에 대해 정렬하는 것; 모든 정렬된 이미지들의 평균 이미지를 계산하는 것; 및 기준 패턴(301)에 대한 위치들에서 비교적 높은 신호 값들에 기초하여 평균 이미지로부터 합성 윤곽(304)을 추출하는 것을 수반한다. 합성 윤곽(404)의 일 예시는 본 발명에서 추후 논의되는 도 4a에 도시되어 있다. 평균 이미지를 계산하고 합성 윤곽들을 추출하는 예시적인 방법의 상세한 설명은, 본 명세서에서 그 전문이 인용참조되는 2018년 6월 4일에 출원된 미국 출원 제 62/680,284호에서 찾아볼 수 있다.
프로세스 P303에서, 상기 방법은 기준 패턴(301)의 기준 윤곽에 대해 평균 이미지(303)의 합성 윤곽(304)을 정렬하여, 정렬된 합성 윤곽(313)을 유도하는 단계를 수반한다. 이 프로세스는 도 9a를 참조하여 논의되는 더 일반적인 다이-대-데이터베이스 정렬 프로세스와 관련된다.
일 실시예에서, 합성 윤곽(304)의 정렬은 합성 윤곽(304)을 기준 윤곽과 중첩시키는 것; 및 합성 윤곽(304)과 기준 윤곽(301) 사이의 차이가 감소되도록 미리 정의된 범위 내에서 합성 윤곽(304)을 시프팅하는 것을 수반한다. 일 실시예에서, 합성 윤곽(304)의 시프팅은 총 윤곽-대-윤곽 거리가 감소되도록 미리 정의된 범위의 중심에 대해 합성 윤곽(304)을 시프팅하는 것; 및 제 1 방향에서의 제 1 윤곽-대-윤곽 차이를 감소시키도록 제 1 방향으로 합성 윤곽(304)을 시프팅하고, 및/또는 제 2 방향에서의 제 2 윤곽-대-윤곽 차이를 감소시키도록 제 2 방향으로 시프팅하는 것을 수반한다.
프로세스 P305에서, 상기 방법은 정렬된 합성 윤곽(313)에 대해 복수의 이미지들(302)(원시 이미지들)의 주어진 이미지로부터 원시 윤곽들(315)을 추출하는 단계를 수반한다. 원시 윤곽 추출은 정렬된 합성 윤곽(313), 원시 이미지(302) 및 정렬된 합성 윤곽 상의 각 윤곽 포인트의 법선 방향(프로세스 P303에서 출력되는 합성 윤곽에 대한 각도)에 기초한다. 일 실시예에서, 복수의 윤곽들(315)의 윤곽을 추출하는 것은: ⅰ) 합성 윤곽에 대해 주어진 이미지에서 시작 포인트를 식별하는 것, ⅱ) 프로세스 P303의 출력으로부터의 도움으로 원시 윤곽 에지 탐색을 위한 방향을 찾는 것, 및 ⅲ) 복수의 이미지들의 주어진 이미지에 대한 원시 윤곽의 에지 위치를 결정하는 것을 수반한다.
프로세스 P307에서, 상기 방법은 복수의 윤곽들(315)에 기초하여 복수의 패턴 측정들(317)을 결정하는 단계를 수반한다. 패턴 측정들(317)은 프린트된 패턴들 및 그 패터닝 공정 모두의 특성들(예를 들어, CD, EPE 등)이다. 일 실시예에서, 패턴 측정의 결정은 복수의 윤곽들의 주어진 윤곽을 통과하는 커트라인들의 세트(예를 들어, 도 5를 참조하여 논의됨)를 정의하는 것; 주어진 윤곽을 따라 복수의 측정 게이지들을 정의하는 것 -측정 게이지는 커트라인과 주어진 윤곽의 교차점에 정의됨- ; 및 측정 게이지에 대해 패턴 측정을 결정하는 것을 수반한다.
일 실시예에서, 패턴 측정들(317)은 프린트된 패턴들의 임계 치수들, 및/또는 기준 패턴(301)에 대한 프린트된 패턴들의 에지 배치 측정들이다. 일 실시예에서, 임계 치수들 중 한 임계 치수는 주어진 윤곽과 교차하는 수평 커트라인을 따른 두 측정 게이지들 사이의 거리이다(예를 들어, 도 5 참조).
일 실시예에서, 에지 배치 측정은 주어진 윤곽을 따라 측정 게이지들에서 결정된 에지 배치 오차이며, 여기서 에지 배치 오차는 주어진 측정 게이지에서의 주어진 윤곽과 기준 윤곽 사이의 차이이다.
프로세스 P309에서, 상기 방법은 측정 데이터(319)의 결정을 수반하며, 이는 기판의 특정 다이와 관련된(예를 들어, 이미지-내) 복수의 측정들에 기초하여 프린트된 패턴들에 대응하는 제 1 통계(σintra-die)를 결정하는 것; 및/또는 기판의 상이한 다이들에 걸친 특정 필드 위치에 관련된 복수의 측정들에 기초하여 프린트된 패턴들에 대응하는 제 2 통계(σinter-die)를 결정하는 것; 및/또는 각각의 이미지 시야(FOV) 내의 특정 피처와 관련된 복수의 측정들에 기초하여 프린트된 패턴들과 연계되는 제 3 통계(예를 들어, σSEPE)를 결정하는 것을 수반한다. 일 실시예에서, 총 변동은 프린트된 패턴의 측정 데이터(319)의 모든 부분들로서 포함된다.
일 실시예에서, 제 3 통계는 프린트된 패턴들의 측정들에서의 확률적 변동들이다. 다른 모든 통계들(예를 들어, 제 1 및 제 2 통계)은 다른 공정 변동들로부터의 결과들이다.
일 실시예에서, 제 1 통계는 기판의 프린트된 패턴들의 측정들에서의 다이-내 변동이다.
일 실시예에서, 제 2 통계는 기판의 프린트된 패턴들의 측정들에서의 다이-간 변동이고, 제 3 통계는 이미지 FOV(Field of View)-내 변동, 즉 다른 공정 변동들이 이미지 FOV 내에서 무시할 수 있는 것으로 가정되는 확률적 변동이다.
도 4a는 원시 이미지들로부터 프린트된 패턴들의 윤곽들을 추출하는 일 예시 및 프린트된 패턴들의 윤곽들에 기초하여 결정되는 예시적인 확률적 변동 대역을 나타낸다.
도 4a에서, 기준 패턴(401)은 예를 들어 SEM 이미지 포맷과 상이한 포맷인 GDS/OASIS 파일 포맷의 형태로 [예를 들어, 프로세서(104)를 통해] 수신될 수 있다. 따라서, 이미지들 및 GDS 포맷에서의 패턴의 좌표들 또는 위치들이 상이할 것이다. 일 실시예에서, 기준 패턴(401)은 디자인 레이아웃, 또는 공정 시뮬레이션의 출력으로서 얻어지는 시뮬레이션된 레이아웃일 수 있다. 기준 패턴(401)은 리소그래피 장치를 통해 이미징되는 기판 상에 프린트되기를 원하는 복수의 피처들을 포함한다. 본 예시에서, 기준 패턴(401)은 피치를 갖는 수평 라인들 및 수직 라인을 포함한다. 또 다른 예시에서, 기준 패턴(401)은 기판 상에 프린트될 IC에 대응하는 접촉홀들 또는 다른 기하학적 구조체들을 포함할 수 있다.
기준 패턴(401)은 기판 상의 상이한 위치에 프린트될 수 있다. 예를 들어, 기준 패턴(401)은 특정 다이 내의 상이한 위치들에, 및/또는 기판 상의 상이한 다이들에 걸쳐 프린트될 수 있다. 예를 들어, 프린트된 기판은 기준 패턴(401)에 대응하는 프린트된 패턴들의 수천 또는 심지어 수백만 개의 인스턴스들을 포함할 수 있다. 일 실시예에서, 프린트된 기판의 이미지들이 메트롤로지 툴(예를 들어, SEM, 광학 툴들, 또는 다른 이미지 캡처 툴들)을 통해 얻어질 수 있다. 통상적으로, 기판의 이러한 이미지들은 프린트된 패턴의 특성(예를 들어, CD, EPE 등)을 측정하고, 프린트된 패턴들의 품질을 검사하고, 프린트된 패턴들의 결함, 핫스폿 등을 식별하기 위해 얻어진다.
기준 패턴(401)에 대응하는 복수의 이미지들(402)[더 나은 가독성을 위해 원시 이미지들(402)이라고도 함]이 예를 들어 SEM 툴(예를 들어, 도 10 및 도 11에서 논의됨)을 통해 얻어진다. 일 실시예에서, 제 1 복수의 이미지들이 제 1 다이로부터 캡처되고, 제 2 복수의 이미지들이 기판의 제 2 다이로부터 캡처되는 식으로 수행된다. 이러한 각각의 이미지들은 기준 패턴(401)에 대응하는 프린트된 패턴을 포함한다. 예를 들어, 프린트된 패턴들은 기준 패턴(401)에 대응하는 수평 라인들 및 수직 라인들을 포함한다. 하지만, 원시 이미지들(402)에서의 프린트된 패턴들은 일관되지 않고, 왜곡되며, 및/또는 패터닝 공정 및/또는 메트롤로지 툴로 인해 유도된 변동들을 포함한다.
일 실시예에서, 원시 이미지들(402)은 왜곡되고, 흐릿하고(fuzzy), 및/또는 잡음 성분을 포함하며, 이는 프린트된 패턴의 형상, 크기 및/또는 윤곽을 정확하게 식별하는 것을 어렵게 만든다. 이미지들로부터 잡음을 부분적으로 또는 완전히 제거하기 위해 잡음-제거 필터가 적용될 수 있지만, e-빔이 기판 이미지를 캡처하는 동안 레지스트에 영향을 미쳐 왜곡된 이미지를 캡처할 수 있는 것과 같이 SEM 측정 프로세스 자체가 프로세스 부정확성을 수반할 수 있으므로, 그로부터 윤곽 및 대응하는 측정들을 결정하는 것이 부정확할 수 있다. 따라서, 프린트된 패턴의 정확한 측정들을 수행하기 위해, 도 3의 방법에서 논의된 바와 같이 추가적인 이미지 처리가 수행된다(예를 들어, 평균화 프로세스, 프로세스 P303 및 P305). 일 실시예에서, 이미지들을 조합하는 것이 여하한의 단일 이미지에 존재할 수 있는 잡음 또는 다른 형태들의 오차의 영향들을 감소시킨다. 일 실시예에서, 조합된 이미지(403)는 다수의 측정된 이미지들(402)을 평균함으로써 생성될 수 있다. 다른 실시예들에서, 다수의 측정된 이미지들(402)은 조합 또는 평균하기 전에 정렬될 수 있다. 평균 이미지를 얻는 예시적인 방법은, 본 명세서에서 그 전문이 인용참조되는 2018년 6월 4일에 출원된 미국 출원 제 62/680,284호에서 논의된다.
일 실시예에서, 원시 이미지들(402)은 평균 이미지(403)를 결정하기 위해 서로에 대해 정렬된다. 이러한 정렬은 1 이상의 원시 이미지를 서로에 대해 이동시킴으로써 상이한 다이들에 대응하는 원시 이미지들을 수반한다. 예를 들어, 정렬은 비교적 높은 신호 대 잡음 비를 갖는 이미지의 부분이 중첩되도록 1 이상의 이미지를 시프팅하는 것을 수반한다. 일 실시예에서, 시프팅은 신호 값들이 비교적 높은 픽셀 위치 주위의 특정 범위(예를 들어, 0.05 nm 내지 1 nm 범위 내)로 제한된다. 이미지의 신호의 일 예시가 도 4b에 도시되어 있다.
도 4b는 예시적인 원시 이미지(402) 및 원시 이미지(402)의 중심에 있는 라인을 따른 신호(402sig)를 나타낸다. 신호(402sig)는 강한 신호를 나타내는 피크들(예를 들어, 대략 200) 및 약한 신호(예를 들어, 약 75 내지 100 값들)를 갖는다. 또한, 신호(402sig)는 잡음을 포함하고, 따라서 신호(402sig)는 잡음이 있다(예를 들어, 값 100 주위). 잡음으로 인해, 원시 이미지(402)는 잡음이 있으며, 잡음을 제거하고 신호-대-잡음 비를 개선하기 위해 잡음-제거 필터를 필요로 할 수 있다. 반면에, 평균 이미지(403)는 비교적 잡음이 적거나 잡음이 없다. 따라서, 중심에 있는 라인을 따른 신호는 원시 이미지(402)에 비해 더 적은 잡음 및 비교적 더 높은 신호-대-잡음 비를 갖는다.
일 실시예에서, 원시 이미지들(402)은 픽셀화된 이미지들일 수 있으며, 이 경우 비교적 높은 세기 값들을 갖는 픽셀들이 다른 이미지들에서의 픽셀들의 대응하는 위치들에 대해 정렬된다. 예를 들어, 비교적 높은 픽셀 값들을 갖는 제 1 원시 이미지 내의 픽셀 위치들이 식별되고 제 2 원시 이미지의 대응하는 픽셀 위치들과 정렬될 수 있다. 하지만, 다른 이미지들은 동일한 위치가 아니라, 주어진 픽셀 위치 주위에 매우 근접하여 높은 픽셀 세기를 가질 수 있다. 따라서, 제 1 이미지 및 제 2 이미지의 프린트된 패턴들을 더 잘 정렬하기 위해 제 2 이미지의 서브-픽셀 조정이 수행될 수 있다. 일단 이미지들(402)이 정렬되면, 평균 이미지(403)는 이미지들(402) 내의 위치들 각각의 평균 값을 취함으로써 연산될 수 있다.
평균 이미지(403)는 원시 이미지들(402)에서 볼 수 있는 것보다 비교적 더 명확한 경계(예를 들어, 도 4b의 403 및 403sig 참조)를 갖는 평균 프린트된 패턴들을 포함한다. 또한, 평균 이미지(403)로부터 합성 윤곽(404)이 추출되어 원시 이미지들(402)에서의 여하한의 부정확성들을 평균한다.
일 실시예에서, (예를 들어, 도 3의 프로세스 P303에서 논의된 바와 같이), 정렬된 합성 윤곽은 평균 이미지(403)로부터의 합성 윤곽을 기준 패턴(401)(도시되지 않음)의 시뮬레이션된 윤곽과 정렬함으로써 결정될 수 있다. 정렬 프로세스는 기준 패턴(401)의 기준 윤곽과 합성 윤곽 사이의 차이가 감소되는(일 실시예에서, 최소화되는) 반복 프로세스일 수 있다. 또한, 이러한 정렬 프로세스는 결과적인 합성 윤곽(404)이 패터닝 공정 시뮬레이션 동안 통상적으로 사용되는 디자인 패턴의 위치들(예를 들어, GDS 위치들)에 대응할 것을 보장한다. 일 실시예에서, 이러한 합성 윤곽들(404)은 OPC, 마스크, 레지스트 등과 같은 패터닝 공정의 측면을 최적화하기 위해 패터닝 공정 시뮬레이션들에 사용될 수 있다. 하지만, 합성 윤곽(404)을 사용하는 것은, 패턴 측정 및 패터닝 공정의 최적화를 더 개선하는 데 유용할 수 있는 프린트된 패턴들의 확률적 변동 관련 정보를 보존하지 않는다.
일 실시예에서, (예를 들어, 프로세스 P305에서 논의된 바와 같이), 평균 이미지로부터의 정렬된 합성 윤곽은 원시 이미지들(402)(예를 들어, SEM 이미지들)에서 프린트된 패턴들의 윤곽들(415)의 추출을 안내하기 위해 더 사용된다. SEM 이미지들(402)로부터 윤곽을 추출하는 것은 ⅰ) 합성 윤곽에 대해 주어진 이미지에서 시작 포인트를 식별하는 것, ⅱ) 프로세스 P303의 출력으로부터의 도움으로 윤곽 에지 탐색을 위한 포인트의 방향을 찾는 것, 및 ⅲ) 원시 이미지에 대한 각각의 윤곽 포인트의 에지 위치를 결정하는 것을 수반한다.
일단 원시 이미지들(402)에서 프린트된 패턴들의 윤곽들이 추출되면, 추출된 윤곽들(415)은 서로 중첩되어 프린트된 패턴들의 변동 대역[예를 들어, 대역(419)]을 결정할 수 있다. 변동 대역은 기준 패턴(401)이 균일하게 프린트되지 않거나, 기판의 상이한 위치들에서 다양한 치수들/형상을 가짐을 나타낸다. 이 대역은 총 변동의 추산이다. 이러한 변동의 일부는 확률적 변동들로 인한 것이고, 다른 부분들은 패터닝 공정 및/또는 (예를 들어, SEM 툴 또는 다른 메트롤로지 툴에서의) 측정 프로세스로부터 발생한다. 따라서, 원시 이미지들(402) 각각과 관련된 각각의 변동 정보(예를 들어, 확률적 변동, 다이-간 변동, 다이-내 변동 등)가 후속 데이터 분석에서 분해되고 검색된다. 일 실시예에서, 확률적 변동 대역(419)은 (예를 들어, 프로세스 P307 및 P309에서 논의된 바와 같이) 추출된 원시 윤곽들에 관련된 측정들에 기초하여 결정될 수 있다. 이러한 확률적 변동 대역(419)은 기존 패터닝 공정을 개선하여 패터닝 공정의 수율을 개선(예를 들어, 결함이 더 적은 프린트된 패턴들)하는 데 더 사용될 수 있다.
일 실시예에서, 기준 윤곽에 대해 추출된 윤곽의 에지를 따라 수행되는 측정들(425)에 예시된 바와 같이 확률적 변동 대역(419) 및/또는 원시 윤곽들(415)에 대해 측정들이 수행될 수 있다. 도 3에서 논의된 바와 같이, 측정들(425)은 커트라인들 및 윤곽을 따른, 예를 들어 커트라인들 및 윤곽의 교차점에서의 게이지들을 정의하는 것을 수반한다. 게이지 배치에 기초한 예시적인 측정이 도 5를 참조하여 예시되고 논의된다.
도 5에서, 윤곽(501)은 추출된 윤곽, 평균 이미지 또는 원시 이미지로부터의 윤곽, 또는 기준 윤곽일 수 있다. 측정들을 위해, 윤곽의 지오메트리 및 측정들이 요구되는 위치들에 기초하여 상이한 커트라인들이 정의될 수 있다. 예를 들어, 곡선 부분들에 대해, 커트라인들(CL1 및 CL5)은 윤곽(501)의 곡선 부분들의 각 중심들에서 시작되는 각진 커트라인들이다. 비교적 직선인 부분들에 대해, 커트라인들(CL2, CL3 및 CL4)은 윤곽(501)의 길이를 따라 수직으로 분리된 수평 커트라인들이다. 커트라인들(CL1)은 측정들이 결정될 수 있는 예시적인 게이지 포인트들인 EP1 내지 EP8에서 윤곽(501)과 교차한다. 예를 들어, 게이지 포인트 EP7 및 EP8과 관련된 커트라인들 사이에 수직 거리 D1이 결정되거나, EP7과 EP8 사이에 균일한 각도가 사용되어 커트라인들을 배치할 수 있고, 게이지 포인트 EP11과 EP13 사이에 거리 D2가 결정될 수 있으며, EP10과 EP11(EP12와 EP13, 또는 EP14와 EP15) 사이에서 수평 치수(CD의 일 예시)가 측정될 수 있다. 일 실시예에서, 측정들은 피처 및/또는 프린트된 패턴의 특성들이다. 이러한 측정들은 확률적 변동 대역(예를 들어, 419)을 결정하는 데 더 사용될 수 있는 원시 이미지들로부터의 추출된 윤곽들(예를 들어, 415) 각각에 대해 결정될 수 있다.
본 발명에 따라 추출된 윤곽들에 기초한 측정들의 장점들 중 하나는 프린트된 패턴의 1D 피처(예를 들어, LWR)과 2D 피처들(예를 들어, LCDU)을 측정하는 별개의 방법들이 사용될 필요가 없다는 것이다. 본 방법은 상이한 피처 타입들(예를 들어, 1D, 2D 등)을 측정하기 위한 (예를 들어, 확률적 변동 대역 형태의) 통합 솔루션을 제공하여, 확률적 변동의 영향들을 비교 및 모델링하고 패터닝 공정의 수율을 개선하도록 패터닝 공정의 상이한 측면들을 수정하기 위한 통합 기반을 제공한다.
도 6a는 수직 라인들을 포함하는 1D 패턴과 관련된 예시적인 측정들을 나타낸다. 도 6a에서, 평균 이미지(603)는 유사한 CD 사양(예를 들어, 폭 55 nm)을 갖는 3 개의 수직 라인들을 포함한다. (예를 들어, 도 4a에서) 앞서 논의된 바와 같이, 이러한 패턴에 대응하는 원시 이미지들이 얻어지고 측정들이 수행되는 경우, 평균 이미지(603)의 평균 윤곽과 연계된 확률적 변동 대역(619)이 얻어진다. 대역(619A)은 단지 더 나은 시각적 이해를 위해 제공되는 확률적 대역(619)의 일부의 확대 버전이다. 일 실시예에서, 측정들은 막대 도표(617)에 예시된 바와 같은 CD 값들일 수 있다. 막대 도표(617)는 평균 이미지(603)에 기초한 프린트된 패턴들의 CD 값들의 변동을 예시한다. CD 값들의 변동의 이러한 정보는 다이-간, 다이-내, 이미지 FOV-내, 및 총 변동을 추출하고 패터닝 공정을 개선(예를 들어, OPC)하기 위해 더 사용될 수 있다.
도 6b는 접촉홀들을 포함하는 2D 패턴과 관련된 예시적인 측정들을 나타낸다. 평균 이미지(623)는 유사한 CD 사양(예를 들어, 직경 75 nm)을 갖는 복수의 접촉홀들을 포함한다. (예를 들어, 도 4a에서) 앞서 논의된 바와 같이, 이러한 패턴에 대응하는 원시 이미지들이 얻어지고 측정들이 수행되는 경우, 평균 이미지(623)의 평균 윤곽과 연계된 확률적 변동 대역(629)이 얻어진다. 대역(629A)은 단지 더 나은 시각적 이해를 위해 제공되는 확률적 대역(629)의 일부의 확대 버전이다. 일 실시예에서, 측정들은 막대 도표(627)에 예시된 바와 같은 CD 값들(예를 들어, 직경)일 수 있다. 막대 도표(627)는 평균 이미지(623)에 기초한 프린트된 패턴들의 CD 값들의 변동을 예시한다. 1D 패턴과 유사하게, CD 값들의 변동의 정보는 다이-간, 다이-내, 이미지 FOV-내, 및 총 변동을 추출하고 패터닝 공정을 개선(예를 들어, OPC)하기 위해 더 사용될 수 있다.
도 6c는 수평 라인들 및 수직 라인들의 조합을 포함하는 2D 패턴과 관련된 또 다른 예시적인 측정들을 나타낸다. 도 6a와 유사하게, 원하는 2D 패턴과 관련된 원시 이미지들 및 평균 이미지(633)가 평균 이미지(633)의 평균 윤곽과 연계된 확률적 변동 대역(639)[및 확대된 부분(639A)] 및 측정들을 얻는 데 사용된다. 일 실시예에서, 측정들은 막대 도표(637)에 예시된 바와 같은 CD 값들일 수 있다. 막대 도표(637)는 평균 이미지(633)에 기초한 프린트된 패턴들의 CD 값들의 변동을 예시한다. CD 값들의 변동의 이러한 정보는 다이-간, 다이-내, 이미지 FOV-내, 및 총 변동을 추출하고 패터닝 공정을 개선(예를 들어, OPC)하기 위해 더 사용될 수 있다.
도 6a, 도 6b, 및 도 6c에서, 패턴들은 1D 및 2D 패턴들을 포함하는 상이한 타입들로 이루어지지만, 측정들은 1D에 대한 LWR 및 2D에 대한 LCDU와 같은 별도의 시스템과 달리 동일한 측정 시스템에서 수행된다는 것을 유의한다. 또한, 일 실시예에서, 측정이 통합될 뿐만 아니라, LWR 및 LCDU도 원시 이미지들 및 확률적 변동 대역에 기초하여 (예를 들어, 게이지들을 사용하여) 결정될 수 있다.
일 실시예에서, 예를 들어 도 7b, 도 7c 및 도 7d와 함께 논의되는 바와 같이, 다이-간 및/또는 다이-내 및/또는 이미지 FOV-내에 대응하는 원시 이미지들에 기초하여 상이한 측정 변동들이 결정될 수 있다.
도 7a는 모든 변동들(예를 들어, 다이-간 및 다이-내 공정 변동들, 및 측정 관련 변동들)을 설명하는 예시적인 원시 이미지들을 나타낸다. 도 7b, 도 7c 및 도 7d는 다이-내, 다이-간 및 이미지 FOV-내 변동들이라고 하는 개별 변동들을 연산하는 방식을 나타낸다. 이러한 개별 변동들은 도 7a의 변동들 대부분에 실질적으로 기여하거나 대부분을 설명한다.
도 7a에서, 원시 이미지들은 기판의 상이한 다이들(예를 들어, Die1, Die2, Die3)에 대응한다. 각각의 원시 이미지는 5 개의 접촉홀들을 포함하는 패턴들의 세트를 포함한다. 이미지들에서의 이러한 프린트된 패턴은 5 개의 기준 접촉홀들(도시되지 않음)을 포함하는 기준 패턴(도시되지 않음)과 연계된다. 예를 들어, 제 1 원시 이미지(Die1)는 각각 5 개의 접촉홀들을 갖는 4 개의 패턴들(예를 들어, P11, P12, P13, P14)을 포함하는 프린트된 기판의 제 1 다이의 SEM 이미지의 카툰(cartoon)이다. 유사하게, 제 2 다이의 제 2 원시 이미지(Die2)는 각각 5 개의 접촉홀들을 갖는 4 개의 패턴들(예를 들어, P21, P22,...)을 포함하고, 제 3 다이의 제 3 원시 이미지(Die3)는 각각 5 개의 접촉홀들을 갖는 4 개의 패턴들(예를 들어, P31, P32,...)을 포함한다. 일 실시예에서, CD pdf와 같은 메트릭의 확률 분포 함수가 σ-all로 표현되는 이러한 변동과 연계된다.
도 7b는 각각 5 개의 접촉홀들의 세트를 갖는 4 개의 패턴들(P11, P12, P13, 및 P14)을 나타낸다. 이 경우, 특정 다이(예를 들어, 제 1 다이 이미지)의 다이-내 변동(σ-intra_die)이 특정 다이 내의 4 개의 패턴들(P11 내지 P14)의 변동들에 기초하여 결정된다. 유사하게, 제 2 및 제 3 다이의 다이-내 변동들이 다이들 각각에서의 4 개의 패턴들에 기초하여 결정될 수 있다. 일 실시예에서, 각각의 다이에 대한 메트릭(예를 들어, CD pdf)의 확률 분포 함수가 σ-intra_die로 표현되는 각각의 이러한 변동과 연계된다.
도 7c는 다이-간 변동들, 즉 상이한 다이들에 걸친 특정 패턴의 변동들을 나타낸다. 예를 들어, (예를 들어, CD에서의) 변동들은 상이한 다이들의 제 3 패턴 세트(P13, P23, P33)(예를 들어, 도 7a의 각 다이의 좌측 상단 코너들)에서 결정된다. 유사하게, 다른 패턴 세트들의 변동이 결정될 수 있다. 일 실시예에서, 상이한 다이들에 걸친 메트릭(예를 들어, CD pdf)의 확률 분포 함수가 σ-inter_die로 표현되는 이러한 변동과 연계된다.
또한, 이미지 내에서의(예를 들어, 이미지 FOV-내) 확률적 변동(예를 들어, 확률적 에지 배치 오차 SEPE)이 결정될 수 있다. 예를 들어, 도 7d는 FOV 내의 5 개의 접촉홀들의 변동 σ-SEPE 및 변동 σ-SEPE와 연계된 pdf를 나타낸다. 유사하게, 이러한 변동은 다른 세트의 이미지들에 대해 결정될 수 있다. 최종 σ-SEPE는 개별 이미지 또는 다양한 조합의 이미지들의 세트에서 얻을 수 있다.
도 8a는 프로세스 P301에서 사용될 수 있는 다이-대-다이 정렬 프로세스에 기초하여 평균 이미지를 얻는 프로세스의 예시적인 흐름도이다. 일 실시예에서, 원시 이미지들은 예를 들어 잡음-제거 필터를 통해 잡음을 제거하기 위해 전처리될 수 있다. 일 실시예에서, 원시 이미지들(302)의 평균화는 단계 P801에서 유사한 패턴들을 포함하는 원시 이미지들을 그룹화하는 것, 또는 유사한 프린트된 패턴들을 갖는 특정 다이의 원시 이미지들을 그룹화하는 것, 또는 다른 그룹화 접근법을 수반할 수 있다. 단계 P803에서, 프로세스는 복수의 이미지들의 프린트된 패턴들을 서로에 대해 정렬하는 것을 수반한다. 일 실시예에서, 프린트된 패턴들의 정렬은 정렬된 이미지들이 기준 패턴과 가장 잘 매칭하도록 이루어진다.
단계 P805에서, 프로세스는 예를 들어 비교적 높은 신호 값들을 갖는 복수의 이미지들의 프린트된 패턴들을 따라 위치들을 식별하고, 식별된 위치들에 기초하여 정렬하는 것을 수반한다. 일 실시예에서, 신호 값들에 기초한 정렬은 복수의 이미지들의 프린트된 패턴들 간의 차이가 감소되도록(일 실시예에서, 최소화되도록) 기준 패턴에 1 이상의 이미지의 대응하는 프린트된 패턴들을 정렬하도록 복수의 이미지들의 1 이상의 이미지를 시프팅하는 것을 수반한다. 일 실시예에서, 1 이상의 이미지의 시프팅은 소정의 미리 정의된 범위로 제한된다. 반복적인 방식으로의 시프팅 시, 높은 신호-대-잡음 비의 이미지를 갖는 공통 영역이 얻어진다. 도 8b는, 예를 들어 단계 P805에서 사용되는 예시적인 서브-픽셀 정렬을 나타낸다. 도 8b를 참조하면, 이미지들(I1, I2, 및 I3)[이는 원시 이미지들(302)의 예시들임]이 서로에 대해 시프팅되어, 이미지들(302)의 다른 시프팅 조합에 비해 상대적으로 높은 신호-대-잡음 비를 갖는 공통 영역(CA)을 유도한다.
일단 원시 이미지들(302)이 정렬되면, 단계 P807에서 정렬된 복수의 이미지들의 신호 값들의 평균 값들을 연산함으로써 평균 이미지(303)가 결정된다. 결과적인 평균 이미지(303)는 원시 이미지들(302)에 비해 매우 높은 신호 대 잡음 비를 갖는 더 잘 정의된 윤곽들을 갖는다. 또한, 평균 이미지의 고품질 합성 윤곽(304)이 추출되고, 예를 들어 도 9a에서 논의되는 바와 같이 원시 이미지 윤곽 추출을 안내하는 데 더 사용될 수 있다.
도 9a는 다이-대-데이터베이스 정렬 프로세스(900)의 예시적인 흐름도이다. 일 실시예에서, D2DB 정렬은 SEM 윤곽이 기준 윤곽(GDS의 시뮬레이션 윤곽)과 비교될 수 있도록 SEM 이미지 좌표에서 GDS 좌표로 SEM 윤곽 주소를 변환한다. 일 실시예에 따르면, 이 D2DB 작동은 원시 이미지들로부터의 윤곽 추출과 같은 후속 단계에서, 예를 들어 윤곽 조정을 위한 윤곽 포인트 방향을 찾는 것을 허용한다.
다이-대-데이터베이스 정렬은 합성 윤곽(예를 들어, 304) 또는 원시 이미지들(302)의 윤곽들(예를 들어, SEM 이미지들에서의 프린트된 패턴들의 윤곽들)을 기준 패턴(301)(예를 들어, GDS에서의 디자인 레이아웃, 다른 원하는 패턴)과 정렬하는 데 사용될 수 있다. 이러한 다이-대-데이터베이스 정렬은 이미지(원시 이미지 또는 평균 이미지) 내의 위치들이 기준 패턴에 사용되는 포맷의 위치들(예를 들어, GDS 위치들)로 변환될 것을 보장한다. 프로세스(900)는, 예를 들어 프로세스 P303에서 윤곽들, 예를 들어 평균 윤곽(304) 또는 평균 윤곽(304)에 기초하여 원시 이미지들(302)로부터 추출된 윤곽들을 정렬하기 위해 채택될 수 있다.
단계 P901에서, 프로세스(900)는 윤곽-대-윤곽 차이가 감소되도록(일 실시예에서, 최소화되도록), 예를 들어 합성 윤곽(304)(또는 원시 이미지들의 윤곽들)을 기준 패턴(301)의 기준 윤곽과 정렬하는 것을 수반한다. 단계 P901에서, 윤곽 정렬은 반복적일 수 있으며, 여기서 합성 윤곽(304)은 윤곽-대-윤곽 차이가 최소화되도록 소정 범위 내에서 기준 윤곽에 대해 이동된다. 예를 들어, 도 9b는 4 개의 접촉홀들 및 수직 라인을 포함하는 기준 윤곽(901)에 대한 합성 윤곽(904)의 예시적인 정렬을 나타낸다. 기준 윤곽(901)은 중심(901c)을 갖고, 평균 윤곽(904)은 중심(904c)을 갖는다. 또한, 합성 윤곽(904)은 평균 윤곽(904)의 이동/시프팅을 위한 미리 정의된 범위(910)와 연계된다. 일 실시예에서, 윤곽-대-윤곽 차이는 미리 정의된 범위(910) 내에서 평균 윤곽(904)을 (예를 들어, 왼쪽으로) 이동시킴으로써 점진적으로 감소, 예를 들어 최소화될 수 있는 거리(912)를 포함한다. 일 실시예에서, 윤곽-대-윤곽 거리는 기준 윤곽의 에지 및 합성 윤곽의 대응하는 에지에 관한 것일 수 있다.
일 실시예에서, 윤곽-대-윤곽 차이는 절대 오차 값(예를 들어, 상이한 게이지 포인트들에서의 EPE의 합)이다. 일 실시예에서, 윤곽-윤곽 차이는 곡선 부분들에서의 커트라인 각도들과 같은 각도에 관하여 표현될 수 있다(예를 들어, 도 5의 커트라인들 CL1 및 CL5 참조).
또한, 프로세스(900)는 단계 P903에서, 예를 들어 특정 방향에서의 윤곽-대-윤곽 차이, 예를 들어 X 및/또는 Y 방향에서의 오정렬 오차를 최소화하는 제 2 정렬을 수반한다.
일 실시예에서, 장치는 측정 데이터(319)(예를 들어, 확률적 변동)에 기초하여 윤곽들을 추출하도록 구성될 수 있다. 일 실시예에서, 이러한 추출은 실시간일 수 있으며, 예를 들어 메트롤로지 툴이 대량 제조(HVM) 셋업에 배치될 수 있다. 일 실시예에서, 메트롤로지 툴은 예를 들어 방법(300)을 구현하거나, 단지 사전설정된 SEPE를 수신하는 프로세서(104)를 포함한다. 또한, 장치는 새로운 이미지들에 기초하여, 예를 들어 SEPE 대역을 (프로세서를 통해) 업데이트하도록 구성될 수 있다. 업데이트하기 전에, 예를 들어 프로세스 P305에서, 방법(300)에서 논의된 바와 같이 새로운 이미지로부터 윤곽이 추출될 수 있다.
일 실시예에서, 장치는 메트롤로지 또는 원시 이미지로부터 윤곽을 추출하도록 구성되며, 상기 장치는: (ⅰ) 프린트된 패턴을 갖는 기판의 메트롤로지 이미지를 얻고, (ⅱ) 프린트된 패턴의 평균 이미지를 얻고, (ⅲ) 평균 이미지로부터의 합성 윤곽을 추출하고, (ⅳ) 기준 패턴에 합성 윤곽을 정렬하고, (ⅴ) 원시 이미지들로부터 원시 윤곽들을 추출하고, (ⅵ) 사전-배치된 커트라인들에 기초하여 원시 윤곽들을 측정하고, 및 (ⅶ) 다이-내 변동, 다이-간 변동 및 이미지 FOV-내 변동, 즉 확률적 변동(σsepe)과 같은 측정 통계를 추출하도록 구성되는 프로세서[예를 들어, 프로세서(104)]를 포함한다.
일 실시예에서, 원시 이미지들로부터의 윤곽의 추출은 시작 포인트로서 평균 이미지로부터의 합성 윤곽 상의 포인트를 사용하고, 윤곽 에지 발견을 위한 방향으로서 기준 윤곽에 대한 합성 윤곽의 D2DB 정렬로부터의 포인트의 법선 방향 각도를 사용하는 것, 및 원시 윤곽 에지 위치를 결정하는 것을 수반한다. 일 실시예에서, 프로세서는 (예를 들어, 방법 300에서 앞서 논의된 바와 같이) 추출된 윤곽에 기초하여 패턴 측정을 결정하도록 더 구성된다.
일부 실시예들에서, 스캐닝 전자 현미경(SEM)은 기판 상에 노광되거나 전사되는 구조체(예를 들어, 디바이스의 구조체의 일부 또는 전부)의 이미지를 산출한다. 도 10은 SEM(200)의 일 실시예를 도시한다. 전자 소스(201)로부터 방출되는 일차 전자 빔(202)이 집광 렌즈(203)에 의해 수렴된 후, 빔 디플렉터(204), E x B 디플렉터(205), 및 대물 렌즈(206)를 통과하여 포커스에서 기판 테이블(101) 상의 기판(100)을 조사한다.
기판(100)이 전자 빔(202)으로 조사될 때, 기판(100)으로부터 이차 전자들이 생성된다. 이차 전자들은 E x B 디플렉터(205)에 의해 편향되고 이차 전자 검출기(207)에 의해 검출된다. 예를 들어, X 또는 Y 방향 중 다른 방향에서의 기판 테이블(101)에 의한 기판(100)의 연속적인 이동과 함께, X 또는 Y 방향에서의 빔 디플렉터(204)에 의한 전자 빔(202)의 반복적인 스캐닝 또는 빔 디플렉터(204)에 의한 전자 빔의 2 차원 스캐닝과 동기화하여 샘플로부터 생성되는 전자들을 검출함으로써 2-차원 전자 빔 이미지가 얻어질 수 있다.
이차 전자 검출기(207)에 의해 검출되는 신호는 아날로그/디지털(A/D) 변환기(208)에 의해 디지털 신호로 변환되고, 디지털 신호는 이미지 처리 시스템(300)으로 전송된다. 일 실시예에서, 이미지 처리 시스템(300)은 처리 유닛(304)에 의한 처리를 위해 디지털 이미지들의 전부 또는 일부를 저장하는 메모리(303)를 가질 수 있다. 처리 유닛(304)(예를 들어, 특별히 디자인된 하드웨어 또는 하드웨어 및 소프트웨어의 조합)은 디지털 이미지들을 디지털 이미지들을 나타내는 데이터세트들로 변환하거나 처리하도록 구성된다. 또한, 이미지 처리 시스템(300)은 참조 데이터베이스에 디지털 이미지들 및 대응하는 데이터세트들을 저장하도록 구성되는 저장 매체(301)를 가질 수 있다. 디스플레이 디바이스(302)가 이미지 처리 시스템(300)과 연결되어, 운영자가 그래픽 사용자 인터페이스의 도움으로 장비의 필요한 작동을 수행할 수 있도록 할 수 있다.
도 11은 검사 장치의 추가 실시예를 개략적으로 나타낸다. 시스템은 샘플 스테이지(89)에서 (기판과 같은) 샘플(90)을 검사하는 데 사용되며, 하전 입자 빔 생성기(81), 집광 렌즈 모듈(82), 프로브 형성 대물 렌즈 모듈(83), 하전 입자 빔 편향 모듈(84), 이차 하전 입자 검출기 모듈(85), 및 이미지 형성 모듈(86)을 포함한다.
하전 입자 빔 생성기(81)는 일차 하전 입자 빔(91)을 생성한다. 집광 렌즈 모듈(82)은 생성된 일차 하전 입자 빔(91)을 집광한다. 프로브 형성 대물 렌즈 모듈(83)은 집광된 일차 하전 입자 빔을 하전 입자 빔 프로브(92)로 포커스한다. 하전 입자 빔 편향 모듈(84)은 형성된 하전 입자 빔 프로브(92)를 샘플 스테이지(89)에 고정된 샘플(90) 상의 관심 영역의 표면에 걸쳐 스캔한다. 일 실시예에서, 하전 입자 빔 생성기(81), 집광 렌즈 모듈(82) 및 프로브 형성 대물 렌즈 모듈(83), 또는 이들의 동등한 디자인들, 대안예들 또는 여하한의 그 조합은 함께 스캐닝 하전 입자 빔 프로브(92)를 생성하는 하전 입자 빔 프로브 생성기를 형성한다.
이차 하전 입자 검출기 모듈(85)은 하전 입자 빔 프로브(92)에 의해 충격을 받을 때 (아마도 샘플 표면으로부터의 다른 반사되거나 산란된 하전 입자들과 함께) 샘플 표면으로부터 방출되는 이차 하전 입자들(93)을 검출하여, 이차 하전 입자 검출 신호(94)를 발생시킨다. 이미지 형성 모듈(86)(예를 들어, 컴퓨팅 디바이스)은 이차 하전 입자 검출기 모듈(85)과 커플링되어, 이차 하전 입자 검출기 모듈(85)로부터 이차 하전 입자 검출 신호(94)를 수신하고, 이에 따라 적어도 하나의 스캔 이미지를 형성한다. 일 실시예에서, 이차 하전 입자 검출기 모듈(85) 및 이미지 형성 모듈(86), 또는 이들의 동등한 디자인들, 대안예들 또는 여하한의 그 조합은 함께 하전 입자 빔 프로브(92)에 의해 충격을 받는 샘플(90)로부터 방출된 검출된 이차 하전 입자들로부터 스캔 이미지를 형성하는 이미지 형성 장치를 형성한다.
앞서 명시된 바와 같이, SEM 이미지들은 이미지에서 디바이스 구조체들을 나타내는 대상물들의 에지들을 설명하는 윤곽들을 추출하도록 처리될 수 있다. 그 후, 이 윤곽들은 CD와 같은 메트릭을 통해 정량화된다. 따라서, 통상적으로 디바이스 구조체들의 이미지들은 에지간 거리(CD) 또는 이미지들 간의 간단한 픽셀 차이들과 같은 단순한 메트릭을 통해 비교되고 정량화된다. CD를 측정하기 위해 이미지에서 대상물들의 에지들을 검출하는 통상적인 윤곽 모델(contour model)들은 이미지 기울기들을 사용한다. 실제로, 이러한 모델들은 강한 이미지 기울기들에 의존한다. 하지만, 실제로 이미지는 통상적으로 잡음이 많고 불연속 경계들을 갖는다. 평활화, 적응 임계화(adaptive thresholding), 에지-검출, 침식(erosion) 및 팽창(dilation)과 같은 기술들이 사용되어, 잡음이 많고 불연속적인 이미지들을 해결하도록 이미지 기울기 윤곽 모델들의 결과들을 처리할 수 있지만, 궁극적으로는 고분해능 이미지의 저분해능 정량화를 유도할 것이다. 따라서, 대부분의 경우, 잡음을 감소시키고 에지 검출을 자동화하는 디바이스 구조체들의 이미지들의 수학적 조작이 이미지의 분해능 손실을 유도하여, 정보의 손실을 유도한다. 결과적으로, 결과는 복잡한 고분해능 구조체의 단순한 표현에 해당하는 저분해능 정량화이다.
따라서, 예를 들어 구조체들이 잠재적 레지스트 이미지에 있든, 현상된 레지스트 이미지에 있든, 또는 예를 들어 에칭에 의한 기판 상의 층으로 전사되었든, 분해능을 보존하고 구조체들의 일반적인 형상을 설명할 수 있는 패터닝 공정을 사용하여 생성되거나 생성될 것으로 예상되는 구조체들[중요한 피처들, 정렬 마크 또는 메트롤로지 타겟부들(예를 들어, 격자 피처들) 등]의 수학적 표현을 갖는 것이 바람직하다. 리소그래피 또는 다른 패터닝 공정들의 맥락에서, 구조체는 제조되고 있는 디바이스 또는 그 일부일 수 있고, 이미지들은 구조체의 SEM 이미지들일 수 있다. 일부 경우에, 구조체는 반도체 디바이스, 예를 들어 집적 회로의 피처일 수 있다. 일부 경우에, 구조체는 대상물(예를 들어, 기판)의 또 다른 대상물(예를 들어, 패터닝 디바이스)과의 정렬을 결정하기 위해 정렬 측정 프로세스에서 사용되는 정렬 마크 또는 그 일부(예를 들어, 정렬 마크의 격자), 또는 패터닝 공정의 파라미터(예를 들어, 오버레이, 포커스, 도즈 등)를 측정하는 데 사용되는 메트롤로지 타겟 또는 그 일부(예를 들어, 메트롤로지 타겟의 격자)일 수 있다. 일 실시예에서, 메트롤로지 타겟은 예를 들어 오버레이를 측정하는 데 사용되는 회절 격자이다.
일 실시예에서, 도 3의 방법에 따라 결정되는 프린트된 패턴과 관련된 측정 데이터(예를 들어, 확률적 변동)는 패터닝 공정의 최적화 또는 패터닝 공정의 파라미터 조정에 채택될 수 있다. 일 예시로서, OPC는 기판 상에 투영된 디자인 레이아웃의 이미지의 최종 크기 및 배치가 단순히 패터닝 디바이스 상의 디자인 레이아웃의 크기 및 배치에만 의존하거나 이와 동일하지 않을 것이라는 사실을 설명한다. "마스크", "레티클", "패터닝 디바이스"라는 용어들은 본 명세서에서 교환가능하게 이용된다는 것을 유의한다. 또한, 리소그래피 시뮬레이션/최적화에서는 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 패터닝 디바이스를 나타내도록 사용될 수 있기 때문에, 당업자라면 특히 리소그래피 시뮬레이션/최적화와 관련하여 "마스크"/"패터닝 디바이스" 및 "디자인 레이아웃"이라는 용어가 교환가능하게 이용될 수 있다는 것을 알 것이다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재나 부재에 의해 어느 정도 영향을 받을 것이다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링(couple)된 미세한 양의 방사선, 및/또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 일어난다. 이와 유사하게, 근접 효과들은 일반적으로 리소그래피에 따라오는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 시의 확산 및 다른 화학적 영향들로부터 일어날 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 디자인의 요건들에 부합될 것을 보장하기 위해, 정교한 수치 모델들, 디자인 레이아웃의 보정들 또는 전치-왜곡(pre-distortion)들을 이용하여 근접 효과들이 예측되고 보상될 필요가 있다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design"(C. Spence, Proc. SPIE, Vol.5751, pp 1-14, 2005)은 현재 "모델-기반" 광 근접 보정 공정들의 개요를 제공한다. 전형적인 고성능(high-end) 디자인에서는, 타겟 디자인에 대한 투영 이미지의 고 충실도(high fidelity)를 달성하기 위해 디자인 레이아웃의 거의 모든 피처가 약간 수정된다. 이 수정들은 라인 폭 또는 에지 위치의 시프팅 또는 편향(biasing), 및 다른 피처들의 투영을 돕도록 의도되는 "어시스트" 피처들의 적용을 포함할 수 있다.
타겟 디자인에 대한 모델-기반 OPC의 적용은, 칩 디자인에 전형적으로 존재하는 수백만의 피처들을 감안하면 상당한 연산 리소스(computational resource)들 및 우수한 공정 모델들을 수반한다. 하지만, OPC를 적용하는 것은 일반적으로 "정밀 과학"이 아니라, 모든 가능한 근접 효과를 항상 보상하지는 않는 실험적인 반복 공정이다. 그러므로, 패터닝 디바이스 패턴으로 형성되는 디자인 결함들의 가능성을 최소화하기 위해, OPC의 효과, 예를 들어 OPC 및 여하한의 다른 RET의 적용 후 디자인 레이아웃들이 디자인 검사, 즉 캘리브레이션된 수치 공정 모델들을 이용한 집약적인 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 검증되어야 한다. 이는 고성능 패터닝 디바이스들을 제작하는 막대한 비용 -이는 수백만 달러 범위에서 운영됨- 에 의해, 그리고 일단 제조되면 실제 패터닝 디바이스들을 재작업하거나 수리하는 데 소요되는 시간에 대한 영향에 의해 좌우된다.
OPC 및 풀-칩 RET 검증은 둘 다, 예를 들어 미국 특허 출원 제 10/815,573호 및 "Optimized Hardware and Software For Fast, Full Chip Simulation"(Y. Cao 외, Proc. SPIE, Vol.5754, 405, 2005)이라는 제목의 논문에서 설명되는 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
한 RET는 디자인 레이아웃의 전역적 편향의 조정과 관련된다. 전역적 편향은 기판 상에 프린트되도록 의도된 패턴들과 디자인 레이아웃의 패턴들 간의 차이이다. 예를 들어, 25 nm 직경의 원형 패턴이 디자인 레이아웃의 50 nm 직경 패턴에 의해 또는 디자인 레이아웃의 20 nm 직경 패턴에 의해 하지만 높은 도즈로 기판 상에 프린트될 수 있다.
디자인 레이아웃들 또는 패터닝 디바이스들에 대한 최적화(예를 들어, OPC)에 더하여, 전체 리소그래피 충실도를 개선하려는 노력으로, 패터닝 디바이스 최적화와 함께 또는 개별적으로, 조명 소스도 최적화될 수 있다. "조명 소스" 및 "소스"라는 용어들은 본 명세서에서 교환가능하게 사용된다. 1990 년대 이래로, 환형, 쿼드러폴 및 다이폴과 같은 많은 오프-액시스 조명 소스들이 도입되고, OPC 디자인에 대해 더 많은 자유를 제공하였으며, 이로 인해 이미징 결과들이 개선되었다. 알려져 있는 바와 같이, 오프-액시스 조명은 패터닝 디바이스에 포함된 미세 구조체들(즉, 타겟 피처들)을 분해하는 증명된 방식이다. 하지만, 종래의 조명 소스에 비해, 오프-액시스 조명 소스는 통상적으로 에어리얼 이미지(AI)에 대해 더 적은 방사선 세기를 제공한다. 따라서, 더 미세한 분해능과 감소된 방사선 세기 간의 최적 밸런스를 달성하도록 조명 소스를 최적화하려는 시도가 바람직해진다.
무수한 조명 소스 최적화 접근법들은, 예를 들어 Rosenbluth 외의 논문 "Optimum Mask and Source Patterns to Print A Given Shape"(Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, 2002)에서 찾아볼 수 있다. 소스는 수 개의 구역들로 분할되고, 이 각각은 퓨필 스펙트럼의 소정 구역에 대응한다. 이때, 소스 분포는 각 소스 구역에서 균일하다고 가정되며, 각 구역의 휘도는 공정 윈도우에 대해 최적화된다. 하지만, 각 소스 구역에서 소스 분포가 균일하다는 이러한 가정이 항상 유효하지는 않으며, 결과로서 이 접근법의 유효성이 불리해진다. Granik의 논문 "Source Optimization for Image Fidelity and Throughput"(Journal of Microlithography, Microfabrication, Microsystems 3(4), pp.509-522, 2004)에서 설명된 또 다른 예시에서, 몇몇 기존 소스 최적화 접근법들의 개요가 제공되고, 소스 최적화 문제를 일련의 비-음수 최소 제곱 최적화(non-negative least square optimization)들로 전환하는 일루미네이터 픽셀들에 기초한 방법이 제안된다. 이 방법들은 몇몇 성공들을 증명하였지만, 이들은 전형적으로 수렴을 위해 다수의 복잡한 반복들을 요구한다. 또한, Granik의 방법에서의 γ와 같은, 소스의 평활도(smoothness) 요건과 기판 이미지 충실도를 위한 소스의 최적화 간의 트레이드오프(trade-off)를 좌우하는 몇몇 여분의 파라미터들에 대해 적절한/최적 값들을 결정하는 것이 어려울 수 있다.
저 k1 포토리소그래피에 대해, 소스 및 패터닝 디바이스 둘의 최적화는 임계 회로 패턴들의 투영을 위한 실행가능한 공정 윈도우를 보장하는 데 유용하다. 몇몇 알고리즘들(예를 들어, Socha 외, Proc. SPIE vol.5853, 2005, p.180)이 공간 주파수 도메인에서 조명을 독립적인 소스점들로, 그리고 마스크를 회절 차수들로 분할(discretize)하고, 소스점 세기들 및 패터닝 디바이스 회절 차수들로부터의 광학 이미징 모델들에 의해 예측될 수 있는 노출 관용도(exposure latitude)와 같은 공정 윈도우 메트릭에 기초하여 개별적으로 비용 함수(이는 선택된 디자인 변수들의 함수로서 정의됨)를 공식화한다. 본 명세서에서 사용되는 바와 같은 "디자인 변수"라는 용어는 리소그래피 공정 또는 리소그래피 투영 장치의 파라미터들, 예를 들어 리소그래피 투영 장치의 사용자가 조정할 수 있는 파라미터들의 세트, 또는 그 파라미터들을 조정함으로써 사용자가 조정할 수 있는 이미지 특성들을 포함한다. 소스, 패터닝 디바이스, 투영 광학기 및/또는 레지스트 특성들을 포함한 리소그래피 투영 공정의 여하한의 특성들이 최적화에서의 디자인 변수들 사이에 있을 수 있음을 이해하여야 한다. 비용 함수는 흔히 디자인 변수들의 비-선형 함수이다. 이때, 비용 함수를 최소화하기 위해 표준 최적화 기술들이 사용된다.
관련적으로, 지속된 감소 디자인 규칙들(decreasing design rules)의 압박은 반도체 제조업자가 기존 193 nm ArF 리소그래피를 이용한 저 k1 리소그래피 시대로 더 깊이 이동하게 하였다. 더 낮은 k1을 향한 리소그래피는 RET, 노광 툴들, 및 리소-친화적(litho-friendly) 디자인의 필요성에 대한 막대한 요구를 부여한다. 1.35 ArF 하이퍼 개구수(NA) 노광 툴들이 장차 사용될 수 있다. 운용가능한 공정 윈도우로 기판 상에 회로 디자인이 생성될 수 있을 것을 보장하도록 돕기 위해, (본 명세서에서, 소스-마스크 최적화 또는 SMO라고 칭하는) 소스-패터닝 디바이스 최적화가 2x nm 노드를 위한 중요한 RET가 되고 있다.
실행가능한 시간 내에 제약 없이 비용 함수를 이용하여 소스 및 패터닝 디바이스의 동시 최적화를 허용하는 소스 및 패터닝 디바이스(디자인 레이아웃) 최적화 방법 및 시스템이, 일반적으로 승인된 "Fast Freeform Source and Mask Co-Optimization Method"라는 제목의 WO2010/059954로 공개되고 2009년 11월 20일 출원된 국제 특허 출원 PCT/US2009/065359호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
소스의 픽셀들을 조정함으로써 소스를 최적화하는 것을 수반하는 또 다른 소스 및 마스크 최적화 방법 및 시스템이, 일반적으로 승인된 "Source-Mask Optimization in Lithographic Apparatus"라는 제목의 미국 특허 출원 공개공보 2010/0315614호로 공개되고 2010년 6월 10일 출원된 미국 특허 출원 제 12/813456호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
리소그래피 투영 장치에서, 일 예시로서 비용 함수는 다음과 같이 표현된다:
Figure pct00001
이때, (z1,z2,…,zN)는 N 개의 디자인 변수들 또는 그 값들이다. fp(z1,z2,…,zN)은 (z1,z2,…,zN)의 디자인 변수들의 값들의 일 세트에 대한 평가 포인트에서의 특성의 실제 값과 의도된 값 간의 차와 같은 디자인 변수들 (z1,z2,…,zN)의 함수일 수 있다. wp는 fp(z1,z2,…,zN)와 연계된 가중치 상수이다. 다른 것들보다 더 임계적인 평가 포인트 또는 패턴에 더 높은 wp 값이 할당될 수 있다. 발생 수가 더 큰 패턴들 및/또는 평가 포인트들에도 더 높은 wp 값이 할당될 수 있다. 평가 포인트들의 예시들은 기판 상의 여하한의 물리적 포인트 또는 패턴, 가상 디자인 레이아웃 또는 레지스트 이미지 또는 에어리얼 이미지 상의 여하한의 포인트, 또는 그 조합일 수 있다. 또한, fp(z1,z2,…,zN)은 디자인 변수들 (z1,z2,…,zN)의 함수들인 LWR과 같은 1 이상의 확률적 영향의 함수일 수도 있다. 비용 함수는 리소그래피 투영 장치 또는 기판의 여하한의 적절한 특성들, 예를 들어 피처의 실패율, 포커스, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전, 확률적 영향들, 스루풋, CDU, 또는 그 조합을 나타낼 수 있다. CDU는 국부적 CD 변동(예를 들어, 국부적 CD 분포의 표준 편차의 3 배)이다. CDU는 교환가능하게 LCDU라고 칭해질 수 있다. 일 실시예에서, 비용 함수는 CDU, 스루풋 및 확률적 영향들을 나타낸다(즉, 이들의 함수이다). 일 실시예에서, 비용 함수는 EPE, 스루풋 및 확률적 영향들을 나타낸다(즉, 이들의 함수이다). 일 실시예에서, 디자인 변수들 (z1,z2,…,zN)은 도즈, 패터닝 디바이스의 전역적 편향, 소스로부터의 조명의 형상, 또는 그 조합을 포함한다. 흔히 기판 상의 회로 패턴을 좌우하는 것이 레지스트 이미지이기 때문에, 비용 함수는 흔히 레지스트 이미지의 몇몇 특성들을 나타내는 함수들을 포함한다. 예를 들어, 이러한 평가 포인트의 fp(z1,z2,…,zN)는 단순히 레지스트 이미지 내의 지점과 그 지점의 의도된 위치 간의 거리[즉, 에지 배치 오차 EPEP(z1,z2,…,zN)]일 수 있다. 디자인 변수들은 소스, 패터닝 디바이스, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터들과 같은 여하한의 조정가능한 파라미터들일 수 있다. 투영 광학기는 집합적으로 "파면 머니퓰레이터"라 하는 구성요소들을 포함할 수 있으며, 이는 조사 빔의 위상 시프트 및/또는 세기 분포 및 파면의 형상들을 조정하는 데 사용될 수 있다. 투영 광학기는 바람직하게는 패터닝 디바이스 전, 퓨필 평면 부근, 이미지 평면 부근, 초점면 부근과 같은 리소그래피 투영 장치의 광학 경로를 따르는 여하한의 위치에서 파면 및 세기 분포를 조정할 수 있다. 투영 광학기는, 예를 들어 소스, 패터닝 디바이스, 리소그래피 투영 장치 내의 온도 변동, 리소그래피 투영 장치의 구성요소들의 열팽창에 의해 야기된 파면 및 세기 분포의 소정 왜곡들을 보정 또는 보상하는 데 사용될 수 있다. 파면 및 세기 분포를 조정하는 것이 비용 함수 및 평가 포인트들의 값들을 변화시킬 수 있다. 이러한 변화들은 모델로부터 시뮬레이션되거나, 또는 실제로 측정될 수 있다. 물론, CF(z1,z2,…,zN)는 Eq.1의 형태에 제한되지 않는다. CF(z1,z2,…,zN)는 여하한의 다른 적절한 형태일 수 있다.
fp(z1,z2,…,zN)의 통상적인 가중 RMS는
Figure pct00002
로서 정의되므로, fp(z1,z2,…,zN)의 가중 RMS를 최소화하는 것이 Eq.1에 정의된 비용 함수
Figure pct00003
를 최소화하는 것과 균등하다는 것을 유의하여야 한다. 따라서, fp(z1,z2,…,zN)의 가중 RMS 및 Eq.1은 본 명세서에서 표기의 간명함을 위해 교환가능하게 이용될 수 있다.
또한, PW(공정 윈도우)를 최대화하는 것을 고려하는 경우, 상이한 PW 조건들로부터의 동일한 물리적 위치를 (Eq.1)의 비용 함수에서의 상이한 평가 포인트들로 간주할 수 있다. 예를 들어, N 개의 PW 조건들이 고려되는 경우, 평가 포인트들은 그들의 PW 조건들에 따라 분류될 수 있으며, 비용 함수들은 다음과 같이 기록될 수 있다:
Figure pct00004
이때, fpu(z1,z2,…,zN)는 u-번째 PW 조건(u=1,…,U) 하의 fp(z1,z2,…,zN)의 값이다. fp(z1,z2,…,zN)가 EPE인 경우, 앞선 비용 함수를 최소화하는 것은 다양한 PW 조건들 하의 에지 시프트를 최소화하는 것과 균등하며, 이에 따라 이는 PW를 최대화하는 것을 유도한다. 특히, PW가 상이한 마스크 편향으로도 구성되는 경우, 앞선 비용 함수를 최소화하는 것은 MEEF(Mask Error Enhancement Factor)의 최소화도 포함하며, 이는 기판 EPE와 유도된 마스크 에지 편향 간의 비로서 정의된다.
디자인 변수들은 제약들을 가질 수 있으며, 이는 (z1,z2,…,zN) ∈ Z로서 표현될 수 있고, 이때 Z는 디자인 변수들의 가능한 값들의 일 세트이다. 디자인 변수들에 대한 한 가지 가능한 제약은 리소그래피 투영 장치의 수율 또는 요구되는 스루풋에 의해 부과될 수 있다. 요구되는 수율 또는 스루풋은 도즈를 제한할 수 있으며, 이에 따라 통계적 영향들에 대한 함축(implication)들을 갖는다(예를 들어, 통계적 영향들에 하한계를 부과함). 더 높은 스루풋은 일반적으로 더 낮은 도즈, 더 짧은 노광 시간 및 더 큰 확률적 영향들을 초래한다. 더 높은 수율은 일반적으로 확률적 위험에 민감할 수 있는 제한된 디자인을 초래한다. 기판 스루풋, 수율 및 확률적 영향들의 최소화의 고려가 디자인 변수들의 가능한 값들을 제한할 수 있는데, 이는 통계적 영향들이 디자인 변수들의 함수이기 때문이다. 요구되는 스루풋에 의해 부과되는 이러한 제약이 없으면, 최적화는 비현실적인 디자인 변수들의 값들의 세트를 산출할 수 있다. 예를 들어, 이러한 제약 없이 도즈가 디자인 변수들 사이에 있는 경우, 최적화는 경제적으로 불가능한 스루풋을 구성하는 도즈 값을 산출할 수 있다. 하지만, 제약들의 유용성은 필요성으로 해석되어서는 안 된다. 스루풋은 패터닝 공정의 파라미터들에 대한 실패율 기반 조정에 의해 영향을 받을 수 있다. 높은 스루풋을 유지하면서 피처의 더 낮은 실패율을 갖는 것이 바람직하다. 또한, 스루풋은 레지스트 화학적 성질에 의해 영향을 받을 수 있다. 더 느린 레지스트(즉, 적절히 노광되기 위해 더 높은 양의 광을 필요로 하는 레지스트)가 더 낮은 스루풋을 초래한다. 따라서, 더 높은 스루풋을 위한 도즈 요건들, 및 레지스트 화학적 성질 또는 변동들로 인한 피처의 실패율과 관련되는 최적화 프로세스에 기초하여, 패터닝 공정의 적절한 파라미터들이 결정될 수 있다.
그러므로, 최적화 프로세스는 제약들 (z1,z2,…,zN) ∈ Z 하에서 비용 함수를 최소화하는 디자인 변수들의 값들의 일 세트, 즉 다음을 발견하는 것이다:
Figure pct00005
일 실시예에 따른 리소그래피 투영 장치를 최적화하는 일반적인 방법이 도 12에 예시된다. 이 방법은 복수의 디자인 변수들의 다변수 비용 함수를 정의하는 단계(S1202)를 포함한다. 디자인 변수들은 조명 소스의 특성들(1200A)(예를 들어, 퓨필 충진율, 즉 퓨필 또는 어퍼처를 통과하는 소스의 방사선의 백분율), 투영 광학기의 특성들(1200B), 및 디자인 레이아웃의 특성들(1200C)로부터 선택되는 여하한의 적절한 조합을 포함할 수 있다. 예를 들어, 디자인 변수들은 조명 소스의 특성들(1200A) 및 디자인 레이아웃의 특성들(1200C)(예를 들어, 전역적 편향)을 포함하고, 투영 광학기의 특성들(1200B)은 포함하지 않을 수 있으며, 이는 SMO를 초래한다. 대안적으로, 디자인 변수들은 조명 소스의 특성들(1200A), 투영 광학기의 특성들(1200B), 및 디자인 레이아웃의 특성들(1200C)을 포함할 수 있고, 이는 소스-마스크-렌즈 최적화(SMLO)를 초래한다. 단계 S1204에서, 디자인 변수들은 비용 함수가 수렴을 향해 이동되도록 동시에 조정된다. 단계 S1206에서, 사전설정된 종료 조건을 만족하는지가 판단된다. 사전설정된 종료 조건은 다양한 가능성들을 포함할 수 있으며, 즉 비용 함수의 값이 임계값과 동일하거나 임계값을 넘었을 때, 비용 함수의 값이 미리 조정된 오차 한계 내에 도달했을 때, 미리 조정된 반복 수에 도달할 때, 또는 사용되는 수치해석 기술(numerical technique)의 요구에 따라 비용 함수가 최소화 또는 최대화될 때일 수 있다. 단계 S1206에서의 조건들 중 어느 하나가 만족되는 경우에 상기 방법이 종료된다. 단계 S1206에서의 어떤 조건도 만족되지 않는 경우, 원하는 결과가 얻어질 때까지 단계 S1204 및 단계 S1206이 반복적으로 되풀이된다. 최적화는 반드시 디자인 변수들에 대한 값들의 단일 세트를 초래하지는 않는데, 이는 실패율, 퓨필 충진율, 레지스트 화학적 성질, 스루풋 등과 같은 인자들에 의해 야기되는 물리적 한계들이 존재할 수 있기 때문이다. 최적화는 디자인 변수들에 대한 값들의 다수 세트들 및 연계된 성능 특성들(예를 들어, 스루풋)을 제공하고, 리소그래피 장치의 사용자로 하여금 1 이상의 세트를 고르게 할 수 있다.
리소그래피 투영 장치에서, 소스, 패터닝 디바이스 및 투영 광학기는 교대로(alternatively) 최적화될 수 있거나[교대 최적화(Alternative Optimization)라 칭함], 또는 동시에 최적화될 수 있다(동시 최적화라 칭함). 본 명세서에서 사용되는 바와 같은 "동시", "동시에", "공동(joint)" 및 "공동으로"라는 용어들은, 소스, 패터닝 디바이스, 투영 광학기의 특성들의 디자인 변수들 및/또는 여하한의 다른 디자인 변수들이 동일한 시간에 변화되도록 허용된다는 것을 의미한다. 본 명세서에서 사용되는 바와 같은 "교대" 및 "교대로"라는 용어는, 디자인 변수들 모두가 동일한 시간에 변화되도록 허용되지 않는다는 것을 의미한다.
도 13에서, 모든 디자인 변수들의 최적화는 동시에 실행된다. 이러한 흐름은 동시 흐름 또는 공동-최적화 흐름(co-optimization flow)이라 칭해질 수 있다. 대안적으로, 모든 디자인 변수들의 최적화는 도 13에 예시된 바와 같이 교대로 실행된다. 이 흐름에서는, 각각의 단계에서 몇몇 디자인 변수들은 고정되는 한편, 다른 디자인 변수들은 비용 함수를 최소화하도록 최적화된다; 그 후, 다음 단계에서 변수들의 상이한 세트가 고정되는 한편, 다른 것들은 비용 함수를 최소화하도록 최적화된다. 이 단계들은 수렴 또는 소정 종료 조건들이 충족될 때까지 교대로 실행된다.
비-제한적인 예시의 도 13의 흐름도에 나타낸 바와 같이, 우선 디자인 레이아웃(단계 S1302)이 얻어진 후, 소스 최적화의 단계가 단계 S1304에서 실행되며, 이때 조명 소스의 모든 디자인 변수들이 비용 함수를 최소화하도록 최적화되는 한편(SO), 모든 다른 디자인 변수들은 고정된다. 그 후, 다음 단계 S1306에서 마스크 최적화(MO)가 수행되며, 이때 패터닝 디바이스의 모든 디자인 변수들이 비용 함수를 최소화하도록 최적화되는 한편, 모든 다른 디자인 변수들은 고정된다. 이 두 단계들은 단계 S1308에서 소정 종료 조건들이 충족될 때까지 교대로 실행된다. 비용 함수의 값이 임계값과 동일하게 되는 것, 비용 함수의 값이 임계값을 넘는 것, 비용 함수의 값이 미리 조정된 오차 한계 내에 도달하는 것, 또는 미리 조정된 반복 수에 도달하는 것 등과 같은 다양한 종료 조건들이 사용될 수 있다. 교대 흐름에 대한 일 예시로서 SO-MO-교대-최적화가 사용된다는 것을 유의한다. 교대 흐름은 많은 상이한 형태, 예를 들어 SO-LO-MO-교대-최적화를 취할 수 있으며, 이때 SO, LO(렌즈 최적화), 및 MO가 교대로 및 반복적으로 실행된다; 또는 우선 SMO가 한 번 실행된 후, LO 및 MO가 교대로 및 반복적으로 실행될 수 있다; 그 밖에도 여러 가지가 있다. 최종적으로, 최적화 결과의 출력이 단계 S1310에서 얻어지고, 공정이 정지된다.
앞서 설명된 바와 같은 패턴 선택 알고리즘은 동시 또는 교대 최적화와 통합될 수 있다. 예를 들어, 교대 최적화가 채택되는 경우, 우선 풀-칩 SO가 수행될 수 있으며, '핫스폿(hot spot)들' 및/또는 '웜스폿(warm spot)들'이 식별되고, 그 후 MO가 수행된다. 본 발명의 관점에서, 요구되는 최적화 결과들을 달성하기 위해 서브-최적화들의 다수 순열 및 조합이 가능하다.
도 14a는 비용 함수가 최소화되는 한 가지 예시적인 최적화 방법을 나타낸다. 단계 S502에서, 만약에 있다면, 조절 범위들을 포함하는 디자인 변수들의 초기 값들이 얻어진다. 단계 S504에서, 다변수 비용 함수가 설정된다. 단계 S506에서, 제 1 반복 단계(i=0)에 대해 디자인 변수들의 시작점 값 주위의 충분히 작은 일대(small enough neighborhood) 내에서 비용 함수가 확장된다. 단계 S508에서, 비용 함수를 최소화하기 위해 표준 다변수 최적화 기술들이 적용된다. 최적화 문제는 S508에서 최적화 프로세스 동안 또는 최적화 프로세스의 추후 단계에서 조절 범위와 같은 제약들을 적용할 수 있음을 유의한다. 단계 S520은 리소그래피 공정을 최적화하기 위해 선택되었던 식별된 평가 포인트들에 대한 주어진 테스트 패턴들("게이지들"이라고도 알려짐)에 대해 각각의 반복이 행해짐을 나타낸다. 단계 S510에서, 리소그래피 응답이 예측된다. 단계 S512에서, 단계 S510의 결과는 단계 S522에서 얻어지는 원하는 또는 이상적인 리소그래피 응답 값과 비교된다. 단계 S514에서 종료 조건이 만족되면, 즉 최적화가 원하는 값에 충분히 근접한 리소그래피 응답 값을 생성하면, 단계 S518에서 디자인 변수들의 최종 값이 출력된다. 또한, 출력 단계는 퓨필 평면(또는 다른 평면들)에서의 파면 수차-조정된 맵, 최적화된 소스 맵, 및 최적화된 디자인 레이아웃 등을 출력하는 단계와 같이, 디자인 변수들의 최종 값들을 이용하여 다른 함수들을 출력하는 단계를 포함할 수 있다. 종료 조건이 만족되지 않은 경우, 단계 S516에서 디자인 변수들의 값들은 i-번째 반복의 결과로 업데이트되며, 상기 프로세스는 단계 S506으로 되돌아간다. 도 14a의 프로세스는 아래에서 상세히 설명된다.
예시적인 최적화 프로세스에서, fp(z1,z2,…,zN)가 충분히 평활한[예를 들어, 1차 도함수
Figure pct00006
가 존재함] 것을 제외하고는, 디자인 변수들(z1,z2,…,zN)과 fp(z1,z2,…,zN) 간의 관계가 가정되거나 근사화되지 않으며, 이는 일반적으로 리소그래피 투영 장치에서 유효하다.
Figure pct00007
를 찾기 위해, 가우스-뉴턴 알고리즘, 레벤버그-마쿼트 알고리즘, 기울기 하강 알고리즘, 모의 담금질, 및 유전적 알고리즘과 같은 알고리즘이 적용될 수 있다.
여기서, 일 예시로서 가우스-뉴턴 알고리즘이 사용된다. 가우스-뉴턴 알고리즘은 일반적인 비선형 다변수 최적화 문제에 적용가능한 반복 방법이다. 디자인 변수들(z1,z2,…,zN)이 (z1i,z2i,…,zNi)의 값들을 취하는 i-번째 반복에서, 가우스-뉴턴 알고리즘은 (z1i,z2i,…,zNi)의 부근에서 fp(z1,z2,…,zN)를 선형화하고, 그 후 CF(z1,z2,…,zN)의 최소값을 제공하는 (z1i,z2i,…,zNi)의 부근에서의 (z1(i+1),z2(i+1),…,zN(i+1)) 값들을 계산한다. 디자인 변수들(z1,z2,…,zN)은 (i+1)-번째 반복에서 (z1(i+1),z2(i+1),…,zN(i+1))의 값들을 취한다. 이 반복은 수렴[즉, CF(z1,z2,…,zN)가 더 이상 감소하지 않음] 또는 미리 조정된 수의 반복에 도달할 때까지 계속된다.
구체적으로는, i-번째 반복에서, (z1i,z2i,…,zNi)의 부근에서,
Figure pct00008
Eq.3의 근사치 하에서, 비용 함수는 다음과 같다:
Figure pct00009
Figure pct00010
이는 디자인 변수들(z1,z2,…,zN)의 이차 함수이다. 디자인 변수들(z1,z2,…,zN)을 제외한 모든 항은 상수이다.
디자인 변수들(z1,z2,…,zN)이 어떠한 제약들 하에 있지 않은 경우, (z1(i+1),z2(i+1),…,zN(i+1))는 N 개의 선형 방정식들로 풀어서 도출될 수 있다:
Figure pct00011
, 이때 n = 1,2,…,N.
디자인 변수들(z1,z2,…,zN)이 J 개의 부등식[예를 들어, (z1,z2,…,zN)의 조절 범위들]
Figure pct00012
(j = 1,2,…,J); 및 K 개의 등식(예를 들어, 디자인 변수들 간의 상호의존성)
Figure pct00013
(k = 1,2,…,K)의 형태로 제약들 하에 있는 경우, 최적화 프로세스는 전형적인 이차 프로그래밍 문제가 되며, 이때 Anj, Bj, Cnk, Dk는 상수들이다. 각각의 반복에 대하여 추가적인 제약들이 부과될 수 있다. 예를 들어, Eq.3의 근사치가 유지되도록 (z1(i+1),z2(i+1),…,zN(i+1))와 (z1i,z2i,…,zNi) 간의 차이를 제한하기 위해 "감쇠 인자(damping factor)" ΔD가 도입될 수 있다. 이러한 제약들은 zniD≤zN≤zniD로서 표현될 수 있다. (z1(i+1),z2(i+1),…,zN(i+1))는, 예를 들어 Jorge Nocedal 및 Stephen J. Wright의 Numerical Optimization(제 2 판)(Berlin New York: Vandenberghe. Cambridge University Press)에 기술된 방법들을 이용하여 도출될 수 있다.
fp(z1,z2,…,zN)의 RMS를 최소화하는 대신에, 최적화 프로세스는 평가 포인트들 중에 가장 큰 편차(최악의 결함)의 크기를 그들의 의도된 값들로 최소화할 수 있다. 이러한 접근법에서, 비용 함수는 대안적으로 다음과 같이 표현될 수 있다:
Figure pct00014
여기서, CLP는 fp(z1,z2,…,zN)에 대한 최대 허용 값이다. 이러한 비용 함수는 평가 포인트들 중에 최악의 결함을 나타낸다. 이러한 비용 함수를 이용하는 최적화는 최악의 결함의 크기를 최소화한다. 이러한 최적화를 위해 반복적인 그리디 알고리즘(greedy algorithm)이 사용될 수 있다.
Eq.5의 비용 함수는 다음과 같이 근사화될 수 있다:
Figure pct00015
이때, q는 적어도 4, 바람직하게는 적어도 10과 같은 양의 짝수 정수(even positive integer)이다. Eq.6은 Eq.5의 형태(behavior)와 흡사하지만, 최적화로 하여금 분석적으로 실행되게 하고, 극심 하강 방법(deepest descent method), 공액 구배 방법(conjugate gradient method) 등과 같은 방법들을 이용함으로써 가속되게 한다.
또한, 최악의 결함 크기를 최소화하는 것은 fp(z1,z2,…,zN)의 선형화와 조합될 수 있다. 구체적으로, fp(z1,z2,…,zN)는 Eq.3에서와 같이 근사화된다. 이때, 최악의 결함 크기에 대한 제약들은 부등식 ELp≤fp(z1,z2,…,zN)≤EUp으로서 쓰여지며, 여기서 ELp및 EUp는 fp(z1,z2,…,zN)에 대한 최소 및 최대 허용 편차를 특정하는 2 개의 상수들이다. Eq.3을 대입하면, 이러한 제약들은 p=1,…,P에 대하여 다음으로 변환된다:
Figure pct00016
Figure pct00017
Eq.3이 일반적으로 (z1i,z2i,…,zNi)의 부근에서만 유효하기 때문에, 원하는 제약들 ELp≤fp(z1,z2,…,zN)≤EUp이 이러한 부근에서 달성될 수 없는 경우 -이는 부등식들 간의 여하한의 상충(conflict)에 의해 결정될 수 있음- , 상수들 ELp 및 EUp는 제약들이 달성가능할 때까지 완화될 수 있다. 이러한 최적화 프로세스는 (z1i,z2i,…,zNi)의 부근에서의 최악의 결함 크기를 최소화한다. 이때, 각각의 단계가 최악의 결함 크기를 점진적으로 감소시키며, 소정 종료 조건들이 충족될 때까지 각각의 단계가 반복적으로 실행된다. 이는 최악의 결함 크기의 최적의 감소를 유도할 것이다.
최악의 결함을 최소화하는 또 다른 방식은 각각의 반복에서 가중치 wp를 조정하는 것이다. 예를 들어, i-번째 반복 후, r-번째 평가 포인트가 최악의 결함인 경우, 그 평가 포인트의 결함 크기의 감소에 더 높은 우선순위가 주어지도록 wr이 (i+1)-번째 반복에서 증가될 수 있다.
또한, Eq.4 및 Eq.5의 비용 함수들은 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 사이에 절충을 달성하기 위해 라그랑주 승수(Lagrange multiplier)를 도입함으로써 수정될 수 있으며, 즉 다음과 같다:
Figure pct00018
이때, λ는 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 간의 트레이드오프를 특정하는 사전설정된 상수이다. 특히, λ=0인 경우, 이는 Eq.4가 되고, 결함 크기의 RMS만이 최소화되는 한편; λ=1인 경우, 이는 Eq.5가 되고, 최악의 결함 크기만이 최소화되며; 0<λ<1인 경우에는, 둘 모두의 최적화가 고려된다. 이러한 최적화는 다수 방법들을 이용하여 구할 수 있다. 예를 들어, 이전에 설명된 것과 유사하게 각각의 반복에서의 가중이 조정될 수 있다. 대안적으로, 부등식들로부터 최악의 결함 크기를 최소화하는 것과 유사하게, Eq.6' 및 6"의 부등식들은 이차 프로그래밍 문제의 해결 동안 디자인 변수들의 제약들로서 여겨질 수 있다. 그 후, 최악의 결함 크기에 대한 한계들은 증분적으로(incrementally) 완화되거나 최악의 결함 크기에 대한 가중치를 증분적으로 증가시킬 수 있고, 달성가능한 모든 최악의 결함 크기에 대한 비용 함수 값을 연산할 수 있으며, 다음 단계를 위한 초기 지점으로서 총 비용 함수를 최소화하는 디자인 변수 값들을 선택할 수 있다. 이를 반복적으로 수행함으로써, 이 새로운 비용 함수의 최소화가 달성될 수 있다.
리소그래피 투영 장치를 최적화하는 것이 공정 윈도우를 확장할 수 있다. 더 큰 공정 윈도우는 공정 디자인 및 칩 디자인에 더 많은 유연성을 제공한다. 공정 윈도우는 포커스 및 도즈 값들의 세트로서 정의될 수 있으며, 이에 대해 레지스트 이미지는 레지스트 이미지의 디자인 타겟의 소정 한계 내에 있다. 본 명세서에 설명된 모든 방법들은, 노광 도즈 및 디포커스 이외에 상이한 또는 추가적인 기저 파라미터들에 의해 확립될 수 있는 일반화된 공정 윈도우 정의로 연장될 수도 있다는 것을 유의한다. 이들은 광학 세팅들, 에컨대 NA, 시그마, 수차, 편광, 또는 레지스트 층의 광학 상수들을 포함할 수 있으며, 이에 제한되지는 않는다. 예를 들어, 앞서 설명된 바와 같이, PW가 상이한 마스크 편향으로 구성되는 경우, 최적화는 MEEF(Mask Error Enhancement Factor)의 최소화를 포함하며, 이는 기판 EPE와 유도된 마스크 에지 편향 간의 비로서 정의된다. 포커스 및 도즈 값들에 대해 정의된 공정 윈도우는 단지 본 명세서에서 일 예시로서 제공된다. 일 실시예에 따른 공정 윈도우를 최대화하는 방법이 아래에 설명된다.
제 1 단계에서, 공정 윈도우의 알려진 조건(f00)으로부터 시작하며, f0는 공칭 포커스이고, ε0는 공칭 도즈이며, 부근 (f0±Δf,ε0±Δε)에서 아래의 비용 함수들 중 하나를 최소화한다:
Figure pct00019
또는
Figure pct00020
또는
Figure pct00021
공칭 포커스(f0) 및 공칭 도즈(ε0)가 시프트하도록 허용되는 경우, 이들은 디자인 변수들(z1,z2,…,zN)과 공동으로 최적화될 수 있다. 다음 단계에서, 비용 함수가 사전설정된 한계 내에 있도록 (z1,z2,…,zN,f,ε)의 값들의 세트가 찾아질 수 있는 경우, 공정 윈도우의 일부분으로서 (f0±Δf,ε0±Δε)가 용인된다.
대안적으로, 포커스 및 도즈가 시프트하도록 허용되지 않는 경우, 디자인 변수들(z1,z2,…,zN)은 공칭 포커스(f0) 및 공칭 도즈(ε0)에 고정된 포커스 및 도즈로 최적화된다. 대안적인 실시예에서, 비용 함수가 사전설정된 한계 내에 있도록 (z1,z2,…,zN)의 값들의 세트가 찾아질 수 있는 경우, 공정 윈도우의 일부분으로서 (f0±Δf,ε0±Δε)가 용인된다.
본 명세서에서 이전에 설명된 방법들은 Eq.7, Eq.7' 또는 Eq.7"의 각각의 비용 함수들을 최소화하기 위해 사용될 수 있다. 디자인 변수들이 제르니케 계수와 같은 투영 광학기의 특성들인 경우, Eq.7, Eq.7' 또는 Eq.7"의 비용 함수들을 최소화하는 것은 투영 광학기 최적화, 즉 LO에 기초한 공정 윈도우 최대화를 유도한다. 디자인 변수들이 투영 광학기의 특성들에 추가하여 소스 및 패터닝 디바이스의 특성들인 경우, Eq.7, Eq.7' 또는 Eq.7"의 비용 함수들을 최소화하는 것은 도 13에 예시된 바와 같은 SMLO에 기초한 공정 윈도우 최대화를 유도한다. 디자인 변수들이 소스 및 패터닝 디바이스의 특성들인 경우, Eq.7, Eq.7' 또는 Eq.7"의 비용 함수들을 최소화하는 것은 SMO에 기초한 공정 윈도우 최대화를 유도한다. 또한, Eq.7, Eq.7' 또는 Eq.7"의 비용 함수들은 Eq.7 또는 Eq.8에서와 같은 적어도 하나의 fp(z1,z2,…,zN)를 포함할 수 있으며, 이는 2D 피처들의 LWR 또는 국부적 CD 변동, 및 스루풋과 같은 1 이상의 확률적 영향의 함수이다.
도 15는 동시 SMLO 프로세스가 최적화를 위한 가우스 뉴턴 알고리즘을 사용할 수 있는 방식의 특정한 일 예시를 나타낸다. 단계 S702에서, 디자인 변수들의 시작 값들이 식별된다. 또한, 각각의 변수에 대한 조절 범위들이 식별될 수 있다. 단계 S704에서, 디자인 변수들을 이용하여 비용 함수가 정의된다. 단계 S706에서, 비용 함수는 디자인 레이아웃의 모든 평가 포인트들에 대한 시작 값들 주위에서 확장된다. 선택적인 단계 S710에서, 풀-칩 디자인 레이아웃의 모든 임계 패턴들을 포괄하도록 풀-칩 시뮬레이션이 실행된다. 단계 S714에서 (CD 또는 EPE와 같은) 원하는 리소그래피 응답 메트릭이 얻어지며, 단계 S712에서 이러한 양들의 예측 값들과 비교된다. 단계 S716에서, 공정 윈도우가 결정된다. 단계들 S718, S720 및 S722는 도 14a를 참조하여 설명된 바와 같은 대응하는 단계들 S514, S516 및 S518과 유사하다. 앞서 언급된 바와 같이, 최종 출력은 원하는 이미징 성능을 생성하도록 최적화된, 퓨필 평면에서의 파면 수차 맵일 수 있다. 또한, 최종 출력은 최적화된 소스 맵 및/또는 최적화된 디자인 레이아웃일 수 있다.
도 14b는 디자인 변수들(z1,z2,…,zN)이 단지 이산 값(discrete value)들을 가정할 수 있는 디자인 변수들을 포함하는 비용 함수를 최적화하는 예시적인 방법을 나타낸다.
상기 방법은 패터닝 디바이스의 패터닝 디바이스 타일(tile)들 및 조명 소스의 픽셀 그룹(pixel group)들을 정의함으로써 시작한다(단계 S802). 일반적으로, 픽셀 그룹 또는 패터닝 디바이스 타일은 리소그래피 공정 구성요소의 구획(division)이라고 칭해질 수도 있다. 한 가지 예시적인 접근법에서, 실질적으로 앞서 설명된 바와 같이, 조명 소스는 117 개의 픽셀 그룹들로 나누어지고, 패터닝 디바이스에 대해 94 개의 패터닝 디바이스 타일들이 정의되어, 총 211 개의 구획들이 유도된다.
단계 S804에서, 포토리소그래피 시뮬레이션을 위한 기초로서 리소그래피 모델이 선택된다. 포토리소그래피 시뮬레이션들은 포토리소그래피 메트릭들의 계산들에 사용되는 결과들 또는 응답들을 생성한다. 특정 포토리소그래피 메트릭이 최적화될 성능 메트릭인 것으로 정의된다(단계 S806). 단계 S808에서, 조명 소스 및 패터닝 디바이스에 대한 초기(최적화-전) 조건들이 설정된다. 초기 조건들은 조명 소스의 픽셀 그룹들 및 패터닝 디바이스의 패터닝 디바이스 타일들에 대한 초기 상태들을 포함하여, 초기 조명 형상 및 초기 패터닝 디바이스 패턴이 참조될 수 있도록 한다. 또한, 초기 조건들은 마스크 편향, NA, 및 포커스 램프 범위를 포함할 수 있다. 단계들 S802, S804, S806 및 S808은 순차적인 단계들로서 도시되지만, 본 발명의 다른 실시예들에서 이 단계들은 다른 순서들로 수행될 수 있음을 이해할 것이다.
단계 S810에서, 픽셀 그룹들 및 패터닝 디바이스 타일들이 랭킹(rank)된다. 픽셀 그룹들 및 패터닝 디바이스 타일들은 랭킹에 있어서 인터리빙(interleave)될 수 있다. 랭킹의 다양한 방식들이 채택될 수 있으며, 이는: 순차적으로(예를 들어, 픽셀 그룹 1부터 픽셀 그룹 117까지, 또한 패터닝 디바이스 타일 1부터 패터닝 디바이스 타일 94까지), 무작위로, 픽셀 그룹들 및 패터닝 디바이스 타일들의 물리적 위치들에 따라(예를 들어, 조명 소스의 중심에 가까운 픽셀 그룹들을 더 높게 랭킹함), 및 픽셀 그룹 또는 패터닝 디바이스 타일의 변경이 성능 메트릭에 어떻게 영향을 주는지에 따라 수행하는 것을 포함한다.
일단 픽셀 그룹들 및 패터닝 디바이스 타일들이 랭킹되면, 조명 소스 및 패터닝 디바이스는 성능 메트릭을 개선하도록 조정된다(단계 S812). 단계 S812에서, 픽셀 그룹 또는 패터닝 디바이스 타일의 변경이 개선된 성능 메트릭을 유도할지를 판단하기 위해, 랭킹의 순서대로 픽셀 그룹들 및 패터닝 디바이스 타일들 각각이 분석된다. 성능 메트릭이 개선될 것으로 판단되는 경우, 픽셀 그룹 또는 패터닝 디바이스 타일이 이에 따라 변경되고, 결과적인 개선된 성능 메트릭 및 수정된 조명 형상 또는 수정된 패터닝 디바이스 패턴이 하위-랭킹된 픽셀 그룹들 및 패터닝 디바이스 타일들의 후속한 분석들에 대한 비교를 위해 기준선을 형성한다. 다시 말하면, 성능 메트릭을 개선하는 변경들이 유지된다. 픽셀 그룹들 및 패터닝 디바이스 타일들의 상태에 대한 변경들이 이루어지고 유지됨에 따라, 초기 조명 형상 및 초기 패터닝 디바이스 패턴은 이에 따라 변화하여, 수정된 조명 형상 및 수정된 패터닝 디바이스 패턴이 단계 S812의 최적화 프로세스로부터 발생하도록 한다.
다른 접근법들에서는, 패터닝 디바이스 다각형 형상 조정들 및 픽셀 그룹들 및/또는 패터닝 디바이스 타일들의 쌍별 폴링(pairwise polling)이 S812의 최적화 프로세스 내에서 수행된다.
대안적인 실시예에서, 인터리빙된 동시 최적화 과정은 조명 소스의 픽셀 그룹을 변경하는 것을 포함할 수 있고, 성능 메트릭의 개선이 발견되는 경우, 추가 개선을 구하도록 도즈가 증가 및 감소된다. 또 다른 대안적인 실시예에서, 도즈 또는 세기의 증가 및 감소는 패터닝 디바이스 패턴의 편향 변화로 대체되어, 동시 최적화 과정에서 추가 개선을 구할 수 있다.
단계 S814에서, 성능 메트릭이 수렴하였는지의 여부에 대해 판단된다. 성능 메트릭은, 예를 들어 단계들 S810 및 S812의 마지막 몇 번의 반복들에서 성능 메트릭에 대한 개선이 거의 또는 전혀 목격되지 않은 경우에 수렴한 것으로 간주될 수 있다. 성능 메트릭이 수렴하지 않은 경우, S810 및 S812의 단계들은 다음 반복에서 되풀이되고, 이때 현재 반복으로부터의 수정된 조명 형상 및 수정된 패터닝 디바이스가 다음 반복을 위한 초기 조명 형상 및 초기 패터닝 디바이스로서 사용된다(단계 S816).
앞서 설명된 최적화 방법들은 리소그래피 투영 장치의 스루풋을 증가시키기 위해 사용될 수 있다. 예를 들어, 비용 함수는 노광 시간의 함수인 fp(z1,z2,…,zN)를 포함할 수 있다. 이러한 비용 함수의 최적화는 바람직하게는 확률적 영향들의 측정 또는 다른 메트릭들에 의해 한정되거나 영향을 받는다. 구체적으로는, 리소그래피 공정의 스루풋을 증가시키는 컴퓨터-구현된 방법이 노광 시간을 최소화하기 위해 기판의 노광 시간의 함수 및 리소그래피 공정의 1 이상의 확률적 영향의 함수인 비용 함수를 최적화하는 단계를 포함할 수 있다.
일 실시예에서, 비용 함수는 1 이상의 확률적 영향의 함수인 적어도 하나의 fp(z1,z2,…,zN)를 포함한다. 확률적 영향들은 피처의 실패, 도 3의 방법에서와 같이 결정된 측정 데이터(예를 들어, SEPE), σsepe 또는 2D 피처들의 LWR 또는 국부적 CD 변동을 포함할 수 있다. 일 실시예에서, 확률적 영향들은 레지스트 이미지의 특성들의 확률적 변동들을 포함한다. 예를 들어, 이러한 확률적 변동들은 피처의 실패율, σsepe, 라인 에지 거칠기(LER), 라인 폭 거칠기(LWR) 및 임계 치수 균일성(CDU)을 포함할 수 있다. 비용 함수에 확률적 변동들을 포함하는 것이 확률적 변동들을 최소화하는 디자인 변수들의 값들을 찾게 하여, 확률적 영향들로 인한 결함들의 위험을 감소시킨다.
도 16은 본 명세서에 개시된 최적화 방법들 및 흐름들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 최적화 프로세스의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀이 전화선 상에서 데이터를 수신하고, 적외선 송신기를 사용하여 상기 데이터를 적외선 신호로 전환할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 바람직하게는 버스(102)에 커플링된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 보편적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 실시예의 조명 최적화를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 17은 본 명세서에 설명된 방법들을 이용하여 그 조명 소스가 최적화될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)을 컨디셔닝(condition)하는 조명 시스템(IL) -이러한 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함함- ;
- 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기에 연결되는 제 1 대상물 테이블(예를 들어, 마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기에 연결되는 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭 광학 시스템]을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 이는 예를 들어 (반사 마스크를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 전형적인 마스크의 사용에 대한 대안예로서 또 다른 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)(예를 들어, 수은 램프 또는 엑시머 레이저)는 방사선 빔을 생성한다. 예를 들어, 이 빔은 곧바로 또는 빔 익스팬더(beam expander: Ex)와 같은 컨디셔닝 수단을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
도 17과 관련하여, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 거울들의 도움으로) 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PS)를 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커스한다. 제 2 위치설정 수단[및 간섭 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 17에 명확히 도시되지는 않는다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 웨이퍼 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 빔(B)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다.
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PS)의 배율이다(통상적으로, M = 1/4 또는 1/5). 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 18은 본 명세서에 설명된 방법들을 이용하여 그 조명 소스가 최적화될 수 있는 또 다른 예시적인 리소그래피 투영 장치(1000)를 개략적으로 도시한다.
리소그래피 투영 장치(1000)는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치(1000)는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 마스크는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 18을 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 18에 도시되지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울들 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴이 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 19는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 더 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 19에 나타낸 것보다 1 내지 6 개의 추가적인 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 19에 예시된 바와 같은 컬렉터 광학기(CO)는 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 바람직하게는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용된다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 20에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들로 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자들로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.
본 실시예들은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 기판 상의 프린트된 패턴의 측정 데이터를 결정하는 방법으로서,
(ⅰ) 기판의 복수의 이미지들 -이미지들 각각은 기준 패턴에 대응하는 프린트된 패턴을 포함함- , (ⅱ) 복수의 이미지들의 평균 이미지, 및 (ⅲ) 평균 이미지에 기초한 프린트된 패턴들의 합성 윤곽을 얻는 단계;
프로세서를 통해, 기준 패턴의 기준 윤곽에 대해 평균 이미지의 합성 윤곽을 정렬하는 단계;
프로세서를 통해, 복수의 이미지들로부터 이미지들 내의 프린트된 패턴들의 원시 윤곽들을 추출하는 단계 -추출은 정렬된 합성 윤곽에 의해 안내됨- ;
프로세서를 통해, 복수의 윤곽들에 기초하여 복수의 패턴 측정들을 결정하는 단계 -패턴 측정들은 프린트된 패턴들의 특성들임- ; 및
프로세서를 통해, 복수의 패턴 측정들에 기초하여 프린트된 패턴들에 대응하는 측정 데이터를 결정하는 단계를 포함하는 방법.
2. 1 항에 있어서, 합성 윤곽의 정렬은:
합성 윤곽을 기준 윤곽과 중첩시키는 것; 및
합성 윤곽과 기준 윤곽 사이의 차이가 감소되도록 미리 정의된 범위 내에서 합성 윤곽을 시프팅하는 것을 포함하는 방법.
3. 2 항에 있어서, 합성 윤곽의 시프팅은:
총 윤곽-대-윤곽 거리가 감소되도록 미리 정의된 범위의 중심에 대해 합성 윤곽을 시프팅하는 것; 및
제 1 방향에서의 제 1 윤곽-대-윤곽 차이를 감소시키도록 제 1 방향으로 합성 윤곽을 시프팅하고, 및/또는 제 2 방향에서의 제 2 윤곽-대-윤곽 차이를 감소시키도록 제 2 방향으로 시프팅하는 것을 포함하는 방법.
4. 1 항 내지 3 항 중 어느 하나에 있어서, 복수의 이미지들의 주어진 이미지로부터의 윤곽의 추출은:
합성 윤곽에 대해 주어진 이미지에서 시작 포인트를 식별하는 것;
윤곽 에지 탐색을 위한 방향을 찾는 것; 및
복수의 이미지들의 주어진 이미지에서 윤곽의 에지 위치를 결정하는 것을 포함하는 방법.
5. 1 항 내지 4 항 중 어느 하나에 있어서, 이미지는 픽셀화된 이미지인 방법.
6. 4 항 또는 5 항에 있어서, 신호 값은 이미지의 특정 위치에서의 픽셀의 픽셀 세기를 포함하는 방법.
7. 1 항 내지 6 항 중 어느 하나에 있어서, 패턴 측정의 결정은:
복수의 윤곽들의 주어진 윤곽을 통과하는 커트라인들의 세트를 정의하는 것;
주어진 윤곽을 따라 복수의 측정 게이지들을 정의하는 것 -측정 게이지는 커트라인과 주어진 윤곽의 교차점에 정의됨- ; 및
측정 게이지에 대해 패턴 측정을 결정하는 것을 포함하는 방법.
8. 1 항 내지 7 항 중 어느 하나에 있어서, 패턴 측정들은 프린트된 패턴들의 임계 치수들, 및/또는 기준 패턴에 대한 프린트된 패턴들의 에지 배치 측정들인 방법.
9. 8 항에 있어서, 임계 치수들 중 한 임계 치수는 주어진 윤곽과 교차하는 수평 커트라인을 따른 두 측정 게이지들 사이의 거리인 방법.
10. 8 항에 있어서, 에지 배치 측정은 주어진 윤곽을 따라 측정 게이지들에서 결정된 에지 배치 오차이며, 에지 배치 오차는 주어진 측정 게이지에서의 주어진 윤곽과 기준 윤곽 사이의 차이인 방법.
11. 1 항 내지 10 항 중 어느 하나에 있어서, 측정 데이터의 결정은:
기판의 특정 다이와 관련된 복수의 측정들에 기초하여 프린트된 패턴들에 대응하는 제 1 통계를 결정하는 것; 및/또는
기판의 상이한 다이들에 걸친 특정 필드 위치에 관련된 복수의 측정들에 기초하여 프린트된 패턴들에 대응하는 제 2 통계를 결정하는 것; 및/또는
각각의 이미지 시야(FOV) 내의 특정 피처와 관련된 복수의 측정들에 기초하여 프린트된 패턴들과 연계되는 제 3 통계를 결정하는 것; 및/또는
제 1 통계, 제 2 통계 및 제 3 통계를 조합함으로써 제 4 통계를 결정하는 것을 포함하는 방법.
12. 1 항 내지 11 항 중 어느 하나에 있어서, 측정 데이터, 제 1 통계 및 제 2 통계는 공정 변동들과 연계되고, 제 3 통계는 프린트된 패턴들의 측정들에서의 확률적 변동들과 연계되는 방법.
13. 12 항에 있어서, 제 1 통계는 기판의 프린트된 패턴들의 측정들에서의 다이-내 변동인 방법.
14. 12 항에 있어서, 제 2 통계는 기판의 프린트된 패턴들의 측정들에서의 다이-간 변동인 방법.
15. 12 항에 있어서, 제 3 통계는 기판의 프린트된 패턴들의 측정들에서의 확률적 변동인 방법.
16. 1 항 내지 15 항 중 어느 하나에 있어서, 평균 이미지를 얻는 것은:
복수의 이미지들의 프린트된 패턴들을 서로에 대해 정렬하는 것;
비교적 높은 신호 값들을 갖는 복수의 이미지들의 프린트된 패턴들을 따른 위치들을 식별하고 식별된 위치들을 정렬하는 것; 및
정렬된 복수의 이미지들의 신호 값들의 평균 값들을 연산함으로써 평균 이미지를 결정하는 것을 포함하는 방법.
17. 1 항 내지 16 항 중 어느 하나에 있어서, 복수의 이미지들의 프린트된 패턴들을 정렬하는 것은:
복수의 이미지들의 프린트된 패턴들 간의 차이가 감소되도록 기준 패턴에 1 이상의 이미지의 대응하는 프린트된 패턴들을 정렬하기 위해 복수의 이미지들의 1 이상의 이미지를 시프팅하는 것을 포함하는 방법.
18. 1 항 내지 17 항 중 어느 하나에 있어서, 합성 윤곽을 얻는 것은:
패턴들 간의 차이가 감소되도록 기준 패턴과 평균 이미지의 프린트된 패턴들을 정렬하는 것; 및
기준 패턴 주위의 위치들에서 비교적 높은 신호-대-잡음 비에 기초하여 평균 이미지로부터 합성 윤곽을 추출하는 것을 포함하는 방법.
19. 1 항 내지 18 항 중 어느 하나에 있어서, 기준 패턴은 디자인 패턴 또는 시뮬레이션된 패턴인 방법.
20. 1 항 내지 19 항 중 어느 하나에 있어서, 복수의 이미지들은 스캐닝 전자 현미경 이미지들인 방법.
21. 메트롤로지 이미지로부터 윤곽을 추출하도록 구성되는 장치로서,
(ⅰ) 프린트된 패턴을 갖는 기판의 메트롤로지 이미지, (ⅱ) 프린트된 패턴의 평균 이미지, 및 (ⅲ) 평균 이미지에 기초한 프린트된 패턴에 대응하는 측정 통계를 얻도록;
측정 통계 및 평균 이미지에 기초하여, 메트롤로지 이미지로부터 프린트된 패턴의 윤곽을 추출하도록 구성되는 프로세서를 포함하는 장치.
22. 21 항에 있어서, 윤곽의 추출은:
메트롤로지 이미지와 평균 이미지를 정렬하는 것; 및
측정 통계 내에서 신호 값들을 갖는 평균 이미지 주위에서 메트롤로지 이미지 내의 위치들을 식별하는 것; 및
식별된 위치들 주위에서 비교적 높은 신호 값들에 기초한 윤곽을 정의하는 것을 포함하는 장치.
23. 21 항 또는 22 항에 있어서, 프로세서는:
추출된 윤곽에 기초하여, 패턴 측정을 결정하도록 더 구성되는 장치.
24. 23 항에 있어서, 패턴 측정은 프린트된 패턴의 임계 치수, 및/또는 기준 패턴에 대한 프린트된 패턴의 에지 배치인 장치.
25. 21 항 내지 24 항 중 어느 하나에 있어서, 측정 통계는 기판에 걸친 프린트된 패턴의 측정들에서의 확률적 변동인 장치.
26. 21 항 내지 25 항 중 어느 하나에 있어서, 메트롤로지 이미지는 스캐닝 전자 현미경 이미지인 장치.
27. 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품으로서,
명령어들은 컴퓨터에 의해 실행될 때, 1 항 내지 26 항 중 어느 하나의 방법을 구현하는 컴퓨터 프로그램 제품.

Claims (15)

  1. 기판 상의 프린트된 패턴의 측정 데이터를 결정하는 방법으로서,
    (ⅰ) 상기 기판의 복수의 이미지들 -상기 이미지들 각각은 기준 패턴(reference pattern)에 대응하는 프린트된 패턴을 포함함- , (ⅱ) 상기 복수의 이미지들의 평균 이미지, 및 (ⅲ) 상기 평균 이미지에 기초한 프린트된 패턴들의 합성 윤곽(composite contour)을 얻는 단계;
    프로세서를 통해, 상기 기준 패턴의 기준 윤곽에 대해 상기 평균 이미지의 합성 윤곽을 정렬하는 단계;
    상기 프로세서를 통해, 상기 복수의 이미지들로부터 상기 이미지들 내의 프린트된 패턴들의 복수의 윤곽들을 추출하는 단계 -추출은 정렬된 합성 윤곽에 의해 안내됨- ;
    상기 프로세서를 통해, 상기 복수의 윤곽들에 기초하여 복수의 패턴 측정들을 결정하는 단계 -패턴 측정들은 상기 프린트된 패턴들의 특성들임- ; 및
    상기 프로세서를 통해, 상기 복수의 패턴 측정들에 기초하여 상기 프린트된 패턴들에 대응하는 측정 데이터를 결정하는 단계
    를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 합성 윤곽의 정렬은:
    상기 합성 윤곽을 상기 기준 윤곽과 중첩시키는 것; 및
    상기 합성 윤곽과 상기 기준 윤곽 사이의 차이가 감소되도록 미리 정의된 범위 내에서 상기 합성 윤곽을 시프팅하는 것을 포함하는 방법.
  3. 제 2 항에 있어서,
    상기 합성 윤곽의 시프팅은:
    총 윤곽-대-윤곽 거리가 감소되도록 상기 미리 정의된 범위의 중심에 대해 상기 합성 윤곽을 시프팅하는 것; 및
    제 1 방향에서의 제 1 윤곽-대-윤곽 차이를 감소시키도록 제 1 방향으로 상기 합성 윤곽을 시프팅하고, 및/또는 제 2 방향에서의 제 2 윤곽-대-윤곽 차이를 감소시키도록 제 2 방향으로 시프팅하는 것을 포함하는 방법.
  4. 제 1 항에 있어서,
    상기 추출은 상기 복수의 이미지들의 주어진 이미지로부터 윤곽을 추출하는 것을 포함하고, 상기 윤곽의 추출은:
    상기 합성 윤곽에 대해 상기 주어진 이미지에서 시작 포인트를 식별하는 것;
    윤곽 에지 탐색을 위한 방향을 찾는 것; 및
    상기 복수의 이미지들의 주어진 이미지에서 상기 윤곽의 에지 위치를 결정하는 것을 포함하는 방법.
  5. 제 1 항에 있어서,
    상기 패턴 측정의 결정은:
    상기 복수의 윤곽들의 주어진 윤곽을 통과하는 커트라인(cut line)들의 세트를 정의하는 것;
    상기 주어진 윤곽을 따라 복수의 측정 게이지들을 정의하는 것 -측정 게이지는 커트라인과 상기 주어진 윤곽의 교차점에 정의됨- ; 및
    상기 측정 게이지에 대해 상기 패턴 측정을 결정하는 것을 포함하는 방법.
  6. 제 1 항에 있어서,
    상기 패턴 측정들은 상기 프린트된 패턴들의 임계 치수들, 및/또는 상기 기준 패턴에 대한 상기 프린트된 패턴들의 에지 배치 측정들인 방법.
  7. 제 6 항에 있어서,
    에지 배치 측정은 주어진 윤곽을 따라 측정 게이지들에서 결정된 에지 배치 오차이며, 상기 에지 배치 오차는 주어진 측정 게이지에서의 상기 주어진 윤곽과 상기 기준 윤곽 사이의 차이인 방법.
  8. 제 1 항에 있어서,
    상기 측정 데이터의 결정은:
    상기 기판의 특정 다이와 관련된 복수의 측정들에 기초하여 상기 프린트된 패턴들에 대응하는 제 1 통계를 결정하는 것;
    상기 기판의 상이한 다이들에 걸친 특정 필드 위치에 관련된 복수의 측정들에 기초하여 상기 프린트된 패턴들에 대응하는 제 2 통계(σinter_die)를 결정하는 것;
    각각의 이미지 시야(FOV) 내의 특정 피처와 관련된 복수의 측정들에 기초하여 상기 프린트된 패턴들과 연계되는 제 3 통계를 결정하는 것; 및
    상기 제 1 통계, 상기 제 2 통계 및 상기 제 3 통계를 조합함으로써 제 4 통계를 결정하는 것
    중 1 이상을 포함하는 방법.
  9. 제 8 항에 있어서,
    상기 측정 데이터, 상기 제 1 통계 및 상기 제 2 통계는 공정 변동들과 연계되고, 상기 제 3 통계는 상기 프린트된 패턴들의 측정들에서의 확률적 변동(stochastic variation)들과 연계되는 방법.
  10. 제 9 항에 있어서,
    상기 제 1 통계는 상기 기판의 프린트된 패턴들의 측정들에서의 다이-내 변동이고, 상기 제 2 통계는 상기 기판의 프린트된 패턴들의 측정들에서의 다이-간 변동이며, 상기 제 3 통계는 상기 기판의 프린트된 패턴들의 측정들에서의 확률적 변동인 방법.
  11. 제 1 항에 있어서,
    상기 복수의 이미지들의 프린트된 패턴들을 정렬하는 것은:
    상기 복수의 이미지들의 프린트된 패턴들 간의 차이가 감소되도록 상기 기준 패턴에 1 이상의 이미지의 대응하는 프린트된 패턴들을 정렬하기 위해 상기 복수의 이미지들의 1 이상의 이미지를 시프팅하는 것을 포함하는 방법.
  12. 제 1 항에 있어서,
    상기 합성 윤곽을 얻는 것은:
    상기 패턴들 간의 차이가 감소되도록 상기 기준 패턴과 상기 평균 이미지의 프린트된 패턴들을 정렬하는 것; 및
    상기 기준 패턴 주위의 위치들에서 신호-대-잡음 비 값들에 기초하여 상기 평균 이미지로부터 상기 합성 윤곽을 추출하는 것을 포함하는 방법.
  13. 제 1 항에 있어서,
    상기 기준 패턴은 디자인 패턴 또는 시뮬레이션된 패턴이고, 상기 복수의 이미지들은 스캐닝 전자 현미경 이미지들인 방법.
  14. 제 1 항에 있어서,
    상기 측정 데이터는 상기 기판에 걸친 프린트된 패턴의 측정들에서의 확률적 변동인 방법.
  15. 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품으로서,
    상기 명령어들은 컴퓨터에 의해 실행될 때:
    (ⅰ) 기판의 복수의 이미지들 -상기 이미지들 각각은 기준 패턴에 대응하는 프린트된 패턴을 포함함- , (ⅱ) 상기 복수의 이미지들의 평균 이미지, 및 (ⅲ) 상기 평균 이미지에 기초한 프린트된 패턴들의 합성 윤곽을 얻는 단계;
    프로세서를 통해, 상기 기준 패턴의 기준 윤곽에 대해 상기 평균 이미지의 합성 윤곽을 정렬하는 단계;
    상기 프로세서를 통해, 상기 복수의 이미지들로부터 상기 이미지들 내의 프린트된 패턴들의 복수의 윤곽들을 추출하는 단계 -추출은 정렬된 합성 윤곽에 의해 안내됨- ;
    상기 프로세서를 통해, 상기 복수의 윤곽들에 기초하여 복수의 패턴 측정들을 결정하는 단계 -패턴 측정들은 상기 프린트된 패턴들의 특성들임- ; 및
    상기 프로세서를 통해, 상기 복수의 패턴 측정들에 기초하여 상기 프린트된 패턴들에 대응하는 측정 데이터를 결정하는 단계
    를 포함하는 방법을 구현하는 컴퓨터 프로그램 제품.
KR1020217026997A 2019-02-25 2020-01-30 프린트된 패턴들의 확률적 변동을 결정하는 방법 KR20210117332A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962809838P 2019-02-25 2019-02-25
US62/809,838 2019-02-25
PCT/EP2020/052261 WO2020173654A1 (en) 2019-02-25 2020-01-30 Method for determining stochastic variation of printed patterns

Publications (1)

Publication Number Publication Date
KR20210117332A true KR20210117332A (ko) 2021-09-28

Family

ID=69400567

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217026997A KR20210117332A (ko) 2019-02-25 2020-01-30 프린트된 패턴들의 확률적 변동을 결정하는 방법

Country Status (5)

Country Link
US (1) US11567413B2 (ko)
KR (1) KR20210117332A (ko)
CN (1) CN113454533A (ko)
TW (1) TWI750597B (ko)
WO (1) WO2020173654A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US20220351359A1 (en) * 2018-08-15 2022-11-03 Asml Netherlands B.V. Utilize machine learning in selecting high quality averaged sem images from raw images automatically
WO2020233950A1 (en) * 2019-05-21 2020-11-26 Asml Netherlands B.V. Method for determining stochastic variation associated with desired pattern
TW202205126A (zh) * 2020-03-25 2022-02-01 日商東京威力科創股份有限公司 異常檢測裝置及異常檢測方法
EP4020085A1 (en) * 2020-12-22 2022-06-29 ASML Netherlands B.V. Machine learning based image generation of after-development or after-etch images
EP4261616A1 (en) 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
WO2023131476A1 (en) 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
CN115082758B (zh) * 2022-08-19 2022-11-11 深圳比特微电子科技有限公司 目标检测模型的训练方法、目标检测方法、装置和介质

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
KR100512450B1 (ko) 1996-12-24 2006-01-27 에이에스엠엘 네델란즈 비.브이. 두개의물체홀더를가진이차원적으로안정화된위치설정장치와이런위치설정장치를구비한리소그래픽장치
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4954211B2 (ja) 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP5276854B2 (ja) 2008-02-13 2013-08-28 株式会社日立ハイテクノロジーズ パターン生成装置およびパターン形状評価装置
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
JP5500871B2 (ja) 2009-05-29 2014-05-21 株式会社日立ハイテクノロジーズ テンプレートマッチング用テンプレート作成方法、及びテンプレート作成装置
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
JP2012150065A (ja) 2011-01-21 2012-08-09 Hitachi High-Technologies Corp 回路パターン検査装置およびその検査方法
JP5810031B2 (ja) * 2012-04-27 2015-11-11 株式会社日立ハイテクノロジーズ 半導体回路パターン計測装置及び方法
US9646220B2 (en) * 2013-11-25 2017-05-09 GlobalFoundries, Inc. Methods and media for averaging contours of wafer feature edges
CN105992975B (zh) * 2014-02-11 2018-06-01 Asml荷兰有限公司 用于计算任意图案的随机变化的模型
JP6305806B2 (ja) * 2014-03-28 2018-04-04 日本コントロールシステム株式会社 情報処理装置、情報処理方法、およびプログラム
US9530200B2 (en) 2014-06-20 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for inspection of a patterned structure
US10607334B2 (en) 2014-12-09 2020-03-31 Asml Netherlands B.V. Method and apparatus for image analysis
WO2016091534A1 (en) 2014-12-09 2016-06-16 Asml Netherlands B.V. Method and apparatus for image analysis
US9928316B2 (en) * 2015-03-26 2018-03-27 International Business Machines Corporation Process-metrology reproducibility bands for lithographic photomasks
KR102294366B1 (ko) 2015-06-16 2021-08-27 에이에스엠엘 네델란즈 비.브이. 결함 검증을 위한 방법들
WO2017060192A1 (en) 2015-10-08 2017-04-13 Asml Netherlands B.V. Method and apparatus for pattern correction and verification
WO2018015181A1 (en) 2016-07-22 2018-01-25 Asml Netherlands B.V. Method of predicting patterning defects caused by overlay error
CN110383442B (zh) 2017-02-28 2023-10-10 科磊股份有限公司 确定随机行为对叠加计量数据的影响
CN114993205A (zh) 2017-10-05 2022-09-02 Asml荷兰有限公司 用于确定衬底上的一个或更多个结构的特性的量测系统和方法
CN108257166B (zh) 2018-01-11 2022-03-04 上海华虹宏力半导体制造有限公司 版图的仿真图像和硅片sem图像自动匹配的方法
KR20230141951A (ko) 2018-06-04 2023-10-10 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 공정 모델을 개선하는 방법
CN112424694B (zh) * 2018-07-12 2023-10-20 Asml荷兰有限公司 利用图案识别以自动地改良sem轮廓测量准确度和稳定性
CN109872287B (zh) 2019-01-31 2021-01-22 上海华虹宏力半导体制造有限公司 Sem图像轮廓线图的修正方法

Also Published As

Publication number Publication date
WO2020173654A1 (en) 2020-09-03
US11567413B2 (en) 2023-01-31
CN113454533A (zh) 2021-09-28
US20220137514A1 (en) 2022-05-05
TW202101110A (zh) 2021-01-01
TWI750597B (zh) 2021-12-21

Similar Documents

Publication Publication Date Title
JP7256287B2 (ja) パターニングプロセスにおいてパターンを決定するための方法
US11567413B2 (en) Method for determining stochastic variation of printed patterns
JP7438275B2 (ja) デバイス製造方法の制御パラメータを決定する方法
US11126089B2 (en) Method for determining corrections to features of a mask
US11669019B2 (en) Method for determining stochastic variation associated with desired pattern
TWI822578B (zh) 用於基於缺陷而判定圖案化程序之特性以減少熱點的方法
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
EP4042244A1 (en) Method for determining a field-of-view setting
KR20230004633A (ko) 확률적 기여자를 예측하는 방법
US20230333483A1 (en) Optimization of scanner throughput and imaging quality for a patterning process
US20230244152A1 (en) Systems, methods, and products for determining printing probability of assist feature and its application
EP3822703A1 (en) Method for determining a field-of-view setting

Legal Events

Date Code Title Description
E902 Notification of reason for refusal