JP2023014136A - 多入射角半導体計測システム及び方法 - Google Patents

多入射角半導体計測システム及び方法 Download PDF

Info

Publication number
JP2023014136A
JP2023014136A JP2022183419A JP2022183419A JP2023014136A JP 2023014136 A JP2023014136 A JP 2023014136A JP 2022183419 A JP2022183419 A JP 2022183419A JP 2022183419 A JP2022183419 A JP 2022183419A JP 2023014136 A JP2023014136 A JP 2023014136A
Authority
JP
Japan
Prior art keywords
incidence
azimuth
angles
illumination
angle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022183419A
Other languages
English (en)
Inventor
デイヴィッド ワイ ワン
Y Wang David
クラウス フロック
Flock Klaus
ローレンス ディー ロッター
D Rotter Lawrence
シャンカー クリシュナン
Krishnan Shankar
ヨハネス ディー デフェール
D De Veer Johannes
キャタリン フィリップ
Filip Catalin
グレゴリー ブラディ
Brady Gregory
ムザミル アライン
Arain Muzammil
アンドレイ シェグロフ
Shchegrov Andrei
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/043,783 external-priority patent/US9116103B2/en
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2023014136A publication Critical patent/JP2023014136A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Spectrometry And Color Measurement (AREA)

Abstract

【課題】SE計測ツールの向上が継続的に要求されており、対象特性が異なっていてもそれらのSEデータを容易に分離できるようにする。【解決手段】遠紫外線波長から赤外線波長の範囲で選択可能な多波長の照射ビームを提供する高輝度光源106と、分光偏光解析を提供するために、選択可能な各セットの入射角(AOI)または方位角(AZ)及び偏光状態で照射ビームを試料に向けて誘導する照射光学系であって、試料に当てた照射ビームのスポット径を選択可能な各セットの入射角/方位角のそれぞれで制御するためのアポダイザを含む照射光学系102と、選択可能な各セットの入射角/方位角及び偏光状態のそれぞれで、照射ビームに応答した試料からの出力ビームを当該出力ビームに基づいて出力信号または出力画像を生成する検出器に向けて誘導する収集光学系104と、出力信号または出力画像に基づいて試料の特徴を特性付ける制御部を備える。【選択図】図1

Description

関連出願の相互参照
本出願は、(i)David Y.Wangらによって2013年1月14日に出願された、多入射角半導体計測システムと題する米国特許仮出願第61/752,202号、及び(ii)David Y.Wangらによって2013年9月16日に出願された、多入射角半導体計測システム及び方法と題する米国特許仮出願第61/878,561号に対して優先権を主張するものであり、ここに本明細書の一部を構成するものとして、これらの出願の全ての内容をあらゆる目的のために援用する。
本発明は、全体として半導体計測システムの分野に関する。より詳細には、本発明は、楕円偏光計システム、反射光測定システム及び分散光測定システムに関する。
半導体デバイスの微細化を求める要求は増加の一途をたどっており、それによって半導体ウェーハ計測システムの向上も要求されている。半導体デバイス(論理デバイスやメモリデバイスなど)を作製する際は、通常、様々な形状や複数階層を備えた半導体デバイスを生成するために、多数の半導体作製プロセスを利用して半導体ウェーハを処理する必要がある。1枚の半導体ウェーハ上に複数個の半導体デバイスを並べて作製し、その後、それらを個別の半導体デバイスに分離することも可能である。
半導体製造プロセス中の様々な工程において計測プロセスを利用することにより、1つ以上の半導体層のプロセスを監視・制御する。例えば、計測プロセスは、ウェーハに関する1つ以上の特性を測定するのに用いられる。このような特性としては、例えば、プロセス工程中にウェーハに形成された形状の寸法(例えば、線幅、厚さ、角度など)があるが、そのプロセス工程の品質は、1つ以上の特性を測定することによって決定され得る。上述の構成では、所与の半導体試料が一式の計測対象を含み得るが、このような試料では、積層膜あるいは2次元及び3次元のパターン構造体が、様々な外形や性質を有する1種以上の材料によって囲まれている。
分光偏光解析法(SE)を用いた計測測定では、計測対象において光学定数の異なる箇所で反射した光を抽出する。計測対象のSEデータは、ウェーハの特性を決定するのに用いられる。
米国特許出願公開第2009/0279090号
SE計測ツールの向上が継続的に要求されており、それによって、例えば、対象特性が異なっていてもそれらのSEデータを容易に分離することができるようになる。
以下では、本発明の一定の実施形態に関する基本的な理解を提供するために、本開示の簡略化した概要を提示する。この「発明の概要」は、本開示の広範な概要ではなく、本発明の重要/決定的な構成要素を特定するものでもなく、本発明の範囲を記述するものでもない。その唯一の目的は、後に提示されるより詳細な説明の準備として、簡素化された形式で一部の概念を提示することである。
一実施形態では、半導体試料の計測を行うエリプソメータ装置が開示される。本装置は、真空紫外線(VUV)波長から赤外線(IR)波長の範囲内で選択可能な複数の波長で照射ビームを提供し、複数の入射角(AOI)及び/または方位角(AZ)で照射ビームを試料に向けて誘導する照射光学系モジュールと、試料から出射する出力ビームを複数の離散的範囲の入射角及び/または方位角で収集し、この出力ビームを検出器モジュールに誘導する収集光学系モジュールと、を備える。離散的範囲は1つずつ収集され、出力ビームは試料に当てた照射ビームに応答する。照射光学系モジュールは、照射ビームに対して複数の偏光状態を生成する偏光生成光学素子を含み、収集光学系モジュールは、出力ビームの偏光状態を解析する偏光解析光学素子を含む。照射光学系モジュール及び収集光学系モジュールは、偏光生成光学素子と偏光解析光学素子の間に反射光学素子を含む。本装置はさらに、試料からの出力ビームを離散的範囲の入射角及び/または方位角並びに偏光状態で受け取って検出し、離散的範囲の入射角及び/または方位角並びに偏光状態の出力ビームに基づいて複数の信号を生成する検出モジュールを含む。本装置はさらに、波長範囲を選択すること、出力ビームを収集するために1つ以上の離散的範囲の入射角及び/または方位角を選択すること、偏光状態を選択すること、並びに離散的範囲の入射角及び/または方位角並びに偏光状態で信号を解析して試料の特徴を決定すること、の1つ以上を制御するように構成されている1つ以上の制御部を含む。一実施例では、試料は、半導体ウェーハ上の1つ以上の対象である。
具体的な実施態様では、離散的範囲の入射角及び/または方位角は、互いに空間的に分離されている。別の態様では、波長範囲は、約150nmから約2000nmの範囲である。別の態様では、照射光学系モジュールは、照射ビームを生成する高輝度レーザー維持プラズマ(LSP)源を含む。一態様では、LSP源は、約0.1W/nm/cm/sr以上のピーク輝度で照射ビームを生成する。一態様では、入射角または方位角の離散的部分は、少なくとも0.1°でそれぞれ分離されている。別の態様では、離散的範囲の入射角及び/または方位角は、約60°よりも大きい入射角を含む。具体的な態様では、離散的範囲の入射角及び/または方位角は、複数の離散的範囲の0°から360°の方位角を含む。本実施形態では、装置は、離散的範囲の0°から360°の方位角を得るために試料を回転させる位置決め機構を含み得る。別の態様では、離散的範囲の入射角及び/または方位角は、複数の離散的範囲の0°から90°の方位角を含む。
一実施形態では、照射光学系モジュールは、収集光学系モジュールが収集・検出するのと実質的に同じ各離散的範囲の入射角及び/または方位角のそれぞれで照射ビームを提供するための複数の固定開口または1つの可動開口を含む。一態様では、照射光学系モジュールは、各離散的範囲の入射角及び/または方位角のそれぞれで1つずつ照射ビームを提供するための複数の固定開口及び各固定開口をそれぞれ覆うシャッターを含む。別の態様では、照射光学系モジュールはさらに、収集光学系モジュールが1つずつ収集・検出する際の離散的範囲の入射角及び/または方位角を実質的に含む一定範囲の入射角及び/または方位角の照射ビームを同時に提供するように構成されている。別の実施形態では、収集光学系モジュールは、各離散的範囲の入射角及び/または方位角のそれぞれで1つずつ出力ビームを収集するための複数の固定開口及び各固定開口をそれぞれ覆うシャッターを含む。別の実施形態では、収集光学系モジュールは、各離散的範囲の入射角及び/または方位角のそれぞれで1つずつ出力ビームを収集するための可動開口またはそれぞれがシャッターを備えた複数の固定開口のいずれかを含む。
具体的な実施態様では、偏光生成光学素子が照射光学系モジュール内に偏光子及び第1補償子を含むと共に、偏光解析光学素子が収集光学系モジュール内に第2補償子及び検光子を含み、偏光状態を選択することは、偏光子、第1補償子及び第2補償子並びに検光子のいずれか1つまたは複数を回転すること、または静止したままにすることを含む。別の実施形態では、偏光生成光学素子は偏光子及び検光子を含み、偏光状態を選択することは、偏光子を回転すること、及び検光子を静止したままにすることを含む。さらなる態様では、偏光解析光学素子は収集補償子をさらに含み、偏光状態を選択することは、収集補償子を回転することをさらに含む。さらなる態様では、照射光学系モジュールは、各離散的範囲の入射角及び/または方位角について試料における対象上の焦点の点広がり関数を最小化するためのアポダイザを含む。照射(または収集)アポダイゼーションとは、一般に、光学システムの入射瞳における光分布の変換(例えば、マスクを用いて照射ビームまたは収集ビームの振幅及び/または位相を変えること)と定義することが可能であり、それによって照射(または収集)ビームの強度プロファイルを変化させる。さらにもう1つの態様では、偏光生成光学素子は照射補償子をさらに含み、偏光状態を選択することは、照射補償子を回転することをさらに含む。
別の実施例では、偏光生成光学素子が偏光子及び照射補償子を含むと共に、偏光解析光学素子が検光子を含み、偏光状態を選択することは、照射補償子を回転すること、並びに偏光子及び検光子を静止したままにすることを含む。さらなる態様では、偏光解析光学素子は収集補償子をさらに含み、偏光状態を選択することは、収集補償子を回転することをさらに含む。別の実施形態では、偏光生成光学素子が偏光子を含むと共に、偏光解析光学素子が検光子を含み、偏光状態を選択することは、偏光子を静止したままにすること、及び検光子を回転することを含む。
具体的な実施態様では、照射光学系モジュールは、照射ビームを整形し、各離散的範囲の入射角及び/または方位角について試料上の対象に結ばれる焦点の点広がり関数を制御するための1つ以上のビーム整形光学素子を含む。例えば、1つ以上のビーム整形素子は、試料に当てた照射ビームによって生じた照射スポットの中心から既定距離だけ隔てたところの照射を、照射スポットの中心におけるピーク照射の既定値未満に低減させるように構成されている。
例示的な態様では、1つ以上のビーム整形素子はアポダイザであり、各アポダイザは再構成不可能な光学機能をそれぞれ有する。本装置はさらに、アポダイザの選択されたものを照射ビームの瞳と共役な平面またはその付近に移動させる位置決め機構を含み、制御部はさらに、アポダイザの選択されたものを位置決め機構が移動させるように構成されている。アポダイザは、離散的範囲の入射角及び/または方位角の全てに応じた既定の照射プロファイルを提供する。
別の実施例では、1つ以上のビーム整形素子は、照射ビームの瞳と共役な平面またはその付近に配置された動的に調整可能なアポダイザであり、動的に調整可能なアポダイザは、離散的範囲の入射角及び/または方位角の全てに応じた既定の照射プロファイルを提供するように構成されている。制御部はさらに、動的に調整可能なアポダイザを調整するように構成されている。
別の実施形態では、収集光学系モジュールは、収集瞳と共役な平面の位置またはその付近に配置され、あるいはその場所に移動可能な1つ以上のアポダイザを含み、アポダイザは、離散的範囲の入射角及び/または方位角の全てに応じた既定の収集プロファイルを提供する。
別の実施例では、照射光学系モジュールは、(i)第1の非軸放物面(OAP)ミラーと、(ii)第1のOAPが離散的範囲の入射角及び/または方位角で1つずつ照射ビームを試料に反射させるようにするため、複数の位置で照射ビームを受け取って、第1のOAPミラーにおける複数の位置に照射ビームを誘導するように移動可能な第1の平行移動ミラーと、を含む。本実施例では、収集光学系モジュールは、(i)検出器と、(ii)第2のOAPと、(iii)第2のOAPが離散的範囲の入射角及び/または方位角で1つずつ出力ビームを検出器に反射させるようにするため、複数の位置で出力ビームを受け取って、第2のOAPミラーにおける複数の位置に出力ビームを誘導するように移動可能な第2の平行移動ミラーと、を含む。
別の実施形態では、照射光学系モジュールは、ビームスプリッタと、非軸放物面(OAP)ミラーと、平行移動ミラーであって、OAPが離散的範囲の入射角及び/または方位角で1つずつ照射ビームを試料に反射させるようにするため、ビームスプリッタを介して平行移動ミラーの複数の平行移動位置で照射ビームを受け取って、OAPミラーにおける複数の対応位置に照射ビームを誘導するように移動可能な平行移動ミラーと、を備える。収集光学系モジュールは、(i)ビームスプリッタと、(ii)OAPと、(iii)平行移動ミラーと、(iv)出力ビームを試料に戻して反射させることにより、第2の出力ビームを試料から出射させ、OAPにおける対応位置から反射させてから、複数の平行移動位置で平行移動ミラーからビームスプリッタに向けて検出器に反射させて、離散的範囲の入射角及び/または方位角で1つずつ第2の出力ビームを収集するようにする球面ミラーと、を含む。
別の態様では、収集光学系モジュールはさらに、1つ以上の入射角で照射し、1つ以上の異なる入射角にわたって収集することにより、出力ビームからゼロ次オーダーの光を明視野計測用に収集し、出力ビームから非ゼロ次オーダーの光を暗視野計測用に収集するように構成可能である。一実施態様では、収集光学系モジュールは、試料で反射された照射の入射角(方位角)と同一の入射角(方位角)を収集する。別の実施例では、収集光学系モジュールは、試料で反射された照射の入射角(方位角)とは異なる入射角(方位角)を収集する。さらに別の実施例では、収集光学系モジュールは、光をスペクトルに分散させる分散素子を含む。別の実施形態では、本装置の照射瞳及び収集瞳は、照射の開口数及び収集の開口数を設定するように配置され、本装置の照射視野絞り及び収集視野絞りは、光源の大きさ及び画像の大きさを設定するように配置されている。
代替的実施形態では、本装置は、(i)真空紫外線(VUV)波長から赤外線(IR)波長の範囲内で選択可能な複数の波長で照射ビームを提供し、複数の入射角(AOI)及び/または方位角(AZ)で照射ビームを試料に向けて誘導する照射光学系モジュールと、(ii)試料から出射する出力ビームを実質的に全ての入射角または方位角で収集し、この出力ビームを1つ以上の検出器へと実質的に同時に誘導する収集光学系モジュールと、を備え、出力ビームは、試料に当てた照射ビームに応答する。照射光学系モジュールは、照射ビームに対して複数の偏光状態を生成する偏光生成光学素子を含み、収集光学系モジュールは、出力ビームの偏光状態を解析する偏光解析光学素子を含み、照射光学系モジュール及び収集光学系モジュールは、複数の偏光状態を生成する光学素子と偏光状態を解析する光学素子の間に反射光学素子を含む。本装置はまた、試料からの出力ビームを入射角及び/または方位角並びに偏光状態で受け取って検出し、上記入射角及び/または方位角並びに偏光状態の出力ビームに基づいて複数の信号または画像を生成する1つ以上の検出器と、波長範囲を選択すること、偏光状態を選択すること、並びに波長、入射角及び/または方位角、並びに選択された偏光状態で信号または画像を解析して試料の特徴を決定すること、の1つ以上を制御するようにそれぞれ構成されている1つ以上の制御部と、を含む。
一実施態様では、収集光学系は、波長を波長方向に分散させ、入射角及び/または方位角を入射角/方位角方向に分散させる1つ以上の分散素子を含み、波長並びに入射角及び/または方位角は、異なる2つの検出方向に沿って分散される。さらなる態様では、異なる2方向は互いに直交する。別の態様では、1つ以上の分散素子は、異なる2方向について2つの異なる光屈折力を有する。さらに別の態様では、1つ以上の検出器は複数の検出器からなり、各検出器は、分散された波長を決定し、別々の入射角領域の1つにわたって積分を行うように構成されている。さらなる態様では、収集光学系モジュールはさらに、1つ以上の分散素子からの出力ビームを、それぞれが検出器の1つに出力される別々の入射角領域に分割する部分分割光学系を含む。別の実施例では、収集光学系はさらに、波長平面と入射角及び/または方位角平面の間に位置する再撮像光学系を含み、再撮像光学系は、波長平面を各検出器に再撮像するように構成されている。
別の実施態様では、収集光学系モジュールは、出力ビームにおける空間的に分離した複数の入射角/方位角領域から特定入射角/方位角領域を選択的に送るための入射角/方位角マスクを瞳と共役な平面内に含むと共に、特定入射角/方位角領域を受け取り、分散された波長を決定し、特定入射角/方位角領域にわたって上記決定された波長を積分する検出器を含み、制御部はさらに、一度に1つの特定入射角/方位角領域を選択するように構成されている。さらなる態様では、波長平面は、入射角/方位角平面の前に位置している。別の態様では、入射角/方位角マスクは、それぞれがシャッターを備えた複数の固定開口で構成されている。さらに別の実施例では、入射角/方位角マスクは、固定可動開口で構成されている。
別の実施形態では、収集光学系モジュールは、分散された波長を決定し、別々の入射角領域にわたって積分する単一検出器を含み、別々の入射角領域の隣接するものの間には、入射角の分解・解析を行わない無画素領域が含まれる。別の態様では、収集光学系は、出力ビームを第1の出力ビームと第2の出力ビームに分割するビームスプリッタと、第1の出力ビームを受け取り、出力ビームの波長及び入射角を、第1検出器の異なる2つの検出方向に沿って分散させる第1分散素子と、第2の出力ビームを受け取り、出力ビームの波長及び方位角を、第2検出器の異なる2つの検出方向に沿って分散させる第2分散素子と、を含む。別の実施例では、波長平面は、入射角/方位角平面と同一平面に位置している。別の態様では、収集光学系モジュールは、異なる2つの入射角領域からの並列データを処理する少なくとも2つのレジスタを備えた検出器を含む。他の実施形態では、照射光学系及び収集光学系は、上記の特徴を含む。
別の実施形態では、本装置は、(i)真空紫外線(VUV)波長から赤外線(IR)波長の範囲内で選択可能な複数の波長で照射ビームを提供する1つ以上の高輝度光源と、(ii)複数の選択可能なセットの入射角(AOI)及び/または方位角(AZ)並びに複数の偏光状態で照射ビームを試料に向けて誘導する照射光学系であって、試料に当てた照射ビームのスポット径を選択可能な各セットの入射角及び/または方位角のそれぞれで制御する少なくとも1つのアポダイザを含む照射光学系と、(iii)選択可能な各セットの入射角または方位角及び変更状態のそれぞれで、照射ビームに応答して試料から出射している出力ビームを検出器に向けて誘導する収集光学系と、(iv)出力ビームに基づいて出力信号または出力画像を生成する検出器と、(v)波長、入射角及び・または方位角、及び・または偏光状態、あるいはそれらの組み合わせの関数として、出力信号または出力画像に基づいて試料の特徴を特性付ける制御部と、を備える。
一実施形態では、1つ以上の光源は、レーザー維持プラズマ(LSP)源を含む。具体的な実施態様では、少なくとも1つのアポダイザは、再構成不可能な光学機能をそれぞれ有し、照射瞳平面の内外にそれぞれ移動可能である一式のアポダイザからなり、各固定アポダイザは、選択可能な各セットの入射角または方位角のそれぞれについてスポット径を制御するように構成されている。別の態様では、選択可能な各セットの入射角及び/または方位角の全てについてスポット径を制御するために、少なくとも1つのアポダイザが照射瞳平面と共役な平面またはその付近に配置されている。別の態様では、少なくとも1つのアポダイザは、動的に調整可能なアポダイザであり、照射スポットの中心から既定距離だけ隔てたところの照射を、照射スポットの中心におけるピーク照射の既定値未満に低減させることによってスポット径を制御するように構成可能である。別の実施形態では、少なくとも1つのアポダイザは、照射ビーム内のサイドローブを抑制することによってスポット径を制御するように構成されている。さらに別の実施例では、少なくとも1つのアポダイザはさらに、試料上の複数の各種対象用に構成可能である。
別の態様では、照射光学系は、試料に当てた照射ビームを選択可能な各セットの入射角または方位角のそれぞれで走査する走査ミラーを含むと共に、収集光学系は、選択された各セットの入射角または方位角を1つずつ決定するための入射角/方位角選択器を含む。さらなる態様では、入射角/方位角選択器は、それぞれがシャッターを備えた複数の固定開口または少なくとも1つの可動開口を含む。別の態様では、少なくとも1つのアポダイザは、動的に調整可能なアポダイザである。一実施例では、動的に調整可能なアポダイザは、空間光変調器(SLM)である。別の実施形態では、少なくとも1つのアポダイザは、選択可能な各セットの入射角または方位角について複数の2値振幅パターンを形成するように構成可能である。別の実施例では、少なくとも1つのアポダイザは、選択可能な各セットの入射角または方位角について複数の振幅パターンを形成するように構成されており、少なくとも1つの振幅パターンは連続可変である。
代替的実施形態では、本発明は、計測システムにおいて分光偏光解析を行う方法に関するものである。本方法は、(i)多波長の照射光を生成すること、(ii)複数の異なる偏光状態を照射光に対して選択すること、(iii)複数の入射角(AOI)または方位角(AZ)を照射光に対して選択すること、(iv)照射光を整形・誘導して、多波長、異なる偏光状態及び入射角または方位角で対象にスポットを形成すること、(v)照射光に応答して試料から出射する出力光を収集・検出し、各波長、異なる偏光状態及び入射角または方位角の関数として、検出された出力光に基づいて信号または画像を生成すること、並びに(vi)信号または画像を解析して試料の特徴を決定すること、を含む。
以下、各図を参照して本発明のこれらのまたは他の態様をさらに説明する。
本発明の一実施形態に係る特定入射角(angle of incidence:AOI)の光を収集する分光偏光解析(spectroscopic ellipsometry:SE)ツールを図示したものである。 本発明の第2実施形態に係る、多入射角を同時に検出するSEツールを図示したものである。 本発明の具体的な実施態様に係る多数の検出器で多数の入射角領域を同時に収集するSEツールの実施例を簡略的に図示したものである。 本発明の別の具体的な実施態様に係る多入射角の中から入射角範囲を選択的に検出できるように構成可能な入射角マスクを備えたSEツールの第2実施例を簡略的に図示したものである。 代替的実施形態に係る単一検出器上の多数の入射角/方位角領域を同時に収集する様子を示す図である。 一実施形態に係る分散後の入射角及び方位角を同時に収集する収集側光学系を図示したものである。 本発明の別の実施形態に係る構成可能な一式のアポダイザを備えた構成可能なSEツールを図示したものである。 本発明の代替的実施形態に係る動的に調整可能なアポダイザを備えた構成可能なSEツールを図示したものである。 一実施態様に係る例示的な開口システムの側面図である。 第2実施態様に係る例示的な開口システムの側面図である。 本発明の一実施形態に係る照射選択器の斜視図である。 3つの開口構成を組み合わせて開口構成の第2実施例を得る様子を示す図である。 本発明の別の実施形態に係る非軸放物面(off-axis parabolic:OAP)ミラーを備えた構成可能な計測ツールを図示したものである。 本発明の代替的実施態様に係る非軸放物面(OAP)ミラーを備えた構成可能な二重経路式計測ツールを図示したものである。 本発明の一実施形態に係るSE計測手順を示すフローチャートである。
以下の説明では、本発明を完全に理解できるように多くの具体的な詳細事項を記載する。本発明は、これらの具体的な詳細事項の一部または全てがなくても実施することが可能である。別の事例では、本発明が必要以上に曖昧にならないようにするため、周知の構成要素またはプロセス工程を詳細に説明してはいない。具体的な実施形態と関連して本発明を説明していくが、本発明をこれらの実施形態に限定することを意図したものではないことが理解されよう。
分光偏光解析(SE)システムの利用における1つの問題は、検出されたデータの結合に関するものである。特定の試料(半導体ウェーハまたはレチクルなど)に由来する測定済みの偏光解析パラメータは、波長(λ)及び対象のx,y位置といった複数の計測パラメータに依存する。一般に、ツール設定が異なる場合の偏光解析データ(例えば、λや対象位置など)は、個別に収集され、対象特性を推論するためのモデル(例えば、CDやオーバーレイ)に入力される。対象がより複雑になるにつれて、対象特性を変更するための収集済みデータは、密接に関連するようになり、あるいは不十分となる。その結果、上記モデルでは正確に対象特性を決定することができなくなる。
それぞれ異なった特定の狭い範囲の入射角をなすように構成可能なSEシステムを用いることにより、対象パラメータ間が無相関になり得るが、このようなシステムでは、狭い範囲の入射角及び結果として得られたNAのそれぞれについてスポット径を小さくすることができない場合がある。例えば、入射角を選択するSEシステムには、一定の小さい寸法形状(例えば、40ミクロン×40ミクロン以下)を測定するのに有用となり得る十分小さいスポット径を得るための機構が含まれていない場合がある。さらに、このようなSEシステムでは、入射角の範囲が限定され得ることからブルースター角付近にすることができず、一定用途の計測を高感度に行うことができない場合がある。
簡略のため、「入射角」という用語は、本明細書では「離散的な狭い範囲の入射角」という表現を省略したものとする。同様に、「離散的入射角」という用語は、「離散的範囲の入射角」という用語と同義的に用いられる。一部のSEシステムは、単一入射角または離散的入射角を用いて構成・設定され得る。しかしながら、SEシステムでは、一般に、単一入射角のみとすることも、離散的入射角のみとすることも実際にはできない場合があるが、その代わりに「選択された」単一入射角または離散的入射角を中心とした狭い範囲の入射角とするか、あるいは「選択された」単一入射角を含むようにする。他のSEシステムでは、設定パラメータまたはレシピパラメータとして、単一入射角または離散的入射角ではなく狭小範囲の入射角を入力することも可能である。なお、入射角は、試料の法線となす角度である。また、方位角は、試料面の2次元平面を規定する互いに直交するx、y方向などのうちの一つの軸方向を基準とする角度である。
本発明における一定の偏光解析の実施形態は、同時または順次の多入射角(AOI)及び多方位角(AZ)で測定値を得るエリプソメータを提供し、これによって対象特性間の相関を無くしやすくする。このエリプソメータツールはまた、偏光状態を生成する光学部品及び偏光状態を解析する光学部品を含んでもよい。これらの部品は、対象のミュラー行列要素の全てまたは一部を決定するように構成可能である。このツールはまた、真空紫外線(vacuum ultra violet:VUV)、可視光、近赤外線(near infrared:NIR)及び赤外線(infrared:IR)を含む広範な波長範囲に構成可能な高輝度光源を含んでもよい。一定の実施形態では、SEシステムは、種々の選択可能な入射角構成(入射角及び多入射角を含む)、同時及び順次の入射角、ミュラー行列を偏光解析するための構成可能な偏光状態、並びに選択可能なVUVからNIRの波長範囲を提供する。本システムの実施形態(本明細書に記載された他の実施形態も同様)の照射側は、VUVからUVで機能し、VUVからIRの全範囲にわたって広く色補正を行うための反射光学系を含み得る。
一定の実施形態では、分光偏光解析(SE)を多入射角及び多方位角で行うことができる。それにより、固定照射と収集瞳、及び固定照射と収集視野絞りを用いることによって測定の反復性及び安定性を向上させることができる。図1は、本発明の一実施形態に係る特定入射角(AOI)の光を収集する分光偏光解析(SE)ツール100を図示したものである。通常、この計測ツールは、構成可能な波長で高輝度照射光を提供する照射光学系102、及び空間的に分離した離散的範囲の入射角(または離散的範囲の方位角)で試料(試料)132上の対象からの光を1つずつまたは同時に検出する収集光学系104を含み得る。照射光学系102及び収集光学系104はまた、種々の偏光状態(対象のミュラー行列の全てまたは一部を求めるための偏光状態を含む)で光を生成・収集するように構成されてもよい。
図示の通り、照射光学系102は、照射ビームを生成する1つ以上の光源(例えば、光源106)を含む。例示した実施態様では、1つ以上の照射源102は、真空UVから近赤外線(例えば、約150nmから約2000nm)の範囲の波長をカバーする1つ以上の広帯域光源を含み得る。一実施例では、照射源は、高輝度光を生成するレーザー維持(LSP)源である。一実施例のLSPは、Energetiq Technology社(マサチューセッツ州、ウーバン)から市販されているEQ-1000である。LSPのいくつかの実施形態は、Donald K.Smithらによって2011年5月3日に出願された「レーザー駆動光源」と題する米国特許第2011/0204265号公報、及びDonald K.Smithらによって2008年7月2日に出願された「レーザー駆動光源」と題する米国特許第2009/0032740号公報に記載されており、ここに本明細書の一部を構成するものとしてこれらの出願を援用する。他の光源は、固体レーザーまたは他の種類のレーザーを含んでもよい。
一実施例では、1つ以上の光源はまた、57°から73°の範囲で同時入射角をなすために、少なくとも0.1W/(nm cm Sr)のピーク輝度も有する。別の態様では、1つ以上の光源は、190nm付近の波長で少なくとも0.1W/(nm cm Sr)のピーク輝度を有してよい。
また、光源の出力及び波長を安定化させるために、計測システムの光源に高速フィードバックをかけてもよい。このようなLSP及び他の広帯域光源を制御するためのいくつかの機構は、Daniel Kandelらによって2010年8月31日に出願された「計測システム及び方法」と題する米国特許出願第2011/0069312号においてさらに記載されており、ここに本明細書の一部を構成するものとして本出願を援用する。光源はまた、キセノンランプ及び/または重水素ランプで構成されてもよい。
別の実施態様では、光源は、異なる複数組のレーザーダイオードの形態をなす複数のレーザーダイオードで構成されている。これらのレーザーダイオードは、特定の計測用途に必要とされる種々の範囲の波長をカバーするように選択可能である。例えば、これらのレーザーダイオードアレイは、遠紫外線(ultra-violet:UV)、UV、可視光(visible:VIS)及び近赤外線(near infrared:NIR)の範囲から選択的に得られる波長幅を提供する。レーザーダイオードアレイのいくつかの実施形態は、Anant Chimmalgiらによって2013年6月21日に出願された「ダイオードレーザーによるウェーハ検査ツール用広帯域光源」と題する米国出願第13/924,216号においてさらに記載されており、ここに本明細書の一部を構成するものとして本出願全体を援用する。
照射光学系102はまた、照射ビームを調整するためのエリプソメータ照射光学系108を含んでもよい。このような調整としては、開口及び視野絞りの位置及び大きさの設定、並びに偏光子110に対する照射ビームの調整などがある。エリプソメータ照射光学系108は、通常、任意の適切な形にビームを整形する機能(平行化、集束化、拡径、縮径といったビーム形状の操作など)を行うように構成されてよい。
偏光子110は、回転偏光子偏光解析(rotating polarizer ellipsometry:RPE)を行うべく回転するように構成されてよく、あるいは他の種類の偏光解析を行うべく固定するように構成されてもよい。照射光学系はまた、波長板の形態をとった照射補償子112(あるいは、光弾性変調器、音響光学変調器、液晶光変調器または他の偏光感度の位相変調装置)を照射路に含んでもよい。照射補償子112は、固定式または回転式(例えば、回転補償子偏光解析(rotating compensator ellipsometry:RCE)モードの場合)として構成されてよい。この照射路の補償子112及び/または偏光子110を回転させることにより、照射ビームの偏光状態を変化させることが可能となる。これらの偏光状態には、S偏光状態及びP偏光状態と共に、より一般的な偏光状態を含めることができる。これらの偏光状態は、さらに本明細書で説明するように、ミュラー行列に基づく偏光解析を行うように選択されてよい。
偏光子110及び補償子112は、VUVからNIRの範囲の広帯域光で作用するように設計されてよい。例えば、波長範囲に応じた他の材料に加えて、例えば、好適な偏光子にはMgFロションプリズムが挙げられ、好適な波長板にはMgF及び水晶波長板が挙げられる。
照射光学系はまた、離散的入射角または離散的方位角を選択できるように、固定開口または可動開口及び/またはシャッターを含んでもよい。例示した実施例では、ミラー115,116を用いて試料132上に照射ビームを集束させるように構成されており、試料132上に集束させる1つ以上の範囲の入射角及び/または方位角を開口114が画定する。例えば、無反射材料をミラー上にパターン化することにより、無反射材料がない箇所で特定反射型開口を提供することができる。
例示した本実施形態では、開口素子114は、空間的に離散した各セットの入射角または方位角を試料に提供できるように、一式の固定開口を含む。あるいは、開口素子114はまた、開口ごとにシャッターを含むことにより、離散的入射角または離散的方位角の各セットを独立に選択して試料を照射できるようにしてもよい。別の実施形態では、開口素子114は、空間的に離散した種々の範囲の入射角または方位角を選択するための可動開口を1つ以上含んでよい。いくつかの構成可能な開口は、KLA-Tencor社によって2013年3月1日に出願されたPCT国際出願第PCT/US2013/028650号においてさらに記載されており、ここに本明細書の一部を構成するものとして本出願全体を援用する。
別の実施態様では、開口装置はまた、透過型開口素子の形態をとることもできる。この素子は、不透明材料に開いた穴、または不透明材料を用いてパターン化された透過型材料を照射光が通過するように配置されている。次いで、照射ビームの光線は、例えば、透過型集束素子により、離散的入射角及び離散的方位角でウェーハに集束され得る。しかしながら、透過型開口素子は、VUVからUVでは十分に作用することができない場合がある。
いずれの開口の実施例でも、開口素子は瞳平面またはその付近に配置され、この瞳平面の特定の空間位置で照射光線を透過または反射するように構成されており、それによって離散的範囲の入射角または方位角を選択できるようになっている。言い換えると、本計測システムは、照射ビームについて、同時に(例えば、シャッターも可動開口も用いずに)、あるいは1つずつ(シャッターまたは可動開口を経由して)、複数範囲の入射角及び方位角を離散的に選択することが可能である。例えば、それぞれが約8°以下の入射角範囲を有する離散的セットの入射角は、各セット間が少なくとも約0.1°で分離されており、これらのセットを全て合わせると、約50°~約80°の範囲をカバーする。一実施形態では、選択可能な範囲の入射角の少なくとも1つは、約60°超の入射角をなす。同様に、離散的範囲の方位角は、それぞれが20°と同等またはそれ未満の方位角範囲を有し得るが、各セット間は少なくとも約0.1°で分離されており、これらのセットを全て合わせると0°から360°の範囲をカバーする。
収集光学系104は、試料132からの光を離散的入射角及び離散的方位角で収集するように構成されてよい。すなわち、収集光学系104は、試料132からの被検出光(複数の異なる入射角及び方位角を有する)を収集できるような大きさになっている。例示した実施形態では、ミラー117,118は、試料132で反射する照射ビームを収集し、そのビームを検出器124に向けて誘導する。開口素子126は、種々の入射角及び方位角を選択するように構成されている。例えば、3つの異なる収集開口のうちの1つを用いて、3つの異なる入射角のセット(3つの異なる入射角に集められている)のうちの1つを1つずつ選択してよい。
次いで、光学素子を配置して、試料132で反射した光の偏光状態を解析してよい。例えば、種々の構成に合わせて第2補償子120及び検光子122を回転式または固定式として、種々の偏光状態を収集してよい。第2補償子は、波長板の形態(あるいは、光弾性変調器、音響光学変調器、液晶光変調器または他の偏光感度の位相変調装置)をとってよい。
回転偏光子偏光解析(RPE)モードでは、偏光子のみが回転する一方、他の回転可能な偏光解析構成要素(照射路内の照射補償子、検光子及び収集路内の収集補償子など)は固定されたままである。他のモードとしては、RPRC(回転偏光子、回転照射補償子または回転収集補償子及び固定検光子)モード、及びRCRC(固定偏光子、回転照射補償子、回転収集補償子及び固定検光子)モードを挙げることができる。他のモードとしては、RCE(固定偏光子、回転照射補償子及び固定検光子)、RCRC(固定偏光子、回転照射補償子及び回転収集補償子、並びに固定検光子)、または固定偏光子及び回転検光子の組み合わせを挙げることができる。本システムは、照射補償子または収集補償子のいずれかを含んでよく、あるいは両方の補償子を排除してもよい。
本明細書に記載されたシステムの実施形態は、いずれもミュラー偏光解析用に構成可能であってよい。本解析では試料を4×4行列で記述するが、その行列内の各要素は一式のスペクトルである。偏光子110、検光子122、第1補償子112、第2補償子120及び試料132の任意の組み合わせを測定中に回転することができる。選択可能な角周波数で、偏光生成光学素子及び偏光解析光学素子をそれぞれ回転させてもよい。種々の構成を用いて、種々の数の高調波スペクトルを生成する。なお、その一部では、十分な数の高調波スペクトルを生成することにより、対象のミュラー行列が完全に決定される。ミュラー行列を用いて偏光解析を行う様々な技法が、2013年5月21日に発行された、Shankar Krishnanによる「再構成可能な分光エリプソメータ」と題する米国特許第8,446,584号においてさらに記載されており、ここに本明細書の一部を構成するものとして本出願全体を援用する。
偏光子110と検光子122の間に位置する光学素子は、試料に向けて照射光を反射し、試料からの出力光を収集するための反射素子であってよい。分光偏光解析ツールにおける反射光学素子のいくつかの異なる配置構成は、1997年3月4日に発行された、Piwonka-Corleらによる米国特許第5,608,526号においてさらに記載されており、種々の分光偏光解析の特徴に関するさらなる実施形態を提供する目的で、ここに本明細書の一部を構成するものとして本特許を援用する。なお、このような特徴としては、光源、照射ビームを試料に反射させて集束させるための光学部品、自動焦点検出用部品、偏光子/補償子/検光子の構成及び配置、基準照射ビームを生成・検出するための基準チャネル用部品、制御・処理機構、分光器/検出器の配置、分光器システムの構成要素などがあり、これらは本明細書に記載されたシステムの実施形態で利用され得る。
次いで、収集した光を検出器124で受け取ることができる。一実施形態では、検出器は、広範囲な波長に対して十分高い量子効率を有する分光器である。検出器モジュールは、分光器スリット、及びプリズムを通った出力ビームを反射する1つ以上の反射ミラーを含んでよく、このプリズムは、異なる波長を別々の方向に屈折させることにより、検出器またはセンサの異なる直線部に沿って光が当たるように構成されている。他の検出器モジュールの配置も考えられる。具体的な実施形態では、検出器は、以下のUV強化部品の1つ以上を備えることができる。すなわち、約190nmから約900nmの波長範囲にわたって十分高い量子効率を有する電荷結合素子(charged coupled device:CCD)を用いた検出器、約700nmから約2000nmの波長範囲にわたって十分高い量子効率を有するフォトダイオードアレイ、約150nmから約400nmの波長範囲にわたって十分高い量子効率を有するフォトダイオードアレイなどである。好適な検出器としては、電荷結合素子(CCD)、CCDアレイ、時間遅延積算(time delay integration:TDI)センサ、TDIセンサアレイ、光電子増倍管(photomultiplier tube:PMT)及び他のセンサが挙げられる。
システム100はまた、制御部130を含んでもよい。この制御部は、ソフトウェア及びハードウェアの任意の適切な組み合わせを含むと共に、通常、計測システム100の種々の構成要素を制御するように構成されている。例えば、この制御部は、光源106の選択的作動、照射偏光子及び照射補償子の設定、検出補償子及び検出検光子の設定、照射開口/シャッターの設定などを制御してよい。制御部130はまた、検出器124で生成された信号または画像データを受け取ってもよく、得られた信号または画像を解析し、試料パラメータを求めることによって対象または試料を特徴付け、あるいは欠陥が試料に存在するかどうかを判定し、あるいは試料に存在する欠陥を特徴付けるように構成されてもよい。
システム100はまた、種々の移動可能な構成要素(試料台、固定開口/マスク、シャッター、偏光子、検光子、補償子など)を種々の位置に回転移動、チルト移動及び/または平行移動させる位置決め機構131を含んでもよい。一例として、位置決め機構131は、1つ以上のモーター機構(スクリュードライブ及びステッパーモーター、位置フィードバックを備えたリニアドライブ、バンドアクチュエータ及びステッパーモーターなど)を含んでよい。
本明細書に記載された任意のシステムと同様に、システム100はまた、好適には真空UVでの作動に適する窒素ガスまたは他のガスで真空チャンバを満たすためのパージシステムも含む。150nmで運用する場合、例えば、全光学経路はチャンバで密閉されており、このチャンバは乾燥窒素ガスで満たされている。例示的なパージシステム及びパージ技法は、(i)Nikoonahadらによって2003年11月19日に出願された米国特許出願第2004/0150820号公報、及び(ii)2008年1月24日に出願された米国特許第7,755,764号に記載されており、ここに本明細書の一部を構成するものとして本出願及び本特許をこうした特徴のために援用する。
本明細書に記載された各制御部は、得られたテスト画像及び他の計測特性を表示するためのユーザーインターフェースを提供する(例えば、コンピュータスクリーンに)ように構成されてもよい(例えば、プログラム命令を用いて)。制御部はまた、ユーザーにレシピ入力を提供するための1つ以上の入力装置(例えば、キーボード、マウス、ジョイスティック)を含んでもよい。このようなレシピ入力としては、検出パラメータに加えて、波長範囲、入射角/方位角及び入射光または収集光の偏光状態を選択することなどがある。制御部は、通常、1つ以上のプロセッサを備える。このプロセッサは、適切なバスまたは他の通信機構を介して、入出力ポート及び1つ以上のメモリに接続されている。
こうした情報及びプログラム命令は、特別に構成されたコンピュータシステムに実装されてよい。このようなシステムには、本明細書に記載された種々の動作を行うためのプログラム命令/コンピュータコードが含まれるが、これらは、コンピュータ読み取り可能な媒体に格納することができる。コンピュータ読み取り可能な媒体としては、例えば、ハードディスク、フロッピー(登録商標)ディスク及び磁気テープなどの磁気媒体;CD-ROMディスクなどの光学媒体;光ディスクなどの光磁気媒体;並びにリード・オンリー・メモリ(read-only memory:ROM)装置及びランダム・アクセス・メモリ(Random Access Memory:RAM)といったプログラム命令を格納して実行するように特別に構成されたハードウェア装置などが挙げられるが、これらに限定されることはない。例示的なプログラム命令としては、機械コード(コンパイラによって生成されたコードなど)及びインタープリターを用いてコンピュータで実行され得る高水準コードを含むファイルの両方が挙げられる。
上述した各図及び説明がシステムの特定の構成要素に限定されると解釈するのではなく、他の多くの形態において本システムを具現化することが可能であることに留意すべきである。例えば、計測ツールは、対象となる試料の特徴及び性質を決定するために配置された任意数及び任意種類の適切な構成要素を含み得るとみなされる。一例として、計測ツールは、VUVからNIRの分光による偏光解析、反射光測定または分散光測定を行う1つ以上の構成要素を含んでよい。
別の例示的なSEツールの実施形態では、ツールの検出器システムに波長及び入射角または方位角を同時に分解させる。図2は、本発明の第2実施形態に係る多入射角を同時に検出するように構成可能なSEツール200を図示したものである。本実施例では、試料132で反射された種々の入射角または方位角の光を収集光学系204で収集し、検出器モジュール224に送る。例示した実施形態では、収集光学系204は、収集光を分散光学系及び検出器モジュール224に集束させる収集ミラー226,118を含む。代替的実施形態では、被検出光を離散的入射角または離散的方位角へと空間的に分解していない。
波長及び入射角/方位角を平面内の異なる2方向(互いに直交するx,y方向など)にマッピングするための任意の適切な収集側機構をSEツールに組み込んでよい。通常、システム200は、2次元検出器の異なる2方向に波長及び入射角(または方位角)をマッピングできるように、異なる2方向(x,y方向など)で別々の光屈折力(例えば、円柱屈折力、環状屈折力など)を有する光学収集系を含んでよい。図示の通り、分散光学系及び検出器モジュール224は、入射角/方位角用に1つの軸を有し、波長用に別の軸を有する2次元分光器の機能(拡大したものを227に図示する)を提供する。分散光学系は、波長方向では、視野絞りと共役な面またはその付近に検出器を配置させるような屈折率を有し、入射角方向では、瞳平面と共役な位置またはその付近に検出器平面を配置させるような屈折率を有するように構成されてよい。あるいは、本実施例の入射角を方位角に置き換えてもよい。
例示した実施例では、分散光学系及び検出器モジュール224は、分光器入口で被検出ビームを受け取り、そのビームを検出器が受け取るように調整するための分散素子を含む。この検出器は、光を波長の関数として第1の軸に(例えば、X方向に)マッピングし、被検出光を入射角/方位角の関数として第2の軸に(例えば、Y方向に)マッピングする。すなわち、分散素子は、被検出光の波長成分を第1の検出器軸に分散させ、被検出光の入射角/方位角成分を第2の検出器軸(例えば、この軸は第1の軸に直交している)に分散させる。具体的な実施態様では、分散素子は、開口数(numerical aperture:NA)に比例した長さを有し、点焦点を線に合わせるように構成された円柱体、及び光をスペクトルに分散させる分散素子を含む。このNAは、収集した入射角/方位角に関係している。
検出器は、2方向に分散された光を検出する任意の適切な検出機構を含んでよい。このような検出機構としては、本明細書に記載されるような範囲で変動する波長、入射角及び方位角の光を検知するCCDなどがある。検出器は、選択された画素からデータをシフトさせる任意の適切な数のシフトレジスタを含んでよい。例えば、検出器は、2つの異なる入射角で入射して試料から放射された光を並列処理する2つのシフトレジスタを含んでよい。別の実施例では、2つよりも多くの異なる入射角で試料に入射して反射された光を並列に処理できるように、検出器が2つよりも多くのシフトレジスタを備える。さらに別の実施例では、高速に読み出しができるように、検出器は、入射角検出をするためのシフトレジスタごとにわずか一行の画素を備える。
システム200はまた、システム200の任意の構成要素を制御するように構成された制御部230を含んでもよい。例えば、制御部230は、1つ以上の光源106の波長、偏光子110、照射補償子112、検光子122及び収集補償子などの角周波数及び/または方位角並びにタイミングを選択するように構成されている。制御部230はまた、検出器で生成された信号または画像データを受け取り、得られた信号または画像を解析するように構成されてもよい。これにより、試料パラメータを求めることによって試料を特徴付け、あるいは欠陥が試料に存在するかどうかを判定し、あるいは試料に存在する欠陥を特徴付けるようにする。システム200はまた、種々の移動可能な構成要素(試料台、固定開口/マスク、シャッター、偏光子、検光子、補償子など)を種々の位置に回転移動、チルト移動及び/または平行移動させる位置決め機構231を含んでもよい。
一定の実施形態では、種々の入射角、方位角及び波長に応じたデジタルデータに被検出光を変換することが可能であり、このデータは、入射角、方位角及び波長(偏光状態に加えて)の関数として独立に解析することができる。被検出光に応じた上記データを、種々の入射角/方位角及び/または波長に応じて検出器の別々の領域に対応するように分割してもよい。次いで、こうして分割したデータを、入射角、方位角及び波長(偏光状態に加えて)の関数として解析することができる。一定の実施形態では、種々の入射角からの光信号を同時に取得して処理することにより、測定処理能力を向上させることができる。照射NAが増加すると、試料の対象領域における回折限界スポット径が小さくなることにより、対象サイズを小さくすることができる。あるいは、対象サイズを小さくしなかった場合でも、このようにNAを増加させると、対象領域内から到来した被検出光と周辺領域から到来した被検出光との比が増加して、信号が汚染されにくくなる。
図3Aは、本発明の特定の実施態様に係る多数の検出器で多数の入射角領域を同時に収集するSEツール300の実施例を簡略的に図示したものである。図示の通り、ツール300は、複数波長の光を提供する光源106、及び試料132に誘導させた照射ビームに種々の偏光状態を与えるエリプソメータ照射光学系302を含む。エリプソメータ照射光学系302はまた、多入射角で照射ビームを誘導するように構成されてもよく、多方位角で光を誘導するように構成されてもよい。ツール300はまた、多入射角(及び方位角)及び多重偏光状態の光を収集するエリプソメータ収集光学系304を含んでもよい。光源106、エリプソメータ照射光学系302及びエリプソメータ収集光学系304は、本明細書に記載された種々の照射用構成要素及び収集用構成要素のいずれかに相当し得る。
ツール300の収集側はまた、波長及び入射角に応じて、収集光を互いに直交する2方向に分散させる波長・入射角分散光学系306を含んでもよい。図示の通り、平面1(308)での分散結果309を図示する。この図では、第1の縦軸に対しては3つの異なる入射角領域1,2,3が含まれており、第2の横軸に対しては全入射角領域にわたって波長が分散している。当然のことながら、任意の適切な数の入射角領域が分散光学系306によって規定され得る。分散光学系306は、本明細書に記載されるように、異なる2方向に入射角(及び/または方位角)及び波長を分散させるべく、異なる光屈折力(例えば、円柱屈折力、環状屈折力など)を有するように構成されてよい。
ツール300はまた、分散光学系306によって入射角(または方位角)に分散されたビームを種々の入射角領域に分割する部分分割光学系310を含んでもよい。入射角部分分割光学系はまた、波長を決定し、1つの入射角(または方位角)の範囲にわたって積分する個々の検出器(例えば、314a,314b,314c)に各入射角領域を導くように構成されてもよい。入射角(または方位角)及び波長を分解した各平面が空間的に十分分離されていない場合、これらの平面間に再撮像光学系312を配置して、波長を分解した平面を各検出器に再撮像する。各検出器は、190nmから約900nmの波長にわたって検出するように構成されてよい。また、全ての検出器を同時に読み出すように構成してよい。光学系306,310,312及び検出器314はまた、150nmから2000nmの範囲の波長を収集及び/または検出するように構成されてもよい。例えば、約1000nm未満の波長用にSiベース検出器を用いてよく、約800nm超の波長用にInGaAsベースの検出器を用いてよい。このようなSEの実施形態により、種々の入射角/方位角からの光信号を同時に取得して処理することができる。
システム300はまた、種々の構成要素を制御し、検出されたデータを解析するように構成された制御部330を含んでもよい。制御部330は、例えば、図2の制御部230と同様であってよい。さらに、制御部330は、多数の検出器を制御し、このような各検出器で得られた画像及び信号を解析するように構成されてよい。システム300はまた、移動可能な任意の構成要素を平行移動、回転移動またはチルト移動させる位置決め機構331を含んでもよい。この位置決め機構は図2の位置決め機構と同様であるが、多数の検出器の位置をさらに選択できるようになっている。
代替的な多入射角システムは、選択された入射角用の開口を単一検出器に含み得る。図3Bは、本発明の別の具体的な実施態様に係る多入射角の中から入射角範囲を選択できるように構成可能な入射角マスク351を備えたSEツール350の第2実施例を簡略的に図示したものである。図示の通り、波長・入射角(及び/または方位角)分散光学系348は、依然として入射角(または方位角)及び波長を異なる2方向(例えば、直交する方向)に分散させるように構成されているが、入射角/方位角の分散平面の前に配置された平面で波長を分散する。すなわち、図3Bの入射角分散光学系348は、図3Aを用いて説明した入射角分散光学系と同様に動作し得るものの、入射角/方位角の分散平面と同一平面内には波長を分散させない。
入射角マスク351を平面に置き、その上に分散光学系348によって入射角を分散させるようにしてよい。この入射角マスクを用いて、検出器352に別々の入射角領域を選択的に送るように構成してよい。例えば、入射角マスク351は、試料の入射角/方位角領域において一度に1つずつ入射角/方位角領域を選択するための機構を提供する。ビュー354は、入射角領域を選択する実施例を示している。このビュー354では、入射角マスク351の開口を介し、入射角領域2を選択して検出器352に送る一方、入射角領域1,3は、入射角マスク351のマスク部(このマスク部は不透明のため光が透過しない)によって遮断される。
入射角マスク351は、特定の入射角領域を選択できるように任意の適切な形態をとってよい。例えば、入射角マスク351(または開口)は、異なる入射角領域を選択するためのシャッターをそれぞれ備えた複数の固定開口を備えてもよく、あるいは単一の可動開口(図示の通り)を備えてもよい。多数の固定開口を用いた実施例では、さらに本明細書に記載されるように、各開口を空間的に分離することにより、試料の種々の入射角領域を分解できるようにする。
検出器352(及び314)は、少なくとも1方向に沿って検出する(波長の場合)任意の適切な形態をとってよい。例えば、上述した2次元検出器または1次元線形フォトダイオードアレイなどがある。検出器352(及び314)は、波長分解平面内に位置することが好ましい。検出器352は、感光領域の幅によって、あるいは検出器装置内に組み込まれたマスクによって画定されるマスク351を備えてよい。この場合、分散光学系348は、入射角/方位角の分散平面と同一平面内に波長を分散させる。また、この場合、入射角領域を選択するためのマスク351と同様に検出器352を移動させる。
システム350はまた、制御部360及び位置決め機構361を含んでもよい。このシステムは、本明細書に記載された任意の制御部及び/または位置決め機構と同様の機能によって構成されているが、さらに、入射角マスク351及び/または検出器352を制御し、あるいは移動させることができる。
照射光路及び収集光路を移動させずに、多入射角で試料に照射して入射角領域を1つずつ選択的に収集する(すなわち、個別の検出器へと収集させる)SE計測ツール(図1,2,3Aの各システムなど)は、瞳及び視野絞りが入射角測定ごとに変わるシステムに比べると、固定照射と収集瞳、及び固定照射と収集視野絞りによって測定の反復性及び安定性をより向上させることが可能となる。可動視野絞りを備えたシステムの実施形態(図3Bのシステムなど)は、収集ミラー付近に移動開口を備えたシステムに比べると、開口の移動距離がより少なくなり、より高速な処理能力を実現することが可能となるが、移動開口がないシステムに比べると不安定になるという代償を伴う。撮像経路が固定された光学系を備える実施形態は全て、撮像光学系を移動することによって入射角を分解するシステムに対し、処理能力上の利点を有する。
別の実施例では、単一の2次元検出器を用いて、多数の入射角領域を同時に収集することができる。図3Cは、代替的実施形態に係る単一検出器370上の多数の入射角/方位角領域を同時に収集する様子を示す図である。本実施例では、この検出器は、複数の入射角領域(例えば、372a,372b,372c)に分割されており、これらは空間的に分離された入射角領域に相当する。これらの領域は同時に検出され、その後、別々に解析され得る。検出器の入射角領域は、光学不活性領域(例えば、374a,374b)によって空間的に分離することができる。これらの不活性領域は、解析が行われない光学不活性の画素部または信号部に相当する。
分散を用いた実施形態のいずれにおいても、ビームスプリッタを用いてビームを2つの2次元検出器に送ることにより、入射角と方位角を両方とも同時に分散させることが可能である。このとき、一方では波長及び入射角を分散するように構成され、他方では波長及び方位角を分散するように構成される。図3Dは、一実施形態に係る分散後の入射角と方位角を同時に収集する収集側光学系380を図示したものである。図示の通り、エリプソメータ収集光学系382は、試料からの多入射角及び多方位角の光を収集し、その出力をスプリッタ384に誘導することが可能である。このスプリッタは、波長・入射角分散系386及び波長・方位角光学系380に上記出力光を誘導する。
入射角分散光学系386は、波長及び入射角を、同一または異なる平面の2方向に沿って分散させるように構成されている。分散後の波長及び入射角は、波長・入射角検出器モジュール388で受け取られる。このモジュールは、空間的に分離された入射角領域(上述したように、それぞれが分散後の波長を有する)を、同時または順次に検出するように構成されてよい。同様に、波長・方位角検出器モジュール390で分散後の波長及び方位角を受け取ってよく、空間的に分離された方位角領域(それぞれが分散後の波長を有する)を同時または順次に検出するように、このモジュールを構成してよい。
図4Aは、本発明の別の実施形態に係る単一または複数のアポダイザ409及び/または405を備えた構成可能なSEツール400を図示したものである。ある配置構成では、静止した単一のアポダイザ409を照射瞳またはその付近に配置する。このアポダイザは、照射ビームを整形すると共に、選択された全ての入射角(または方位角)について、試料132に結ばれる焦点の点広がり関数を制御するように構成されている。別の配置構成では、1つ以上の可動アポダイザ409を照射瞳の内(例えば方向410内)外に移動させてよい。このアポダイザは、通常、照射ビームを整形すると共に、選択された種々の入射角(または方位角)について、試料132に結ばれる焦点の点広がり関数を制御するように構成されている。例えば、選択された各アポダイザは、特定セットの入射角(または方位角)についてスポット径を制御するように構成されてよい。具体的な実施例では、各アポダイザは、スポット中心から25ミクロンを超えて隔てたところのスポット位置の照射レベルがスポット中心におけるピーク照射の10-3未満にすべく、特定入射角の照射光を整形するように構成されている。例えば、サイドローブを抑制すると共に、試料となるウェーハの平面内の測定ボックス・サイズを改善することにより、選択された特定入射角(または方位角)での被測定信号の汚染を低減させるように、選択された各アポダイザを構成してよい。
別の実施形態では、1つ以上の収集アポダイザ405を、収集瞳と共役な平面の位置またはその付近に配置し、あるいはその場所に移動可能としてもよい。こうした収集側アポダイザを用いることにより、離散的範囲の入射角及び/または方位角の全てに応じた既定の収集プロファイルが提供され得る。収集側アポダイザは、鋭い収集開口によって生じた回折サイドローブを制御することが可能である。例えば、この収集アポダイザは、試料となるウェーハでの強度分布を照射アポダイザが整形するのと同様にして、分光器スリットに入射する強度分布を整形するように構成されてよい。この収集アポダイザはまた、測定ボックス外からの汚染を低減させて、分光器でのスポットをきめ細かく制御するように構成されることも可能であろう。
例示した実施例では、異なる入射角を収集する際に別々の収集開口を用いることにより、各入射角範囲についてスリットを同一にしつつ、スリットにおけるスポットが入射角に応じて異なるようにする。この構成では、用いるべき特定入射角向けに構成された収集アポダイザが、特定入射角のスポットを調整するのに有用となり得る。この収集アポダイザは、収集開口の平面またはその付近に配置されることが好ましいが、本アポダイザを後段に配置することも可能であると考えられる。具体的な実施態様では、アポダイザの数は収集開口の数に対応しており、選択されたアポダイザを収集路内に(例えば、方向411に)移動させることができる。あるいは、動的に構成可能な単一アポダイザを用いて収集路内に配置してもよい。
アポダイゼーションとは、一般に、光学システムの入射瞳における光分布の変換(例えば、マスクを用いて照射ビームの振幅及び/または位相を変えること)と定義することが可能であり、それによって照射ビームの強度プロファイルを変化させる。今の場合には、各アポダイザは、照射スポットの「尾部」(例えば、照射スポットの中心から25ミクロンよりも広い照射スポットの部分)における照射をピーク照射の10-3未満に低減させ、それによって信号汚染を低減させるように構成されてよい。本明細書に記載された任意の計測システムにこうしたアポダイザを含めることは1つの特徴であり、これによって、比較的小型の対象に比較的小さいスポット径を用いて計測することが可能となり得る。
透過型アポダイザ(溶融石英など)は、約170nmまでの波長に作用し得る。一般に、アポダイザは、標準的なリソグラフィによるレチクルブランク/マスクブランクを用いて製造してよく、これらのブランクは193nmに最適化されている。反射アポダイザも同様と考えられる。
一般に、各アポダイザを設計する際は、選択可能な照射側入射角(または方位角)の各特定セットに合わせて調整してよく、各アポダイザは、各特定セットの入射角(または方位角)についてスポット径を制御すべく、照射ビーム経路に移動可能である。すなわち、これらのアポダイザを用いた実施形態は、再構成不可能な光学機能をそれぞれ有する。加えて、一式のアポダイザ409は、特定の被テスト対象向けに構成された各アポダイザを含んでよい。例えば、同じ入射角でも、別々の照射振幅プロファイルを得ることが可能である。図4Aのシステムに加えて、本明細書に記載されたシステム実施形態はいずれも、構成可能なアポダイザを同様に含んでよい。
図4Aのシステム400には、種々の入射角によって試料132に照射された照射ビームを走査できるように、走査ミラー(例えば、走査ミラー407)を含む配置にしてもよい。この走査ミラーは、試料132に共役な平面内またはその近くにあることが好ましい。走査ミラー407で偏光子スリットを置き換えてもよく、走査ミラー407が偏光子スリットに共役となるようにしてもよい。走査ミラーで偏光子スリットを置き換えた場合、この走査ミラーは照射視野絞りを画定するマスクを含み得る。走査ミラーが偏光子スリットに共役である場合、偏光子スリットと走査ミラーの間に別の撮像光学系が存在し得る。走査ミラー407は、可動固定開口(例えば、上述したもの)を置き換えて、種々の入射角(または方位角)の照射ビームを選択的に走査することができる。走査ミラー407は、特定入射角(または方位角)を1つずつ選択すべく、任意の適切な位置決め機構によって移動できるように構成してもよい。例示した実施例では、走査ミラー407を方向408にチルトさせることにより、特定範囲の入射角(または方位角)を通じて照射ビームを走査させる。すなわち、走査ミラー407により、試料132での照射スポットを移動させずに、瞳平面内の種々の入射角位置に沿って照射を移動させる。
走査ミラー407は反射性であり、広範囲な波長で作用するようになっている。反射走査ミラー407を用いることにより、照射ビームに広範囲な波長を含める(反射光学素子を必要とするVUV光を含む)ことができる。
固定ミラー406を用いて、走査ミラー407から反射された走査後の照射ビームを誘導してもよい。あるいは、多数のミラーを用いて、試料132に種々の入射角を向けてもよい。
照射光学系402は、種々の入射角(または方位角)の照射光を試料132に対して最も適切に誘導するように構成されてよい。例えば、ミラー414,416は、特定セットの入射角(または方位角)からの照射ビームを誘導して、試料132に集束させる。一実施例では、ミラー414,416は、50°から80°の光を全て試料132に誘導するような大きさになっている。
他の実施形態と同様に、固定または可動開口126及び/またはシャッターの配置を用いて、種々の入射角(または方位角)の光を選択的に収集してよい。開口/シャッター126は、必要に応じて、入射角を1つずつ選択するように構成されてよい。
照射光学系402及び収集光学系404は、種々の偏光状態を生成及び/または収集する構成要素(例えば、偏光子110、補償子112,120及び検光子122)を含んでよい。
制御部430及び/または位置決め機構461は、システム400の任意の構成要素を制御するように構成されてよい。例えば、制御部430及び/または位置決め機構461は、1つ以上の光源106の波長、方向408へのスキャンミラー407のチルト位置、偏光子110、照射補償子112、収集補償子120及び検光子122の角周波数及び/または方位角並びにタイミング、各アポダイザ409の位置、照射及び/または収集シャッターの設定、可動開口の位置などを選択するように構成されている。
本明細書に記載された実施形態の大部分では、照射路内に振幅アポダイザを用いることにより、サイドローブを抑制すると共にウェーハ平面内の測定ボックス・サイズを改善して、被測定信号の汚染を低減させることが可能である。単一の構成可能なアポダイザまたは一式の可動アポダイザにより、特定セットの入射角(または方位角)について適切な振幅のアポダイゼーションが得られるものの、このようなアポダイザシステムでは、アポダイザパターンの変更が困難な場合がある。さらに、この配置構成は、切り替わりが低速であること及びハードウェアの繰り返し精度という課題と関係し得る。代替的なアポダイゼーションの実施形態では、動的に構成可能な空間光変調器(spatial light modulator:SLM)を用いることにより、必要に応じてアポダイザパターンを動的に形成してもよい。可変アポダイザ(例えば、MEMS SLM技法による)は、システムの位置調整に影響を及ぼすことなく、非常に素早く切り替わることができる。
図4Bは、本発明の代替的実施形態に係る動的に調整可能なアポダイザを備えた構成可能なSEツール450を図示したものである。このシステムは、動的に構成可能な反射アポダイザ456を照射路内に含む。この照射路には、照射光学系及び収集光学系において選択された特定の入射角、方位角及びNAのそれぞれについて、アポダイジング用パターンが動的に形成される。このアポダイザ456は、VUVからUVを含む広範囲で作用するように反射性であることが好ましい。図示の通り、アポダイザ456は、照射スリット458を経由した回転補償子454からの照射光452を受け取るように配置されてよい。
上述したように、種々の照射機構を用いて特定入射角(及び方位角)を選択してよく、種々の収集機構を用いて特定入射角(及び方位角)を収集してよい。アポダイザ456は、照射光学系及び収集光学系において選択された入射角(及び方位角)に基づき、照射光の振幅及び/または位相を動的に調整するように構成されている。一定の実施形態では、照射ビームは、走査ミラー、または1つ以上の固定もしくは可動開口、またはシャッター、あるいはその組み合わせを通過してもよい。これらは、アポダイザ456に到達する前に、1つ以上の空間的に分離した入射角(方位角)を選択するように構成されている。あるいは、こうした入射角(または方位角)選択機構の前にアポダイザ456を配置する。アポダイザ456は、瞳平面またはその付近に配置されることが好ましい。あるいは、アポダイザ456は、収集瞳またはその付近に配置することもできる。あるいは、アポダイザ456は、照射瞳及び収集瞳の両方またはこれらの付近に配置することもできる。収集側アポダイザを用いた構成により、例えば、検出器スリットにおけるスポット形状を制御することができる。このような種類のアポダイゼーションにより、検出器で受け取る際にボックス外部からの汚染が低減し得ると共に、検出器の分解能(またはPSF)も向上し得る。追加的または代替的に、種々の入射角(または方位角)を、固定もしくは可動開口及び/またはシャッターなどによって収集してもよい。
具体的な実施態様では、アポダイザ456は、空間光変調器(SLM)からなる。この空間光変調器は、アポダイザ456の全範囲にわたる振幅反射分布を制御するように構成可能である。ある適切なSLMは、微小電気機械システム(micro-electro-mechanical system:MEMS)によるSLMである。例示的なSLM型デバイスとしては、テキサス・インスツルメンツ社(ダラス)から入手可能であるDLP(Digital Light Processing、登録商標)デバイス、並びにフラウンホーファー協会(ミュンヘン、ドイツ)からのTXデバイス及びSLMデバイスなどが挙げられる。
DLPデバイスの場合のように、アポダイゼーションパターンは、2値の振幅パターンであってよく、複数の画素にわたって積分することにより、効果的な(連続的な)反射パターンが得られる。照射光学系に光を反射する局所領域内の画素の割合により、所望のレベルで局所的なアポダイゼーションが得られる。空間フィルタリング開口をDLP SLMの後段に用いて、照射光学系から離れるように反射した光を遮断し、DLP SLMの周期的構造に由来した回折をフィルタして取り除いてもよい。集束光学系自体の開口に、この開口を組み込んでもよい。
別のSLMの実施態様では、アポダイジングパターンを連続可変させてもよい。しかしながら、SLMによって生成された位相分布内のパターンを符号化することにより、振幅分布の連続可変を実現してもよい。所望の振幅パターン結果を得るためには、開口を用いて光にフーリエフィルタをかける必要があるが、システムの集束光学系にこのような開口を組み込んでよい。
システム450はまた、制御部及び/または位置決め機構(図示せず)も含んでよい。これらは上記の制御部及び/または位置決め機構のいずれとも同様であるが、アポダイザ456の制御をさらに備える。
本明細書に記載された一定の実施形態では、固定または可動開口を用いて、試料に向けて誘導させた照射ビーム、または試料から収集された被収集ビームにおいて特定入射角(または方位角)を選択してよい。図5Aは、一実施態様に係る例示的な開口システム500の側面図である。図示の通り、開口システム500は反射基板502を含んでよく、その上にマスクが形成されている。このマスクは、吸収または無反射領域(例えば、504a,504b,504c)からなり、その中には穴/ビア(例えば、506a,506b,506c)が形成されている。例示的な吸収または無反射材としては、金属シート材または金属箔材(ステンレス鋼やアルミニウムなど)及び黒アルマイト材などが挙げられる。これらの穴を透明材料で充填してもよく、あるいは充填しないままにしてもよい。各マスク開口(例えば506a,506b,506c)を覆うようにシャッター(例えば、508a,508b,508c)を配置し、あるいは取り付けてもよい。開口システム500全体はまた、移動可能(例えば、方向514に)であってもよい。これにより、本明細書にさらに記載されるように照射路または収集路内に開口が位置するようにする。
これらのシャッターを開き、あるいは閉じて、特定入射角(または方位角)で入射光を反射させるようにしてよい。図示の通り、光線束510bに対し、シャッター508bは閉じており、一方シャッター508a,508cは開いている。このようにして、最初に選択した入射角(または方位角)で光線510aを光線512aとして、次に選択した入射角(または方位角)で光線510cを光線512cとして、それぞれ反射基板502から反射させる。
図5Bは、第2実施態様に係る例示的な開口システム550の側面図である。図示されるように、開口システム550は反射基板552を含んでもよい。この開口システム550はシャッターを含んでおらず、固定開口(例えば、554a,554b,554c)を位置決めできるように方向564に沿って移動可能である。これらの開口は、吸収または無反射マスク材(例えば、556a,556b,556c)に形成されている。これらの開口は、照射路または収集路内に特定入射角(または方位角)で位置決めすることができる。図示の通り、最初に選択した入射角で光線560aを光線562aとして反射させ、2番目に選択した入射角で光線560bを光線562bとして反射させ、3番目に選択した入射角で光線560cを光線562cとして反射させる。
本システムの実施形態はいずれも、複数の瞳位置のそれぞれに開口を選択的に適用する透過型照射選択器を含んでよく、これにより、本明細書で記載されたように、特定セットの入射角(または方位角)を選択できるようにする。しかしながら、この照射選択器は、反射用ではなく、透過可能な波長に対してのみ適用され得る。一般に、照射選択器は、光線束が瞳の各位置を個別に通過できるようにして、個別範囲の入射角/方位角を得るように構成されている。図6Aは、本発明の一実施形態に係る照射選択器の斜視図である。本実施例では、照射選択器は、3枚の開口ディスク602,604,606で構成されている。各開口ディスクは、複数の異なる開口構成を含む(例えば、ディスク602には開口構成608a,608b、ディスク604には開口構成610a、及びディスク606には開口構成612a,612b、612c)。入射ビーム(又は光線束)614を受け取るための特定の開口構成を各ディスクについて選択し、その後、3枚のディスクから選択した3つの開口構成を次いで重ね合わせることができる。これにより、種々の数の開口設定が得られ、結果として種々の数の照射瞳プロファイルが得られる。
一般に、各ディスクの開口構成はそれぞれ、少なくとも1つの透明部を含むと共に、1つ以上の不透明領域をも含み得る。例えば、透明部は、任意の適切な透明材料(ガラス、水晶、溶融石英など)から形成することができる。あるいは各透明領域は、開口構成の各透明部を光が通過するように、単に材料が欠けたものとすることもできる。それに対し、各不透明部は、瞳平面における入射ビームの該当空間部分を遮断する。各不透明部は、通常、不透明材料から形成されており、このような不透明材料としては、クロム、けい化モリブデン(MoSi)、けい化タンタル、けい化タングステン、OMOG(opaque MoSi on glass)などがある。不透明層と透明基板の間にポリシリコン膜を追加して、接着力を向上させてもよい。低反射膜を不透明材料の上に形成してもよい。このような低反射膜としては、酸化モリブデン(MoO)、酸化タングステン(WO)、酸化チタン(TiO)または酸化クロム(CrO)などがある。各開口の透明部の形状は、任意の適切な形状でよい。例えば、矩形、円形、楕円形、LHCスクリーン(lhcscreen)(円形と矩形の重ね合わせ)、マーガレット(marguerite)(一方が90°回転した2つのLHCスクリーン)、矩形楕円(rectellipse)(楕円と矩形の重ね合わせ)、レーストラック形などがある。
通常、1つの開口構成を用いることにより、特定の入射ビームプロファイルまたは特定セットの入射角及び方位角が作成される。具体的な実施例では、SMO(Source Mask Optimization)または任意の画素化した照射技法を実装してよい。例示した実施形態では、各開口構成は、照射瞳領域の全体をカバーし、光軸の中心に配置される。しかしながら、他の選択肢として、瞳領域の一部に、または入射ビームの光路に沿った他の点(瞳平面ではない)に開口構成を配置してもよい。
図6Bは、3つの開口構成を組み合わせて開口構成の第2実施例を得る様子を示す図である。簡単にするため、透明部の大きさを誇張している。本実施例では、第1の開口構成610aは、瞳領域全体にわたって完全に透明である。第2の開口構成608bは、不透明部654,656で囲まれた透過型の垂直透明細片652を有する。第3の開口構成612bは、不透明部624,620で囲まれた水平透明細片622を有する。その結果、開口構成630は不透明部632で囲まれた正方形透明部634を有し、これによって特定セットの入射角を選択するように構成することができる。
図7Aは、本発明の別の実施形態に係る非軸放物面(OAP)ミラーを備えた構成可能な計測ツール700を図示したものである。このシステム700は、平行移動可能なミラー712と共に用いられる非軸放物面(OAP)ミラー710aを照射側702に含んでおり、多入射角を選択して(例えば、反射ミラー722aから受け取った照射ビームから)、一例として位置712aから位置712bに上記ミラー712を移動させる。通常、例えば、ある方向(例えば、714a)に沿って可動照射ミラー712をずらすことが可能であり、それによって照射ビームを照射OAP710aから反射させて、照射ビームが反射されるOAPの曲線上の位置に基づいて特定セットの入射角を得るようにする。例示した実施形態では、2つの異なる照射用平行移動ミラーの位置712a,712bが図示されており、空間的に分離した2つの異なる入射角を、1つずつ順次に試料132上で得るようにしているが、より多くのミラー位置(OAPの曲線における種々の領域から照射ビームを反射させるように構成されている)を用いて、より多くの入射角を得てもよい。
システム700の収集光学系704は、例えば、試料132からの出力ビームを選択された入射角で収集するように配置された対応する収集OAPミラー710b、及び方向714b内の複数の位置(例えば、713a,713b)に移動するように構成可能な同様の収集用平行移動ミラー713を含んでよく、これらの出力ビームを、選択された入射角で収集OAP710bから1つずつ受け取るようにする。収集光学系704はまた、出力ビーム(例えば、コリメートビーム716)を検出器124に誘導する任意の適切な光学素子(例えば、凸面ミラー720及び反射ミラー722b)を含んでもよい。
別の態様では、平行移動ミラー(712及び713)及びOAPミラー(710a及び710b)は、広範囲の入射角に対応するように(かすめ入射付近まで)構成されてよい。すなわち、平行移動ミラー及びOAPミラーは、連続的な範囲にわたって入射角を選択するように構成されてよい。
制御部730及び/または位置決め機構761は、システム700の任意の構成要素を制御するように構成されてよい。例えば、制御部730及び/または位置決め機構761は、1つ以上の光源106の波長、偏光子110、照射補償子112、検光子122及び収集補償子120の角周波数及び/または方位角並びにタイミング、各平行移動ミラー712,713の平行移動、OAPミラーの回転などを選択するように構成されている。
図7Bは、別の実施形態において、本発明の代替的実施態様に係る非軸放物面(OAP)ミラーを備えた二重経路式計測ツール750を図示したものである。このシステム750は、平行移動可能なミラー762と共に用いられる非軸放物面(OAP)ミラー760を含み、多入射角を選択して(例えば、ビームスプリッタ752から受け取った照射ビームから)、上記ミラー762を位置762aから762bに移動させる。通常、例えば、ある方向(例えば、764)に沿って可動照射ミラー762をずらすことが可能であり、それによって照射ビームを照射OAP760から反射させて、照射ビームが反射されるOAPの曲線上の位置に基づいて特定セットの入射角を得るようにする。この移動により、試料132から出射する出力ビームは、球面ミラー754における位置が変化するものの、この球面754で反射してから抽出位置に戻るようになる。第2の出力ビームは、上記のように球面ミラー754から戻ったビーム及び試料を走査した後の対象特性に応答して試料132から出射する。次いで、第2の出力ビームは、OAPミラー760、位置762aまたは位置762bにある平行移動ミラー及びビームスプリッタ752によって収集され、検出器(図示せず)に誘導される。
図7Bに示す別の実施形態では、制御部780と共に位置決め機構791を用いて、試料132、または測定点756の周囲におけるテスト対象面をチップ/チルトさせるように構成してもよい。例えば、入射角及び方位角を連続的または順次に走査することは、位置決め機構791を介して試料をチップ/チルトさせることによって実現可能である。この移動により、試料132から出射する出力ビームは、球面ミラー754における位置が変化するものの、この球面754で反射してから抽出位置に戻るようになる。第2の出力ビームは、上記のように球面ミラー754から戻ったビーム及び試料を走査した後の対象特性に応答して試料132から出射する。次いで、第2の出力ビームは、OAPミラー760、ミラー762(このミラーは、本実施形態では固定されている)及びビームスプリッタ752によって収集され、検出器(図示せず)に誘導される。本実施形態では、平行移動ミラー762の位置を固定したまま、ウェーハをチルトさせる。
本明細書に記載された多入射角及び多方位角システムの実施形態はまた、試料で反射されたゼロ次オーダーの光を収集側が抽出して明視野動作を行い、あるいは試料で反射された非ゼロ次オーダーの光を収集アームが抽出して暗視野動作を行うように構成されてもよい。ある配置構成では、明視野動作と暗視野動作を選択できるように、空間的に分離した一式の照射開口及び空間的に分離した別の一式の収集開口を配置する。明視野動作では、収集側は、試料で反射された照射光の同一入射角(方位角)を抽出する。暗視野動作では、収集側は、試料で反射された照射光とは異なる入射角(方位角)を抽出する。
これらの多入射角(または方位角)システムのいずれにおいても、照射光学系は、ウェーハの方位方向に分離された多数の照射ビーム(例えば、0°から90°を同時にカバーする)を生成するように構成することができる。このシステムは、空間的に分離した一式の検出器を備えてよい。これらの検出器は別々の光ビームを受け取ることが可能であり、照射光学系及び収集光学系は、各ビームについて多入射角及び多方位角に対応している。
本明細書に記載されたシステムと共に、任意の適切な測定プロセスを実装してよい。図8は、本発明の一実施形態に係るSE計測手順800を示すフローチャートである。始めに、多波長(例えば、VUVからIR)の照射光を工程802で生成してよい。工程804では、この照射光に対して1つ以上の偏光状態を選択してよい。工程806では、上記照射光に対して1つ以上の範囲の入射角及び/または方位角も選択してよい。工程808では、一例として、さらに上記照射光を整形・誘導して、ウェーハの対象上に小さなスポットを形成してよい。
工程810では、次いで、照射ビームに応答してウェーハから出射する出力ビームを収集してよい。工程812では、さらに、出力ビームを収集するために1つ以上の入射角または方位角を選択してよい。工程814では、1つ以上の偏光状態も選択してよい。工程816では、次いで、出力ビームを検出し、信号または画像を生成するのに用いてよい。工程818では、次いで、生成された信号または画像を解析して、ウェーハ上の対象の特性を決定してよい。例えば、種々の対象特性に対し、シミュレーション後にモデルから出力された信号/画像と生成された信号/画像を種々の照射特性(例えば、偏光状態、波長、入射角及び方位角)について比較することにより、対応する対象特性を決定する。
1つ以上の被検出信号または被検出画像に基づいて決定され得る例示的な試料パラメータとしては、限界寸法(critical dimension:CD)、膜厚、金属ゲート凹部、High-k凹部、側壁角、段差、ピッチウォーキング(pitch walking)、トレンチプロファイル及びコンタクトプロファイル、オーバーレイ、材料特性、半導体製造プロセス用パラメータ(例えば、スキャナの焦点及び照射量、エッチングツールのエッチ速度)などが挙げられる。なお、上記の材料特性としては、例えば、材料組成、屈折率、臨界膜(極薄拡散層、極薄ゲート酸化膜、先進フォトレジスト、193nmARC層、極薄多層積層、CVD層及び先進high-k金属ゲート(high-k metal gate:HKMG)を含む)への応力、極薄DPN(decoupled plasma nitridation)プロセス層、非臨界膜(中間絶縁体、フォトレジスト、底部反射防止膜、厚い酸化物及び窒化物、並びに配線工程(back end of line:BEOL)層を含む)への応力などがある。
上述の発明について、理解しやすくするために幾分詳細に説明してきたが、添付された特許請求の範囲内で一定の変更及び修正をなし得ることは明らかであろう。本発明のプロセス、システム及び装置には多くの代替方法が存在することを留意すべきである。例えば、半導体デバイスの計測に適用可能なシステムの実施形態を本明細書に記載しているが、かかるシステムは、他の種類の用途(他の種類の試料の計測または欠陥検査など)に利用することも可能であり得ると考えられる。従って、本実施形態は例示であって限定的なものではないと考えるべきであり、本発明は、本明細書に述べた詳細事項に限定されることはない。

Claims (11)

  1. 半導体試料の計測を行うエリプソメータ装置であって、
    真空紫外線(VUV)波長から赤外線(IR)波長の範囲内で選択可能な複数の波長で照射ビームを提供し、前記半導体試料に向けて複数の入射角(AOI)及び方位角(AZ)で前記照射ビームを誘導する照射光学系モジュールと、
    前記半導体試料に当てた前記照射ビームに応答して前記半導体試料から出射する出力ビームを実質的に全ての前記入射角及び方位角で収集し、前記出力ビームを1つ以上の検出器へと実質的に同時に誘導する収集光学系モジュールと、を備え、
    前記照射光学系モジュールは、前記照射ビームに対して複数の偏光状態を生成する偏光生成光学素子を含み、前記収集光学系モジュールは、前記出力ビームの前記偏光状態を解析する偏光解析光学素子を含み、
    前記照射光学系モジュール及び収集光学系モジュールは、前記複数の偏光状態を生成する前記偏光生成光学素子と前記偏光状態を解析する前記偏光解析光学素子の間に反射光学素子を含み、
    さらに、前記半導体試料からの前記出力ビームを前記入射角及び方位角並びに前記偏光状態で受け取って検出し、前記入射角及び方位角並びに偏光状態の前記出力ビームに基づいて複数の信号または画像を生成する前記1つ以上の検出器と、
    波長範囲を選択すること、前記偏光状態を選択すること、並びに前記波長、入射角及び方位角、並びに前記選択された偏光状態で前記信号または画像を解析して前記半導体試料の特徴を決定することをそれぞれ制御するように構成されている1つ以上の制御部と、を備え、
    前記収集光学系モジュールは、前記波長を波長平面で分散させ、前記入射角及び方位角を入射角/方位角平面で分散させる1つ以上の分散素子を含み、前記波長並びに前記入射角及び方位角は、異なる2つの検出方向に沿って分散され、前記異なる2つの検出方向は互いに直交する2つの軸方向で、1つの軸は波長に対応し、他の軸は入射角又は方位角に対応し、
    前記1つ以上の検出器が複数の検出器からなり、各検出器は、前記分散された波長を決定するように構成され、
    前記収集光学系モジュールはさらに、前記1つ以上の分散素子からの前記出力ビームを、それぞれが前記複数の検出器の1つに出力される別々の入射角領域に分割する部分分割光学系を含む、エリプソメータ装置。
  2. 前記1つ以上の分散素子が、前記異なる2方向について2つの異なる光屈折力を有する、請求項1に記載の装置。
  3. 前記収集光学系モジュールはさらに、前記波長平面と前記入射角及び方位角平面の間に位置する再撮像光学系を含み、前記再撮像光学系は、前記波長平面を各検出器に再撮像するように構成されている、請求項1に記載の装置。
  4. 前記収集光学系モジュールは、前記出力ビームにおける空間的に分離した複数の入射角及び方位角領域から特定入射角領域を選択的に送るための入射角/方位角マスクを瞳と共役な平面内に含む、請求項1に記載の装置。
  5. 前記波長の分散平面が前記入射角/方位角の分散平面の前に位置している、請求項4に記載の装置。
  6. 前記入射角/方位角マスクは、それぞれがシャッターを備えた複数の固定開口で構成されている、請求項4に記載の装置。
  7. 前記入射角/方位角マスクが固定可動開口で構成されている、請求項4に記載の装置。
  8. 前記1つ以上の検出器は、前記分散された波長を決定し、別々の入射角領域にわたって積分する複数の検出器からなる請求項1に記載の装置。
  9. 前記収集光学系モジュールは、前記出力ビームを第1の出力ビームと第2の出力ビームに分割するビームスプリッタと、前記第1の出力ビームを受け取り、前記出力ビームの前記波長及び前記入射角を、前記1つ以上の検出器の第1検出器の異なる2つの検出方向に沿って分散させる第1分散素子と、並びに前記第2の出力ビームを受け取り、前記出力ビームの前記波長及び前記方位角を、前記1つ以上の検出器の第2検出器の異なる2つの検出方向に沿って分散させる第2分散素子と、を含む請求項1に記載の装置。
  10. 前記波長の分散平面が前記入射角/方位角の分散平面と同一平面に位置している、請求項1に記載の装置。
  11. 前記1つ以上の検出器は、異なる2つの入射角領域からの並列データを処理する少なくとも2つのレジスタを備えた検出器からなる、請求項1に記載の装置。
JP2022183419A 2013-09-16 2022-11-16 多入射角半導体計測システム及び方法 Pending JP2023014136A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361878561P 2013-09-16 2013-09-16
US61/878,561 2013-09-16
US14/043,783 US9116103B2 (en) 2013-01-14 2013-10-01 Multiple angles of incidence semiconductor metrology systems and methods
US14/043,783 2013-10-01
JP2020068405A JP2020128986A (ja) 2013-09-16 2020-04-06 多入射角半導体計測システム及び方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020068405A Division JP2020128986A (ja) 2013-09-16 2020-04-06 多入射角半導体計測システム及び方法

Publications (1)

Publication Number Publication Date
JP2023014136A true JP2023014136A (ja) 2023-01-26

Family

ID=52666379

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2016542854A Active JP6688732B2 (ja) 2013-09-16 2014-09-15 多入射角半導体計測システム及び方法
JP2020068405A Pending JP2020128986A (ja) 2013-09-16 2020-04-06 多入射角半導体計測システム及び方法
JP2022043887A Pending JP2022079538A (ja) 2013-09-16 2022-03-18 多入射角半導体計測システム及び方法
JP2022183419A Pending JP2023014136A (ja) 2013-09-16 2022-11-16 多入射角半導体計測システム及び方法

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2016542854A Active JP6688732B2 (ja) 2013-09-16 2014-09-15 多入射角半導体計測システム及び方法
JP2020068405A Pending JP2020128986A (ja) 2013-09-16 2020-04-06 多入射角半導体計測システム及び方法
JP2022043887A Pending JP2022079538A (ja) 2013-09-16 2022-03-18 多入射角半導体計測システム及び方法

Country Status (6)

Country Link
EP (3) EP3624174A3 (ja)
JP (4) JP6688732B2 (ja)
KR (2) KR102216201B1 (ja)
CN (1) CN105051877B (ja)
IL (2) IL239666B (ja)
WO (1) WO2015039031A1 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10309907B2 (en) * 2015-03-04 2019-06-04 Kla-Tencor Corporation All reflective wafer defect inspection and review systems and methods
JP6448528B2 (ja) * 2015-12-24 2019-01-09 株式会社目白67 エリプソメータ
US10215693B2 (en) * 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
EP3333632A1 (en) 2016-12-08 2018-06-13 ASML Netherlands B.V. Metrology apparatus
CN109425619B (zh) * 2017-08-31 2021-12-28 深圳中科飞测科技股份有限公司 光学测量系统及方法
US10551166B2 (en) * 2017-10-11 2020-02-04 Kla-Tencor Corporation Optical measurement of a highly absorbing film layer over highly reflective film stacks
KR102248379B1 (ko) * 2019-06-20 2021-05-06 주식회사 이솔 반도체 소자의 결함 검사장치.
CN112213272B (zh) * 2019-07-10 2024-01-12 中微半导体设备(上海)股份有限公司 一种光谱检测设备、终点检测系统和方法
CN110832631A (zh) * 2019-10-12 2020-02-21 长江存储科技有限责任公司 用于检测深度特征中的缺陷的方法
CN113048894B (zh) * 2021-03-04 2022-10-18 上海精测半导体技术有限公司 一种探测反射光变化的装置、方法及膜厚测量装置
CN114428081A (zh) * 2021-12-15 2022-05-03 赣州市同兴达电子科技有限公司 复测aoi抛料可视化复判方法
KR102521324B1 (ko) * 2022-03-03 2023-04-20 (주)오로스 테크놀로지 입사각을 갖는 오프-액시스 광학계의 정렬 방법
KR102492803B1 (ko) 2022-04-19 2023-01-31 (주)오로스테크놀로지 조리개를 이용하여 입사 각도 또는 개구수를 조절하는 편광 분석 장치 및 방법
CN115389022B (zh) * 2022-08-19 2024-01-26 深圳市埃芯半导体科技有限公司 椭偏仪
KR102621281B1 (ko) * 2022-11-22 2024-01-05 (주)오로스테크놀로지 광 계측기

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0643372A (ja) * 1992-06-19 1994-02-18 Canon Inc 光走査装置
US5872630A (en) * 1995-09-20 1999-02-16 Johs; Blaine D. Regression calibrated spectroscopic rotating compensator ellipsometer system with photo array detector
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
JP3712481B2 (ja) * 1995-12-28 2005-11-02 富士通株式会社 半導体装置の製造方法
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5859424A (en) * 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5979244A (en) * 1998-03-04 1999-11-09 Siemens Aktiengesellschaft Method and apparatus for evaluating internal film stress at high lateral resolution
US6184984B1 (en) * 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
JP2001165850A (ja) * 1999-12-14 2001-06-22 Fuji Electric Co Ltd 油膜検知装置
US7345762B1 (en) * 2000-05-30 2008-03-18 J.A. Woollam Co., Inc. Control of beam spot size in ellipsometer and the like systems
US20030030817A1 (en) 2001-08-10 2003-02-13 Chih-Kung Lee Multifunctional opto-electronic biochip detection system
US7369233B2 (en) 2002-11-26 2008-05-06 Kla-Tencor Technologies Corporation Optical system for measuring samples using short wavelength radiation
JP2006153770A (ja) * 2004-11-30 2006-06-15 Omron Corp 分光計測装置
US7483133B2 (en) * 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
US7463369B2 (en) * 2006-03-29 2008-12-09 Kla-Tencor Technologies Corp. Systems and methods for measuring one or more characteristics of patterned features on a specimen
US7989786B2 (en) 2006-03-31 2011-08-02 Energetiq Technology, Inc. Laser-driven light source
US7705331B1 (en) * 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
WO2008013909A2 (en) * 2006-07-27 2008-01-31 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
JP4950813B2 (ja) * 2007-08-30 2012-06-13 大日本スクリーン製造株式会社 分光エリプソメータ、膜厚測定装置および分光エリプソメータのフォーカス調整方法
JP5175605B2 (ja) * 2008-04-18 2013-04-03 株式会社日立ハイテクノロジーズ パターン形状検査方法
CA2742273A1 (en) * 2008-11-04 2010-05-14 William Marsh Rice University Image mapping spectrometers
JP5366536B2 (ja) * 2008-12-26 2013-12-11 株式会社堀場製作所 ラマン散乱光測定装置
US8441639B2 (en) 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8446584B2 (en) 2011-05-13 2013-05-21 Kla-Tencor Corporation Reconfigurable spectroscopic ellipsometer
US8456639B2 (en) * 2011-07-01 2013-06-04 Kla-Tencor Corporation Measurement of critical dimension
US9228943B2 (en) * 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US9176069B2 (en) 2012-02-10 2015-11-03 Kla-Tencor Corporation System and method for apodization in a semiconductor device inspection system
US8879073B2 (en) * 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US9347891B2 (en) 2012-03-07 2016-05-24 Kla-Tencor Corporation Wafer and reticle inspection systems and methods for selecting illumination pupil configurations

Also Published As

Publication number Publication date
JP6688732B2 (ja) 2020-04-28
JP2020128986A (ja) 2020-08-27
CN105051877B (zh) 2019-02-22
KR20200085938A (ko) 2020-07-15
EP3047520B1 (en) 2019-11-06
EP3624174A2 (en) 2020-03-18
CN105051877A (zh) 2015-11-11
EP3624174A3 (en) 2020-06-03
EP3047520A1 (en) 2016-07-27
EP3971950A1 (en) 2022-03-23
KR102216201B1 (ko) 2021-02-15
IL239666A0 (en) 2015-08-31
IL280388A (en) 2021-03-01
JP2016536609A (ja) 2016-11-24
EP3047520A4 (en) 2017-07-12
JP2022079538A (ja) 2022-05-26
KR102134943B1 (ko) 2020-08-26
IL239666B (en) 2021-02-28
WO2015039031A1 (en) 2015-03-19
KR20160055908A (ko) 2016-05-18
IL280388B (en) 2022-02-01

Similar Documents

Publication Publication Date Title
JP2023014136A (ja) 多入射角半導体計測システム及び方法
US9310290B2 (en) Multiple angles of incidence semiconductor metrology systems and methods
CN108027568B (zh) 光谱光束轮廓叠对度量
TWI659204B (zh) 光譜光束分佈度量
US9952140B2 (en) Small spot size spectroscopic ellipsometer
US9170156B2 (en) Normal-incidence broadband spectroscopic polarimeter containing reference beam and optical measurement system
TW201921132A (zh) 使用多重參數組態之疊對度量
US7924422B2 (en) Calibration method for optical metrology
KR20030041147A (ko) 개구수차 방법 및 장치
US11137350B2 (en) Mid-infrared spectroscopy for measurement of high aspect ratio structures
TWI811832B (zh) 能夠判定樣本之特性的光學計量裝置以及使用光學計量裝置特徵化樣本之方法
US8107073B2 (en) Diffraction order sorting filter for optical metrology
TWI601937B (zh) 用於執行半導體樣品之度量衡之橢圓偏光計設備

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240401