CN105051877B - 用于执行半导体样品的计量的椭圆偏光计设备 - Google Patents

用于执行半导体样品的计量的椭圆偏光计设备 Download PDF

Info

Publication number
CN105051877B
CN105051877B CN201480004823.XA CN201480004823A CN105051877B CN 105051877 B CN105051877 B CN 105051877B CN 201480004823 A CN201480004823 A CN 201480004823A CN 105051877 B CN105051877 B CN 105051877B
Authority
CN
China
Prior art keywords
aoi
equipment according
sample
illumination
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480004823.XA
Other languages
English (en)
Other versions
CN105051877A (zh
Inventor
戴维·Y·王
克劳斯·伏罗克
劳伦斯·D·罗特
桑卡·克里许南
乔汉斯·D·迪·维尔
卡塔林·飞利浦
格雷戈里·布雷迪
穆沙米尔·阿蓝
安德烈·谢卡格罗瓦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/043,783 external-priority patent/US9116103B2/en
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN105051877A publication Critical patent/CN105051877A/zh
Application granted granted Critical
Publication of CN105051877B publication Critical patent/CN105051877B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Spectrometry And Color Measurement (AREA)

Abstract

本发明揭示一种设备,所述设备包含:(i)亮光源,其用于提供处于可在从深紫外线波长到红外线波长的范围内选择的多个波长的照明光束;(ii)照明光学器件,其用于以可选择的入射角AOI或方位角AZ组及偏光状态将所述照明光束引导朝向样品以提供光谱椭圆偏光测量,其中所述照明光学器件包含用于控制处于所述可选择AOI/AZ组中的每一者的所述照明光束在所述样品上的光点大小的切趾器;(iii)收集光学器件,其用于将响应于处于所述可选择AOI/AZ组中的每一者及偏光状态的所述照明光束而来自所述样品的输出光束引导朝向基于所述输出光束产生输出信号或图像的检测器;及(iv)控制器,其用于基于所述输出信号或图像特征化所述样品的特征。

Description

用于执行半导体样品的计量的椭圆偏光计设备
相关申请案的交叉参考
本申请案主张以下美国临时专利申请案的优先权:(i)大卫·Y·王(DavidY.Wang)等人在2013年1月14日申请的标题为《多重入射角半导体计量系统(MultipleAngle of Incidence Semiconductor Metrology System)》的第61/752,202号美国临时专利申请案,及(ii)大卫·Y·王(David Y.Wang)等人在2013年9月16日申请的标题为《多重入射角半导体计量系统及方法(Multiple Angle of Incidence SemiconductorMetrology System and Methods)》的第61/878,561号美国临时专利申请案。出于全部目的将这些申请案以全文引用的方式并入本文中。
技术领域
本发明大体上涉及半导体计量系统的领域。更特定来说,本发明涉及椭圆偏光测量、反射测量及散射测量系统。
背景技术
随着对于不断缩小的半导体装置的需求持续增加,对于经改进半导体晶片计量系统的需求也将增加。半导体装置(例如逻辑及存储器装置)的制造通常包含使用大量半导体制造工艺来处理半导体晶片以形成半导体装置的多种特征及多个层级。可在单个半导体晶片上按布置制造多个半导体装置且接着将所述多个半导体装置分离成个别半导体装置。
在半导体制造工艺期间的多种步骤处使用计量过程以监测且控制一或多个半导体层工艺。举例来说,使用计量过程以测量晶片的一或多个特性,例如在工艺步骤期间于所述晶片上形成的特征的尺寸(例如,线宽、厚度、角度等),其中可通过测量所述一或多个特性确定工艺步骤的质量。在此情况中,给定半导体样品可包含一组计量目标,其中膜堆叠或二维及三维图案化结构由具有多种几何形状及性质的一或多个材料包围。
光谱椭圆偏光测量(SE)计量测量对以不同光学参数从计量目标反射的光进行取样。使用计量目标的SE数据以确定晶片特性。存在对于经改进SE计量工具的持续需要(例如)以便可容易地解耦合针对不同目标特性的SE数据。
发明内容
以下呈现本发明的简化提要以提供对本发明的某些实施例的基本理解。此提要并非对本发明的广泛概述且其并不识别本发明的关键/重要元素或刻画本发明的范围。其唯一目的是以简化形式呈现本文中揭示的某些概念作为随后呈现的更详细描述的序言。
在一个实施例中,揭示用于执行半导体样品的计量的椭圆偏光计设备。所述设备包含照明光学器件模块,其用于提供处于可从真空紫外线(VUV)波长到红外线(IR)波长的范围内选择的多个波长的照明光束且以多个入射角(AOI)及/或方位角(AZ)将所述照明光束引导朝向样品;及收集光学器件模块,其用于收集处于多个离散AOI及/或AZ范围的从样品发出的输出光束且将此输出光束引导到检测器模块。所述离散范围为一次一个地经收集,且所述输出光束响应于所述样品上的所述照明光束。所述照明光学器件模块包含用于产生所述照明光束的多个偏光状态的偏光产生光学元件,且所述收集光学器件模块包含用于分析所述输出光束的所述偏光状态的偏光分析光学元件。所述照明光学器件模块及收集光学器件模块包含在所述偏光产生光学元件与所述偏光分析光学元件之间的反射光学元件。所述设备进一步包含检测模块,其用于接收且检测处于所述离散AOI及/或AZ范围及所述偏光状态的来自所述样品的所述输出光束,且基于处于所述离散AOI及/或AZ范围及所述偏光状态的所述输出光束产生多个信号。所述设备还包含一或多个控制器,所述控制器各自经配置以控制以下操作中的一或多者:选择波长范围;针对所述输出光束的收集选择所述离散AOI及/或AZ范围中的一或多者;选择所述偏光状态;及分析处于所述离散AOI及/或AZ范围及所述偏光状态的所述信号以确定所述样品的特性。在一个实例中,所述样品为半导体晶片上的一或多个目标。
在特定实施方案中,所述离散AOI及/或AZ范围彼此空间分离。在另一方面中,波长范围为介于约150nm到约2000nm之间。在另一方面中,照明光学器件模块包含用于产生照明光束的亮激光维持等离子(LSP)源。在一个方面中,LSP源产生处于等于或大于约0.1W/nm/cm2/sr的峰值亮度的照明光束。在一个方面中,离散AOI或AZ子组各自分离至少0.1°。在另一方面中,离散AOI及/或AZ范围包含大于约60°的AOI。在特定方面中,离散AOI及/或AZ范围包含从0到360度的多个离散AZ范围。在此实施例中,所述设备可包含用于使样品旋转以获得在0度与360度之间的离散AZ范围的定位机构。在另一方面中,离散AOI及/或AZ范围包含从0度到90度的多个离散AZ范围。
在一个实施例中,照明光学器件模块包含用于提供处于与由收集光学器件模块收集且检测的离散AOI及/或AZ范围实质上相同的离散AOI及/或AZ范围中的每一者的照明光束的多个固定光圈或可移动光圈。在一个方面中,照明光学器件模块包含用于一次一个地提供处于离散AOI及/或AZ范围中的每一者的照明光束的多个固定光圈及在每一固定光圈上方的快门。在另一方面中,照明光学器件模块进一步经配置以同时提供处于实质上包含如由收集光学器件模块一次一个地收集且检测的离散AOI及/或AZ范围的AOI及/或AZ范围的照明光束。在另一实施例中,收集光学器件模块包含用于一次一个地收集处于离散AOI及/或AZ范围中的每一者的输出光束的多个固定光圈及在每一固定光圈上方的快门。在另一实施例中,收集光学器件模块包含用于一次一个地收集处于离散AOI及/或AZ范围中的每一者的输出光束的可移动光圈或具有在每一固定光圈上方的快门的多个静止光圈。
在特定实施方案中,偏光产生光学元件包含在照明光学器件模块中的偏光器及第一补偿器,且偏光分析光学元件包含在收集光学器件模块中的第二补偿器及分析器,且选择偏光状态包含:使偏光器、第一及第二补偿器及分析器中的任何一或多者旋转或保持静止。在另一实施例中,偏光产生光学元件包括偏光器及分析器,且选择偏光状态包含:使偏光器旋转且保持分析器静止。在进一步方面中,偏光分析光学元件进一步包括收集补偿器,且选择偏光状态进一步包含:使收集补偿器旋转。在进一步方面中,照明光学器件模块包含用于针对每一离散AOI及/或AZ范围使样品上的目标上方的焦点的点扩散函数最小化的切趾器。照明(或收集)切趾可通常经定义为更改光学系统的入射光瞳中的光分布(例如,使用掩模以更改照明或收集光束的振幅及/或相位)借此改变照明(或收集)光束的强度轮廓。在又一进一步方面中,偏光产生光学元件进一步包括照明补偿器,且选择偏光状态进一步包含:使照明补偿器旋转。
在另一实例中,偏光产生光学元件包括偏光器及照明补偿器且偏光分析光学元件包括分析器,且选择偏光状态包含:使照明补偿器旋转且保持偏光器及分析器静止。在进一步方面中,偏光分析光学元件进一步包括收集补偿器,且选择偏光状态进一步包含:使收集补偿器旋转。在另一实施例中,偏光产生光学元件包括偏光器且偏光分析光学元件包括分析器,且选择偏光状态包含保持偏光器静止且使分析器旋转。
在特定实施方案中,照明光学器件模块进一步包括用于针对离散AOI及/或AZ范围中的每一者整形照明光束且控制在样品上的目标处的焦点的点扩散函数的一或多个光束整形光学元件。举例来说,一或多个光束整形元件经配置以将由样品上的照明光束引起的在距照明光点的中心的预定义距离处的辐照度减小到小于在照明光点的中心处的峰值辐照度的预定义值。
在实例方面中,一或多个光束整形元件为各自拥有不可重新配置的光学功能的切趾器。所述设备进一步包含用于将切趾器中的经选择者移动到共轭于照明光束的光瞳的平面中或附近的定位机构,且控制器进一步经配置以导致定位机构移动切趾器中的经选择者。切趾器提供对应于全部离散AOI及/或AZ范围的预定义照明轮廓。
在另一实例中,一或多个光束整形元件为位于共轭于照明光束的光瞳的平面处或附近的可动态调整切趾器,且可动态调整切趾器可配置以提供对应于全部离散AOI及/或AZ范围的预定义照明轮廓。所述控制器进一步经配置以调整可动态调整切趾器。
在另一实施例中,收集光学器件模块包括位于或可移动到共轭于收集光瞳的平面处或附近的位置的一或多个切趾器,且切趾器提供对应于全部离散AOI及/或AZ范围的预定义收集轮廓。
在另一实例中,照明光学器件模块包括(i)第一离轴抛物面(OAP)镜及(ii)第一平移镜,所述第一平移镜可移动以在多个位置处接收照明光束以将照明光束引导到第一OAP镜上的多个位置,使得第一OAP以离散AOI及/或AZ范围将照明光束一次一个地反射到样品。在此实例中,收集光学器件模块包括(i)检测器、(ii)第二OAP及(iii)第二平移镜,所述第二平移镜可移动以在多个位置处接收输出光束以将输出光束引导到第二OAP镜上的多个位置,使得第二OAP将处于离散AOI及/或AZ范围的输出光束一次一个地反射到检测器。
在另一实施例中,照明光学器件模块具有光束分裂器、离轴抛物面(OAP)镜及平移镜,其可移动以经由光束分裂器在平移镜的多个平移位置处接收照明光束以便将照明光束引导到OAP镜上的多个对应位置,使得OAP以离散AOI及/或AZ范围将照明光束一次一个地反射到样品。收集光学器件模块包括(i)光束分裂器,(ii)OAP,(iii)平移镜及(iv)球面镜,所述球面镜用于将输出光束往回反射朝向样品以导致第二输出光束从样品发出以从OAP上的对应位置反射且接着在多个平移位置处从平移镜反射朝向光束分裂器且到检测器,以便一次一个地收集处于离散AOI及/或AZ范围的第二输出光束。
在另一方面中,收集光学器件模块进一步可配置以通过以一或多个AOI照明且在一或多个不同AOI下收集而从输出光束收集零阶光用于明视场计量且从输出光束收集非零阶光用于暗视场计量。在一个实施方案中,收集光学器件模块收集与从样品反射的照明AOI(AZ)相同的AOI(AZ)。在另一实例中,收集光学器件模块收集不同于从样品反射的照明AOI(AZ)的AOI(AZ)。在又一实例中,收集光学器件模块含有用于将光分散到光谱中的分散元件。在另一实施例中,所述设备的照明光瞳及收集光瞳经布置以设置照明及收集数值孔径,且其中所述设备的照明及收集视场光阑经布置以设置源大小及图像大小。
在替代实施例中,所述设备包括(i)照明光学器件模块,其用于提供处于可从真空紫外线(VUV)波长到红外线(IR)波长的范围内选择的多个波长的照明光束且以多个入射角(AOI)及/或方位角(AZ)将所述照明光束引导朝向样品,及(ii)收集光学器件模块,其用于收集处于实质上全部AOI或AZ的从样品发出的输出光束且将此输出光束实质上同时引导到一或多个检测器上,且输出光束响应于样品上的照明光束。照明光学器件模块包含用于产生照明光束的多个偏光状态的偏光产生光学元件,收集光学器件模块包含用于分析输出光束的偏光状态的偏光分析光学元件,且照明光学器件模块及收集光学器件模块包含在用于产生多个偏光状态的光学元件与用于分析偏光状态的光学元件之间的反射光学元件。所述设备还包含用于接收且检测处于AOI及/或AZ及偏光状态的来自样品的输出光束以基于处于此类AOI及AZ及偏光状态的输出光束产生多个信号或图像的一或多个检测器;及一或多个控制器,所述一或多个控制器各自经配置以控制以下操作中的一或多者:选择波长范围;选择偏光状态;及分析处于波长、AOI及/或AZ及经选择偏光状态的信号或图像以确定样品的特性。
在一个实施方案中,收集光学器件包含一或多个分散元件,所述分散元件用于在波长方向分散波长且在AOI/AZ方向分散AOI及/或AZ,借此沿着两个不同检测方向分散波长及AOI及/或AZ。在进一步方面中,两个不同方向彼此正交。在另一方面中,一或多个分散元件针对两个不同方向具有两个不同光功率。在又一方面中,一或多个检测器包括多个检测器,且每一检测器经配置以解析经分散波长,在不同AOI区域中的一者上积分。在进一步方面中,收集光学器件模块进一步包括用于将来自一或多个分散元件的输出光束划分成各自输出到检测器中的一者的不同AOI区域的再分光学器件。在另一实例中,收集光学器件进一步包括定位于波长平面与AOI/AZ平面之间的重成像光学器件,且重成像光学器件经配置以使波长平面重成像到每一检测器上。
在另一实施方案中,收集光学器件模块包括在共轭于光瞳的平面中的用于选择性透射来自输出光束的多个空间分离AOI/AZ区域的特定AOI/AZ区域的AOI/AZ掩模,及用于接收特定AOI/AZ区域且解析经分散波长且在特定AOI/AZ区域上积分此类经解析波长的检测器,且控制器进一步经配置以一次选择一个特定AOI/AZ区域。在进一步方面中,波长平面经定位于AOI/AZ平面之前。在另一方面中,AOI/AZ掩模由多个固定光圈及在每一固定光圈上的快门组成。在又一实例中,AOI/AZ掩模由固定、可移动光圈组成。
在另一实施例中,收集光学器件模块包括用于解析经分散波长、在不同AOI区域上积分的单个检测器,在不同AOI区域中的邻近者之间有AOI未经解析及分析的光学无响应区。在另一方面中,收集光学器件包含用于将输出光束分裂成第一输出光束及第二输出光束的光束分裂器、用于接收所述第一输出光束且沿着第一检测器的两个不同检测方向分散输出光束的波长及AOI的第一分散元件,用于接收所述第二输出光束且沿着第二检测器的两个不同检测方向分散输出光束的波长及AZ的第二分散元件。在另一实例中,波长平面定位于与AOI/AZ平面相同的平面处。在另一方面中,收集光学器件模块包括具有用于并行处理来自两个不同AOI区域的数据的至少两个寄存器的检测器。在其它实施例中,照明及收集光学器件模块包含如上文中描述的特征。
在另一实施例中,所述设备包含(i)一或多个亮光源,其用于提供处于可从真空紫外线(VUV)波长到红外线(IR)波长的范围内选择的多个波长的照明光束;(ii)照明光学器件,其用于以多个可选择入射角(AOI)及/或方位角(AZ)组及多个偏光状态将所述照明光束引导朝向样品,其中所述照明光学器件包括用于控制处于所述可选择AOI及/或AZ组中的每一者的所述照明光束在所述样品上的照明光点的光点大小的至少一个切趾器;(iii)收集光学器件,其用于以所述可选择AOI或AZ组中的每一者及偏光状态,将响应于所述照明光束从所述样品发出的输出光束引导朝向检测器;(iv)检测器,其用于基于所述输出光束产生输出信号或图像;及(v)控制器,其用于基于随波长、AOI及/或AZ及/或偏光状态而变的所述输出信号或图像而特征化所述样品的特征。
在一个实施例中,一或多个亮光源包括激光维持等离子(LSP)源。在特定实施方案中,至少一个切趾器包括一组切趾器,其各自拥有不可经重新配置的光学功能,且其可移动到照明光瞳平面中及照明光瞳平面外,且每一固定切趾器经配置以用于针对可选择AOI或AZ组中的每一者控制光点大小。在另一方面中,在共轭于照明光瞳平面的平面处或附近存在至少一个切趾器以用于控制针对全部可选择AOI或AZ组的光点大小。在另一方面中,至少一个切趾器为可动态调整切趾器,其可配置以通过将在距照明光点的中心的预定义距离处的辐照度减小到小于在照明光点的中心处的峰值辐照度的预定义值而控制光点大小。在另一实施例中,至少一个切趾器可配置以通过抑制照明光束中的旁瓣而控制光点大小。在又一实例中,所述至少一个切趾器还可配置用于样品上的多个不同类型的目标。
在另一方面中,照明光学器件包括用于以可选择AOI或AZ组中的每一者在样品上扫描照明光束的扫描镜,且收集光学器件包括用于一次一个地解析经选择AOI或AZ组的AOI/AZ选择器。在进一步方面中,AOI/AZ选择器包含多个固定光圈及用于每一固定光圈的快门或至少一个可移动光圈。在另一方面中,至少一个切趾器为可动态调整切趾器。在一个实例中,可动态调整切趾器为空间光调制器(SLM)。在另一实施例中,至少一个切趾器可配置以形成针对可选择AOI或AZ组的多个二元振幅模式。在另一实例中,至少一个切趾器经配置以针对可选择AOI或AZ组形成多个振幅模式,其中至少一个振幅模式连续可变。
在替代实施例中,本发明涉及在计量系统上执行光谱椭圆偏光测量的方法。所述方法包含(i)以多个波长产生照明光;(ii)针对所述照明光选择多个不同偏光状态;(iii)针对所述照明光选择多个入射角(AOI)或方位角(AZ);(iv)整形且引导所述照明光来以所述多个波长、不同偏光状态及AOI或AZ在目标上形成光点;(v)收集且检测响应于所述照明光从所述样品发出的输出光且基于随每一波长、不同偏光状态及AOI或AZ而变的所述经检测输出光产生信号或图像;及(vi)分析所述信号或图像以确定所述样品的特性。
参考图式在下文进一步描述本发明的这些及其它方面。
附图说明
图1为根据本发明的一个实施例的用于收集处于特定入射角(AOI)的光的光谱椭圆偏光测量(SE)工具的图解表示。
图2为根据本发明的第二实施例的用于同时检测多重AOI的SE工具的图解表示。
图3A为根据本发明的特定实施方案的用于在多个检测器处同时收集多重AOI区域的SE工具的简化图解实例。
图3B为根据本发明的另一特定实施方案的具有用于从多重AOI之间选择性检测AOI范围的可配置AOI掩模的SE工具的简化图解第二实例。
图3C说明根据替代实施例的单个检测器上的多重AOI/AZ区域的同时收集。
图3D为根据一个实施例的用于同时收集分散的AOI及AZ的收集侧光学器件的图解表示。
图4A为根据本发明的另一实施例的具有可配置的切趾器组的可配置SE工具的图解表示。
图4B为根据本发明的替代实施例的具有可动态调整切趾器的可配置SE工具的图解表示。
图5A为根据一个实施方案的实例光圈系统的图解侧视图。
图5B为根据第二实施方案的实例光圈系统的图解侧视图。
图6A为根据本发明的一个实施例的照明选择器的图解透视图。
图6B说明组合三个光圈配置以实现光圈配置的第二实例。
图7A为根据本发明的另一实施例的具有离轴抛物面(OAP)镜的可配置计量工具的图解表示。
图7B为根据本发明的替代实施方案的具有离轴抛物面(OAP)镜的可配置双通计量工具的图解表示。
图8为说明根据本发明的一个实施例的SE计量过程的流程图。
具体实施方式
在以下描述中,陈述众多特定细节以提供对本发明的透彻理解。可在无某些或全部这些特定细节的情况下实施本发明。在其它情况中,未详细描述众所周知组件或工艺操作以不会不必要地使本发明模糊。虽然将结合特定实施例描述本发明,但将理解,不希望将本发明限于所述实施例。
使用光谱椭圆偏光计(SE)系统的一个问题涉及经检测数据的耦合。来自特定样品(例如半导体晶片或倍缩掩模(reticle))的经测量椭圆偏光测量参数取决于若干计量参数,例如波长(λ)及x及y目标位置。一般来说,不同工具设置下的椭圆偏光测量数据(例如,λ、目标位置等)被独立收集且输入到模型以推断目标特性,例如CD或重叠。随着目标变得更复杂,针对目标特性的改变的经收集数据变得高度相关联或不足,使得模型无法精确确定目标特性。
虽然可经配置以产生不同特定窄AOI范围的SE系统可打破目标参数之间的相关性,但此系统可能不能够提供针对每一窄范围的AOI的小光点大小及所得NA。举例来说,具有AOI选择的SE系统可不包含用于实现足够小的光点大小的机构,所述足够小的光点大小可用于测量某些小尺寸特征(例如,等于或小于40微米×40微米)。另外,此类SE系统可具有有限的AOI范围且不可实现近布鲁斯特角以对于某些计量应用提供高灵敏度。
为简洁起见,术语“AOI”在本文中用作为短语“离散、窄AOI范围”的简写。类似地,术语“离散AOI”可与术语“离散AOI范围”互换使用。某些SE系统可经配置且设置有单个或离散AOI。然而,SE系统一般可不实际仅产生单个或离散AOI,而是改为产生围绕“经选择”单个或离散AOI定中心的小AOI范围或包含“经选择”单个AOI。在其它SE系统中,可将小窄范围的AOI作为设置或方案参数输入,而不是输入单个或离散AOI。
本发明的某些椭圆偏光测量实施例提供用于以同时或循序多重入射角(AOI)及方位角(AZ)获得测量的椭圆偏光计,其往往打破目标特性之间的这些相关性。椭圆偏光计工具还可包含可配置以确定目标的穆勒矩阵(Mueller matrix)元素的全部或子组的偏光状态产生及偏光状态分析光学组件。工具还可包含可配置到广范围的波长范围(包含VUV(真空紫外线)、可见光、近红外线(NIR)及红外线(IR))的亮光源。在某些实施例中,SE系统提供不同可选择AOI配置,包含AOI及多重AOI、同时及循序AOI、针对穆勒矩阵椭圆偏光测量的可配置偏光状态以及可选择的VUV到NIR波长范围。此系统实施例的照明侧(以及本文中描述的其它实施例)可包含反射光学器件以对VUV到UV起作用且广泛地在整个VUV到IR范围内受到颜色校正。
在某些实施例中,可提供光谱椭圆偏光测量(SE)中的多重AOI及AZ以通过使用固定照明与收集光瞳及固定照明与收集视场光阑而允许经改善的测量可重复性及稳定性。图1为根据本发明的一个实施例的用于收集特定入射角(AOI)的光的光谱椭圆偏光测量(SE)工具100的图解表示。一般来说,计量工具可包含用于提供处于可配置波长的亮照明光的照明光学器件102及用于一次一个地或同时检测处于离散、空间分离的AOI范围(或离散的AZ范围)的来自样品(样品)132上的目标的光的收集光学器件104。照明光学器件102及收集光学器件104还可经配置以产生且收集具有多种偏光状态(包含用于确定目标的完整或部分穆勒矩阵的偏光状态)的光。
如所示,照明光学器件102包含用于产生照明光束的一或多个光源,例如光源106。在所说明的实施方案中,一或多个照明源102可包含涵盖真空UV到近红外线的波长范围(例如,约150nm到约2000nm)的一或多个宽带源。在一个实例中,照明源为用于产生高亮度光的激光维持(LSP)源。一个实例LSP为购自马萨诸塞州沃本(Woburn,Mass)的Energetiq技术公司(Energetiq Technology,Inc.)的EQ-1000。若干LSP实施例描述于以下美国专利申请案中:唐纳德·K·史密斯(Donald K.Smith)等人于2011年5月3日申请的标题为“激光驱动光源(Laser-Driven Light Source)”的第US 2011/0204265号公开案及唐纳德·K·史密斯(Donald K.Smith)等人于2008年7月2日申请的标题为“激光驱动光源(Laser-DrivenLight Source)”的第2009/0032740号公开案,所述申请案以引用的方式并入本文中。其它光源可包含固态激光或其它类型的激光。
在一个实例中,一或多个光源还针对产生57到73度的范围中的同时AOI提供至少0.1W/(nm cm2Sr)的峰值亮度。在另一方面中,一或多个光源可针对约190nm的波长提供至少0.1W/(nm cm2Sr)的峰值亮度。
计量系统还可包含对光源的快速反馈以稳定其功率及波长。用于控制此类LSP及其它宽带光源的若干机构进一步描述于丹尼尔·坎德尔(Daniel Kandel)等人于2010年8月31日申请的标题为“计量系统及方法(Metrology Systems and Methods)”的第2011/0069312号美国专利申请公开案中,所述申请案以引用的方式并入本文中。光源还可包括氙灯及/或氘灯。
在另一实施方案中,光源由形成为可被选择以按特定计量应用的需要涵盖不同波长范围的不同组激光二极管的多个激光二极管组成。举例来说,激光二极管阵列提供从深UV(紫外线)、UV、VIS(可见光)及NIR(近红外线)范围选择性获得的波长宽度。激光二极管阵列的若干实施例进一步描述于阿南特·齐姆马拉格里(Anant Chimmalgi)等人于2013年6月21日申请的标题为“用于晶片检验工具的基于二级管激光的宽带光源(Diode LaserBased Broad Band Light Sources for Wafer Inspection Tools)”的第13/924,216美国申请案中,所述申请案以全文引用的方式并入本文中。
照明光学器件102还可包含用于调节照明光束(包含设置光圈及视场光阑位置及大小)且针对偏光器110调节照明光束的椭圆偏光计照明光学器件108。椭圆偏光计照明光学器件108通常可经配置以执行任何适合光束整形功能,例如操纵光束轮廓、准直、汇聚、扩展、减小等。
偏光器110可配置以针对旋转偏光器椭圆偏光测量(RPE)旋转或针对其它类型的椭圆偏光测量经固定。所述照明光学器件还可在照明路径中包含呈波片(或替代地光弹性调制器、声光调制器、液晶调制器或其它偏光灵敏相位调制装置)形式的照明补偿器112。照明补偿器112可配置为固定的或(例如)针对旋转补偿器椭圆偏光测量(RCE)模式配置为旋转的。旋转此照明路径的补偿器112及/或旋转偏光器110允许变动照明光束的偏光状态。这些偏光状态可包含S及P偏光状态以及更多一般偏光状态。可选择偏光状态以执行基于穆勒矩阵的椭圆偏光测量,如本文中进一步描述。
偏光器110及补偿器112可经设计以对在从VUV到NIR的范围中的宽波段光起作用。举例来说,适合偏光器包含MgF2若雄棱镜(Rochon prism),且适合波片包含MgF2及石英波片以及取决于波长范围的其它材料。
照明光学器件还可包含用于离散AOI或AZ选择的固定或可移动光圈及/或快门。在所说明的实例中,镜116及115经配置以将照明光束聚焦于样品132上,且光圈114界定聚焦于样品132上的一或多个AOI及/或AZ范围。举例来说,非反射材料可经图案化于镜上以提供其中不存在非反射材料的特定反射类型的光圈。
在此经说明的实施例中,光圈元件114含有用于将空间离散的AOI或AZ组提供到样品上的一组固定光圈。替代地,光圈元件114还可包含用于每一光圈的快门,使得每一组离散AOI或AZ可经独立选择以照明样品。在另一实施例中,光圈元件114可包含用于选择不同空间离散AOI或AZ范围的一或多个可移动光圈。若干可配置光圈进一步描述于科磊公司(KLA-Tencor Corp.)于2013年3月1日申请的第PCT/US2013/028650号PCT国际申请案中,所述申请案以全文引用的方式并入本文中。
在另一实施方案中,光圈装置还可呈经布置使得照明光穿过不透明材料的孔洞或使用不透明材料图案化的透射类型材料的透射式光圈元件的形式。可接着(例如)通过透射类型聚焦元件将照明光束的光线以离散AOI及AZ聚焦于晶片上。然而,透射类型光圈元件可不适合于VUV到UV。
在任一光圈实例中,光圈元件经布置于光瞳平面处或附近且经配置以在此光瞳平面的特定空间部分处透射或反射照明光线以导致经选择的离散AOI或AZ范围。换句话说,计量系统可同时(例如,无快门或可移动光圈)或一次一个(例如,经由快门或可移动光圈)地提供对照明光束的AOI及AZ范围的离散选择。举例来说,各自具有约等于或小于8°的AOI范围(在组之间具有至少约0.1°的分离)的离散AOI组,其中全部组一起涵盖约50°与80°之间的范围。在一个实施例中,可选择AOI范围中的至少一者包含大于约60°的AOI。同样,AZ的离散范围可各自具有约等于或小于20°的AZ范围(在组之间具有至少约0.1°的分离),其中所有组涵盖介于0°与360°之间的范围。
收集光学器件104可配置以从样品132收集离散AOI及AZ处的光。即,收集光学器件104经定大小以便从样品132收集具有多个不同AOI及AZ的所检测光。在所说明的实施例中,镜117及118收集从样品132反射的照明光束且将光束引导朝向检测器124。光圈元件126经配置以选择不同AOI及AZ。举例来说,三个不同收集光圈中的一者可用于一次一个地选择以三个不同AOI为中心的三个不同AOI组中的一者。
光学元件可接着经布置以分析由样品132反射的光的偏光状态。举例来说,第二补偿器120及分析器122可经旋转或固定到不同配置以收集不同偏光状态。第二补偿器可呈一波片(或替代地光弹性调制器、声光调制器、液晶调制器或其它偏光灵敏相位调制装置)的形式。
在旋转偏光器椭圆偏光测量(RPE)模式中,仅偏光器旋转,而其它可旋转椭圆偏光测量组件(例如照明路径中的照明补偿器、分析器及收集路径中的收集补偿器)保持固定。其它模式可包含RPRC(旋转偏光器、旋转照明补偿器或旋转收集补偿器及固定分析器)模式及RCRC(固定偏光器、旋转照明补偿器、旋转收集补偿器及固定分析器)模式。其它模式可包含RCE(固定偏光器、旋转照明补偿器及固定分析器)、RCRC(固定偏光器、旋转照明及收集补偿器及固定分析器)或固定偏光器及旋转分析器组合。所述系统可包含照明补偿器或收集补偿器,或所述系统可不包括这两个补偿器。
本文中描述的任何系统实施例可针对穆勒椭圆偏光测量进行配置,其中通过4乘4矩阵描述样品,其中矩阵中的元素中的每一者为一组光谱。偏光器110、分析器122、第一补偿器112、第二补偿器120及样品132的任何组合可在测量期间旋转。每一偏光产生或分析光学元件还可以可选择角频率旋转。不同配置产生不同数目的谐波光谱,其中某些配置产生足够数目的谐波光谱而可完全确定目标的穆勒矩阵。用于执行穆勒矩阵椭圆偏光测量的多种技术进一步描述于尚卡尔·克里希南(Shankar Krishnan)的2013年5月21日发布的标题为“可重新配置的光谱椭圆偏光计(Reconfigurable Spectroscopic Ellipsometer)”的第8,446,584号美国专利中,所述专利以全文引用的方式并入本文中。
定位于偏光器110与分析器122之间的光学元件可为用于将照明光反射朝向样品且从样品收集输出光的反射元件。光谱椭圆偏光测量工具中的反射光学元件的若干不同布置进一步描述于皮望卡-科尔(Piwonka-Corle)等人的于1997年3月4日发布的美国专利5,608,526中,所述专利以引用的方式并入本文中,目的为提供多种光谱椭圆偏光测量特征的进一步实施例,所述特征例如光源、用于将照明光束反射聚焦于样品上的光学组件、自动聚焦组件、偏光器/补偿器/分析器组合物及布置、用于产生且检测参考照明光束的参考信道组件、控制及处理器机构、分光计/检测器布置、分光光度计系统组件等,其可与本文中描述的系统实施例一起利用。
经收集光可接着由检测器124接收。在一个实施例中,所述检测器为针对广波长范围具有足够高量子效率的分光计。检测器模块可包含分光计光隙(slit)、用于反射输出光束穿过棱镜的一或多个反射镜,所述棱镜经配置以在不同方向中折射不同波长以便沿着检测器或传感器的不同线性部分落下。还预期其它检测器模块布置。在特定实施例中,所述检测器可包括以下UV增强组件中的一或多者:在约190nm到约900nm的波长范围内具有足够高量子效率的电荷耦合装置(CCD)检测器、在约700nm到约2000nm的波长范围内具有足够高量子效率的光电二极管阵列、在约150nm到约400nm的波长范围内具有足够高量子效率的光电二极管阵列。适合检测器包含电荷耦合装置(CCD)、CCD阵列、时间延迟积分(TDI)传感器、TDI传感器阵列、光电倍增管(PMT)及其它传感器。
系统100还可包含控制器130,其包括软件及硬件的任何适合组合且通常经配置以控制计量系统100的多种组件。举例来说,控制器可控制光源106的选择性激活、照明偏光器及补偿器设置、检测补偿器及分析器设置、照明光圈/快门设置等。控制器130还可接收由检测器124产生的信号或图像数据且经配置以分析所得信号或图像以通过确定样品参数而特征化目标或样品或确定样品上是否存在缺陷或特征化存在于样品上的缺陷。
系统100还可包含用于将多种可移动组件(例如样品载物台、固定光圈/掩模、快门、偏光器、分析器、补偿器等)旋转、倾斜及/或平移移动到不同位置的定位机构131。通过实例,定位机构131可包含一或多个马达机构,例如螺杆传动及步进马达、具有反馈位置的线性驱动器、带致动器及步进马达等。
系统100以及本文中描述的任何系统还优选包含用于用氮气或适用于真空UV的任何其它气体填充真空室的冲洗系统。对于150nm操作,(例如)整个光学路径被一室封围且此室填充有干燥氮气。实例冲洗系统及技术进一步描述于(i)尼克纳海德(Nikoonahad)等人于2003年11月19日申请的公开案号为2004/0150820的美国专利申请案及(ii)2008年1月24日申请的第7,755,764号美国专利中,为了此类特征将所述申请案及所述专利以引用的方式并入本文中。
本文中描述的每一控制器可经配置(例如,用编程指令)以提供用于显示所得测试图像及其它计量特性的用户接口(例如,在计算机屏幕上)。控制器还可包含用于提供用户方案输入(例如选择入射光或经收集光的波长范围、AOI/AZ及偏光状态以及检测参数)的一或多个输入装置(例如,键盘、鼠标、操纵杆)。控制器通常具有经由适合总线或其它通信机构耦合到输入/输出端口及一或多个存储器的一或多个处理器。
由于此信息及程序指令可实施于经特别配置的计算机系统上,因此此系统包含用于执行本文中描述的多种操作的可存储于计算机可读媒体中的程序指令/计算机代码。计算机可读媒体的实例包含(但不限于):磁性媒体,例如硬盘、软盘及磁带;光学媒体,例如CD-ROM光盘;磁光媒体,例如光学磁盘;及经特别配置以存储且执行程序指令的硬件装置,例如只读存储器装置(ROM)及随机存取存储器(RAM)。程序指令的实例包含机器码(例如通过编译器产生的机器码)及含有可由计算机使用解释器执行的较高级代码的文件。
应注意,不应将上文的图式及描述理解为对系统的特定组件的限制且系统可以许多其它形式体现。举例来说,预期计量工具可包含经布置以确定样品上的目标特征及性质的任何数目及类型的适合组件。通过实例,计量工具可包含用于VUV到NIR、光谱、椭圆偏光测量、反射测量或散射测量的一或多个组件。
在另一实例SE工具实施例中,将同时波长及AOI或AZ解析能力提供到工具的检测器系统。图2为根据本发明的第二实施例的用于对多重AOI的同时检测的可配置SE工具200的图解表示。在此实例中,离开样品132的处于不同AOI或AZ的光由收集光学器件204收集且发送到检测器模块224。在所说明的实施例中,收集光学器件204含有用于将经收集光聚焦于分散光学器件及检测器模块224上的收集镜226及118。在替代实施例中,经检测光不被空间解析成离散AOI或AZ。
用于将波长及AOI/AZ映射到平面中的两个不同方向(例如正交的x及y方向)上的任何适合收集侧机构可被并入到SE工具中。一般来说,系统200可包含用于在2D检测器上跨两个不同方向映射波长及AOI(或AZ)的在两个不同方向(例如x及y方向)中具有不同光功率(例如,圆柱形功率、环形功率等)的收集光学器件。如所示,分散光学器件及检测器模块224提供具有对于AOI/AZ的一个轴及对于波长的另一轴的2D分光计功能(如227扩展及展示)。分散光学器件可经配置以在波长方向中具有导致检测器处于共轭于视场光阑的平面处或附近的功率且在AOI方向中具有功率以使检测器平面处于共轭于光瞳平面处或附近。替代地,在此实例中AZ可取代AOI。
在所说明的实例中,分散光学器件及检测器模块224包含用于在分光计入口处接收经检测光束且调节待由检测器接收的光束的分散元件,所述检测器将依据波长将光映射到第一轴(例如,在X方向中)且将依据AOI/AZ将经检测的光映射到第二轴(例如,在Y方向中)。即,分散元件分散经检测光的波长分量到第一检测器轴上且分散经检测光的AOI/AZ分量到(例如)正交于第一轴的第二检测器轴上。在特定实施方案中,分散元件包含经配置以将点焦点转变成长度与数值孔径(NA)成比例的线的圆柱及将光分散成光谱的分散元件。NA与经收集AOI/AZ相关。
检测器可包含用于检测分散于两个方向中的光的任何适合检测机构,例如用于感测处于不同波长、AOI及AZ范围的光的CCD,如本文中所描述。检测器可包含用于来自经选择像素的数据的任何适合数目的移位寄存器。举例来说,检测器可包含用于并行处理按照两个不同AOI从样品发射的光的两个移位寄存器。在另一实例中,检测器具有用于并行处理按照两个以上不同AOI从样品发射的光的两个以上移位寄存器。在又一实例中,为了较快的读出,针对AOI方向,检测器对每个移位寄存器具有少至(as few as)一行的像素。
系统200还可包含经配置以控制系统200的组件中的任何者的控制器230。举例来说,控制器230经配置以选择一或多个光源106的波长,偏光器110、照明补偿器112、分析器122和收集补偿器120的角频率及/或方位角及时序等。控制器230还可接收由检测器产生的信号或图像且经配置以分析所得信号或图像以通过确定样品参数而特征化样品或确定样品上是否存在缺陷或特征化存在于样品上的缺陷。系统200还可包含用于使多种可移动组件(例如样品载物台、固定光圈/掩模、快门、偏光器、分析器、补偿器等)旋转、倾斜及/或平移移动到不同位置的定位机构231。
在某些实施例中,经检测光可经转换到对应于不同AOI、AZ及波长的数字数据,且此数据可依据AOI、AZ及波长(以及偏光状态)而独立分析。可划分对应于经检测光的数据以便对应于检测器的与不同AOI/AZ及/或波长对应的单独区域,且此经分离数据可接着依据AOI、AZ及波长(以及偏光状态)而分析。某些实施例通过同时获取且处理来自不同AOI的光信号而允许经改善的测量处理量。增大的照明NA将通过降低样品的目标区域上的衍射限制光点大小而允许目标大小减小。替代地,对于尺寸未经减小的目标,此增大的NA增加来自目标区域内的经检测光对来自周围区域的经检测光的比率,从而减小信号污染。
图3A为根据本发明的特定实施方案的用于在多个检测器处同时收集多重AOI区域的SE工具300的简化图解实例。如所示,工具300包含用于提供处于多个波长的光的光源106及用于对于被导向样品132的照明光束提供不同偏光状态的椭圆偏光计照明光学器件302。椭圆偏光计照明光学器件302还可经配置成以多重AOI引导照明光束且还可经配置成以多重AZ引导光。工具300还可包含用于收集处于多重AOI(及AZ)及多个偏光状态的光的椭圆偏光计收集光学器件304。光源106、椭圆偏光计照明光学器件302及椭圆偏光计收集光学器件304可对应于本文中描述的多种照明及收集组件中的任何者。
工具300的收集侧还可包含用于根据波长及AOI在两个正交方向中分散经收集光的波长及AOI分散光学器件306。如所示,将平面1(308)处的分散结果309说明为在第一垂直轴上包括三个不同AOI区域1、2及3及在第二水平轴上包括跨越所有AOI区域的波长分散。当然,可由分散光学器件306界定任何适合数目个AOI区域。分散光学器件306可经配置以对于两个不同方向中的AOI(及/或AZ)及波长分散具有不同光功率(例如,圆柱形功率、环形功率等),如本文中所描述。
工具300还可包含用于将由分散光学器件306按AOI(或AZ)分散的光束划分成不同AOI区域的AOI再分光学器件310。AOI再分光学器件还可经配置以将每一AOI区域引导到个别检测器(例如,314a、314b、314c)上,所述检测器解析波长,从而在一个AOI(或AZ)范围上积分。如果AOI(或AZ)及波长解析的平面在空间中未足够分离,那么可将重成像光学器件312放置于这些平面之间以将波长被解析的平面重成像于每一检测器上。每一检测器可经配置以在190nm到约900nm的波长范围内进行检测,且全部检测器可经配置以同时被读取。光学器件306、310及312及检测器314还可经配置以收集及/或检测在150nm到2000nm范围中的波长。举例来说,硅基检测器可用于小于约1000nm的波长,而铟镓砷基检测器可用于大于约800nm的波长。此SE实施例允许同时获取及处理来自不同AOI/AZ的光信号。
系统300还可包含经配置以控制多种组件且分析经检测数据的控制器330。控制器330可(例如)类似于图2的控制器230。另外,控制器330可经配置以控制多个检测器且分析由此类检测器获得的图像及信号。系统300还可包含用于使任何可移动组件平移、旋转或倾斜移动的类似于图2的定位机构的具有多个检测器的额外选择性定位的定位机构331。
替代多重AOI系统可包括针对在单个检测器处的经选择AOI的光圈。图3B为根据本发明的另一特定实施方案的具有用于从多重AOI中选择AOI范围的可配置AOI掩模351的SE工具350的简化图解第二实例。如所示,波长及AOI(及/或AZ)分散光学器件348仍经配置以将AOI(或AZ)及波长分散到两个不同方向(例如,正交),但波长被分散于定位于AOI/AZ分散平面之前的平面处。即,图3B的AOI分散光学器件348可类似于关于图3A描述的AOI分散光学器件而操作,但不在与AOI/AZ分散相同的平面中分散波长。
AOI掩模351可定位于平面处,分散光学器件348将AOI分散在所述平面上,且此AOI掩模可配置以选择性透射不同AOI区域到检测器352。举例来说,AOI掩模351提供用于一次从AOI/AZ区域上的样品选择AOI/AZ区域的机构。视图354展示AOI区域选择的实例。在此视图354中,AOI区域2被选择性地穿过AOI掩模351的光圈透射到检测器352,而AOI区域1及3由AOI掩模351的对光透射不透明的掩模部分阻挡。
AOI掩模351可呈用于选择特定AOI区域的任何适合形式。举例来说,AOI掩模351(或光圈)可包含各自包含快门以选择不同AOI区域的多个固定光圈,或可包含单个可移动光圈(如所展示)。在多个固定光圈实例中,每一光圈经空间分离以便解析样品的不同AOI区域,如本文中进一步描述。
检测器352(及314)可呈沿着至少一个方向(针对波长)进行检测的任何适合形式,例如上文中所述的2D检测器,或1D线性光电二极管阵列。检测器352(及314)优选地定位于波长解析平面中。检测器352可包含由光敏区域的宽度或由集成到检测器装置中的掩模界定的掩模351。在此情况中,分散光学器件348在与AOI/AZ分散相同的平面中分散波长。同样在此情况中,检测器352将为了AOI区域选择类似于掩模351地移动。
系统350还可包含控制器360及定位机构361,且经配置具有类似于本文中描述的控制器及/或定位机构中的任何者的功能,其中添加了控制或移动AOI掩模351及/或检测器352。
与光瞳及视场光阑针对每一AOI测量改变的系统相比,将多重AOI照明提供到样品上并一次一个地选择性收集AOI区域或将多重AOI照明提供到单独检测器上而不移动照明及收集光学路径的SE计量工具(例如图1、2及3A的系统)可由于固定的照明与收集光瞳及固定的照明与收集视场光阑而提供更好的测量可重复性及稳定性。具有可移动视场光阑的系统实施例(例如图3B的系统)可提供检测器附近的光圈的较小移动距离以实现与具有收集镜附近的移动光圈的系统相比的较快处理量及与不具有移动光圈的系统相比的相关联的不稳定性成本。具有固定成像路径光学器件的全部实施例都具有优于通过移动成像光学器件实现AOI解析的系统的处理量优势。
在另一实例中,单个2D检测器也可用于同时收集多重AOI区域。图3C说明根据替代实施例的在单个检测器370上对多重AOI/AZ区域的同时收集。在此实例中,检测器被划分成对应于可同时经检测且接着被分别分析的空间分离AOI区域的多个AOI区域,例如372a、372b及372c。可通过对应于光学非作用像素或未经分析的信号部分的光学非作用区域(例如,374a及374b)在空间上分离检测器AOI区域。
在分散实施例中的任何者中,AOI及AZ分散两者可通过使用光束分裂器发送光束到两个2D检测器而同时发生,一个2D检测器经配置用于波长及AOI分散且另一个2D检测器经配置用于波长及AZ分散。图3D为根据一个实施例的用于同时收集经分散AOI及AZ的收集侧光学器件380的图解表示。如所示,椭圆偏光计收集光学器件382可从样品收集处于多重AOI及AZ的光且将此输出引导到分裂器384。所述分裂器将输出光引导到波长及AOI分散光学器件386和波长及AZ光学器件380。
AOI分散光学器件386经配置以沿在相同或不同平面中的两个方向分散波长及AOI。经分散的波长及AOI由可经配置以同时或相继检测各自具有如上文所述的经分散波长的空间分离的AOI区域的波长及AOI检测器模块388接收。类似地,经分散波长及AZ可由经配置以同时或相继检测各自具有经分散波长的空间分离的AZ区域的波长及AZ检测器模块390接收。
图4A为根据本发明的另一实施例的具有单个或多个切趾器409及/或405的可配置SE工具400的图解表示。在一个布置中,单个非移动切趾器409放置于照明光瞳处或附近,所述照明光瞳经配置以针对全部经选择AOI(或AZ)而整形照明光束且控制样品132处的焦点的点扩散函数。在另一布置中,一或多个可移动切趾器409可例如在方向410中移动到照明光瞳中及照明光瞳外,且通常经配置以针对不同经选择AOI(或AZ)整形照明光束且控制样品132处的焦点的点扩散函数。举例来说,每一经选择切趾器可经配置以针对特定AOI(或AZ)组而控制光点大小。在特定实例中,每一切趾器经配置以针对特定AOI整形照明光使得距光点的中心超过25微米的光点位置的辐照度级别小于在光点的中心处的峰值辐照度的10-3。举例来说,每一经选择的切趾器可经配置以抑制旁瓣,且改善样品晶片平面中的测量框大小以减小处于特定经选择AOI(或AZ)的经测量信号中的污染。
在另一实施例中,一或多个收集切趾器405可定位于或可移动到在共轭于收集光瞳的平面处或附近的位置。此类收集侧切趾器可提供对应于全部离散AOI及/或AZ范围的预定义收集轮廓。收集侧切趾器可控制来自硬边(hard-edged)收集光圈的衍射旁瓣。举例来说,此收集切趾器可经配置而以与照明切趾器整形晶片样品处的强度分布类似的方式整形入射于分光计光隙上的强度分布。此收集切趾器还可经配置以减小来自测量框外的污染,且提供对分光计处的光点的精细控制。
在所说明的实例中,不同收集光圈用于不同AOI收集,使得光隙处的光点将针对不同AOI而不同,而光隙针对每一AOI范围相同。在此布置中,经配置用于所使用的特定AOI的收集切趾器可有助于针对特定AOI调整光点。此收集切趾器优选地放置于收集光圈的平面处或附近,但可想到切趾器也可放置于下游。在特定实施方案中,切趾器的数目对应于收集光圈的数目,且经选择切趾器可移动到(例如)方向411中的收集路径中。替代地,可使用可动态配置的单个切趾器且将其定位于收集路径中。
通常可将切趾定义为更改光学系统的入口光瞳中的光分布(例如,使用掩模来更改照明光束的振幅及/或相位),借此改变照明光束的强度轮廓。在当前情况中,每一切趾器可经配置以将照明光点的“尾部”(例如,距照明光点的中心大于25微米的照明光点部分)中的辐照度减小到小于峰值辐照度的10-3,借此减小信号污染。在本文中描述的计量系统中的任何者中包含此切趾器为特征中的一者,从而可允许实现使用相对小目标上的相对小光点大小的计量。
透射类型切趾器(例如熔融二氧化硅)可对于低至约170nm的波长起作用。一般来说,可使用针对193nm优化的标准光刻倍缩掩模/掩模坯件制造切趾器。还预期反射式切趾器。
一般来说,每一切趾器设计可针对每一特定组的可选择照明侧AOI(或AZ)特别定制且可移动到照明光束路径中以便控制每一特定AOI(或AZ)组的光点大小。即,这些切趾器实施例可各自拥有不可重新配置的光学功能。另外,所述组切趾器409可包含还经配置用于特定受测目标的切趾器。举例来说,甚至可使用相同AOI实现不同照明振幅轮廓。除图4A的系统之外,本文中描述的系统实施例中的任何者还可包含可配置切趾器。
图4A的系统400还可包含用于通过不同AOI将照明光束扫描到样品132上的扫描镜布置,例如扫描镜407。所述扫描镜优选在共轭于样品132的平面内或附近。扫描镜407可取代偏光器光隙或可共轭于偏光器光隙。如果扫描镜取代偏光器光隙,那么其可含有掩模以界定照明视场光阑。如果扫描镜共轭于偏光器光隙,那么额外成像光学器件可存在于偏光器光隙与扫描镜之间。扫描镜407可取代可移动、固定光圈(例如,如上文所述)以选择性地按不同AOI(或AZ)扫描照明光束。可通过任何适合定位机构可配置地移动(例如,平移、倾斜或旋转)扫描镜407以便一次一个地选择特定AOI(或AZ)。在经说明的实例中,扫描镜407在方向408中倾斜使得通过特定AOI(或AZ)范围扫描照明光束。即,扫描镜407导致照明在光瞳平面中沿着不同AOI位置移动而不移动样品132处的照明光点。
扫描镜407为反射性的以便对于广范围的波长起作用。反射扫描镜407允许照明光束具有广范围的波长,包含需要反射光学元件的VUV光。
固定镜406可用于引导从扫描镜407反射的经扫描照明光束。替代地,多个镜可用于将不同AOI引导朝向样品132。
照明光学器件402可经配置以将照明光以不同AOI(或AZ)最佳地引导于样品132上。举例来说,镜414及416将来自特定的AOI(或AZ)组的照明光束引导且聚焦到样品132上。在一个实例中,镜414及416经定大小以将从50°到80°的全部光引导到样品132上。
类似于其它实施例,固定或可移动光圈126及/或快门的布置可用于选择性地收集处于不同AOI(或AZ)的光。光圈/快门126可配置以视需要一次一个地选择AOI。
照明光学器件402及收集光学器件404可包含用于产生及/或收集不同偏光状态的组件(例如,偏光器110、补偿器112及120及分析器122)。
控制器430及/或定位机构461可经配置以控制系统400的任何组件。举例来说,控制器430及/或定位机构461经配置以选择一或多个光源106的波长,在方向408中的扫描镜407的倾斜位置,偏光器110、照明补偿器112、收集补偿器120及分析器122的角频率及/或方位角及时序,每一切趾器409的位置,对于照明及/或收集快门的设置,可移动光圈的位置等。
在本文中描述的多数实施例中,振幅切趾器可用于照明路径中以抑制旁瓣且改善晶片平面中的测量框大小且减小经测量信号中的污染。虽然单个可配置切趾器或一组可移动切趾器可提供对于特定AOI(或AZ)组的适合振幅切趾,但此切趾器系统不可被容易地更改以改变切趾器模式。另外,此布置可与缓慢切换及硬件可重复性问题相关联。在替代切趾实施例中,可动态配置空间光调制器(SLM)可用于按需要动态形成切趾器模式。可非常快速地切换(例如)基于MEMS SLM技术的可变切趾器而不影响系统的对准。
图4B为根据本发明的替代实施例的具有可动态调整切趾器的可配置SE工具450的图解表示。此系统包含在照明路径中的反射式可动态配置切趾器456,在所述照明路径上针对照明及收集光学器件的每一特定经选择AOI、AZ及NA动态形成切趾模式。此切趾器456优选地为反射性的以便对于包含VUV到UV的宽波段范围起作用。如所示,切趾器456可经布置以经由照明光隙458从旋转补偿器454接收照明光452。
如上文所述,多种照明机构可用于选择特定AOI(及AZ)且多种收集机构可用于收集特定AOI(及AZ)。切趾器456经配置以基于照明及收集光学器件的经选择AOI(及AZ)动态地调整照明光的振幅及/或相位。在某些实施例中,照明光束可穿过扫描镜及/或一或多个固定或可移动光圈及/或快门,扫描镜及/或一或多个固定或可移动光圈及/或快门经配置以在照明光束到达切趾器456之前选择一或多个空间分离AOI(AZ)。替代地,切趾器456经定位于此类AOI(或AZ)选择机构之前。切趾器456优选地放置于光瞳平面处或附近。替代地,切趾器456可位于收集光瞳处或附近。替代地,切趾器456可位于照明及收集光瞳两者处或附近。收集侧切趾器配置可控制(例如)检测器光隙处的光点形状。此类型的切趾可减小由检测器接收的框外污染,且还可具有检测器的经改善的解析度(或PSF)。另外或替代地,不同AOI(或AZ)可由固定或可移动光圈及/或快门及类似者收集。
在特定实施方案中,由可配置以控制跨切趾器456区域的振幅反射率分布的空间光调制器(SLM)形成切趾器456。一个适合SLM为微机电系统(MEMS)SLM。实例SLM类型的装置包含购自德克萨斯州达拉斯(Dallas,TX)的德州仪器(Texas Instruments)的DLP(数字光处理)装置、及来自德国慕尼黑的弗劳恩霍夫研究所(Fraunhofer Institute)的SLM装置。
如在DLP装置的情况中,切趾模式可为二元振幅模式,其中通过在若干像素上积分而获得有效(持续)反射率模式。局部区域中将光反射到照明光学器件中的像素的比例给出了所要局部切趾水平。可在DLP SLM下游使用空间滤波光圈以阻挡从照明光学器件反射的光且滤除来自DLP SLM的周期性结构的衍射。此光圈可并入到聚焦光学器件自身的光圈中。
在另一SLM实施方案中,切趾模式可连续变动。然而,可通过将模式编码于由SLM产生的相位分布中而实现连续可变振幅分布。为了获得所得的所要振幅模式,必须使用可并入到系统的聚焦光学器件中的光圈来对光进行傅立叶滤波。
系统450还可包含类似于上文所述的控制器及/或定位机构的任何者的其中添加对切趾器456的控制的控制器及/或定位机构(未展示)。
在本文所述的某些实施例中,固定或可移动光圈可用于选择在经引导朝向样品的照明光束或从样品收集的经收集光束中的特定AOI(或AZ)。图5A为根据一个实施方案的实例光圈系统500的图解侧视图。如所示,光圈系统500可包含在其上形成掩模的反射衬底502。由吸收或非反射区域(例如,504a、504b及504c)形成掩模,其中形成有孔洞/通孔(例如,506a、506b及506c)。实例吸收或非反射材料可包含金属片或箔材料(例如不锈钢或铝)及黑色阳极化材料,这些孔洞可填充有透明材料或保持未填充。快门(例如,508a、508b及508c)可放置或附接于每一掩模光圈(例如,506a、506b及506c)上方。整个光圈系统500还可(例如)在方向514中移动以便在照明或收集路径中定位光圈,如本文中进一步描述。
快门可开启或关闭以便允许以特定AOI(或AZ)反射入射光。如所示,快门508b关闭以阻挡光线510b,而快门508a及508c开启以便允许分别从经反射衬底502将光线510a反射为处于第一经选择AOI(或AZ)的光线512a且将光线510c反射为处于第二经选择AOI(或AZ)的光线512c。
图5B为根据第二实施方案的实例光圈系统550的图解侧视图。如图所示,光圈系统550可包含反射衬底552。此光圈系统550不包含快门且可沿着方向564移动以定位形成于吸收或非反射掩模材料(例如,556a、556b及556c)中的固定光圈(例如,554a、554b及554c)。光圈可定位于照明或收集路径中特定AOI(或AZ)位置处。如所示,光线560a经反射为处于第一经选择AOI的光线562a;光线560b经反射为处于第二经选择AOI的光线562b;且光线560c经反射为处于第三经选择AOI的光线562c。
系统实施例中的任何者可包含用于选择性将光圈应用到多个光瞳位置中的每一者以便选择特定的AOI(或AZ)组的透射照明选择器,如本文中所述。然而,此照明选择器可仅适用于可透射的波长而不可适用于可反射的波长。一般来说,照明选择器经配置以允许光线束个别穿过光瞳的每一位置且导致个别的AOI/AZ范围。图6A为根据本发明的一个实施例的照明选择器的图解透视图。在此实例中,照明选择器包括三个光圈盘602、604及606。每一光圈盘包含多个不同光圈配置(例如,对于盘602的光圈配置608a及608b、对于盘604的光圈配置610a及对于盘606的光圈配置612a、612b及612c)。可针对每一盘选择用于接收入射光束(或光线束)614的特定光圈配置,且接着可叠加来自三个盘的三个经选择光圈配置而导致多种光圈设置及所得照明光瞳轮廓。
一般来说,每一盘的每一光圈配置包含至少一个透明部分且还可包含一或多个不透明区域。举例来说,透明部分可由任何适合透明材料(例如玻璃、石英、熔融二氧化硅等)形成,或每一透明区域可仅为无材料的使得光穿过光圈配置的每一透明部分。相对照地,每一不透明部分阻挡光瞳平面处入射光束的对应空间部分,且每一不透明部分通常由不透明材料形成,例如铬、硅化钼(MoSi)、硅化钽、硅化钨、不透明玻璃上MoSi(OMOG)等。还可在不透明层与透明衬底之间添加多晶硅膜以改善粘着性。可在不透明材料上方形成低反射膜,例如氧化钼(MoO2)、氧化钨(WO2)、氧化钛(TiO2)或氧化铬(CrO2)。每一光圈的透明部分的形状可为任何适合形状,例如矩形、圆形、椭圆形、lhcscreen(圆形及矩形的叠置)、雏菊形(两个lhcscreen,一者旋转90°),矩椭圆形(rectellipse)(椭圆形及矩形的叠置)、环形轨道形等。
一般来说,光圈配置产生特定入射光束轮廓或AOI及AZ组。在特定实例中,可实施源掩模优化(SMO)或任何像素化照明技术。在所说明的实施例中,每一光圈配置涵盖整个照明光瞳区域且以光学轴为中心。然而,光圈配置可替代地放置于光瞳区域的一部分中或沿着入射光束的光学路径的某个其它点(非光瞳平面)处。
图6B说明组合三个光圈配置以实现光圈配置的第二实例。为了简化夸大了透明部分的大小。在此实例中,第一光圈配置610a在整个光瞳区域上完全透明。第二光圈配置608b具有由不透明部分654及656围绕的透明垂直透明带652。第三光圈配置612b具有由不透明部分624及620围绕的水平透明带622。所得光圈配置630具有由不透明部分632围绕的正方形透明部分634,其可经配置以选择特定AOI组。
图7A为根据本发明的另一实施例的具有离轴抛物面(OAP)镜的可配置计量工具700的图解表示。此系统700包含照明侧702中的离轴抛物面镜(OAP)710a,OAP 710a与可移动平移镜712结合使用以选择多重AOI(例如,来自从反射镜722a接收的照明光束)以(例如)从位置712a与712b移动。一般来说,可移动照明镜712可(例如)沿着方向(例如714a)位移以导致照明光束从照明OAP 710a反射,以便基于从其反射照明光束的OAP的曲线上的位置实现特定AOI组。在所说明的实施例中,展示两个不同照明平移镜位置712a及712b以在样品132上一次一个地相继实现两个不同空间分离AOI,但更多镜位置(经配置以导致照明光束从OAP曲线的不同区域反射)可用于实现更多AOI。
系统700的收集光学器件704可包含经布置以从样品132收集处于经选择AOI的输出光束的对应收集OAP镜710b,及可配置以移动到方向714b中的多个位置(例如,713a及713b)(例如)以从收集OAP 710b一次一个地接收处于经选择AOI的这些输出光束的对应收集平移镜713。收集光学器件704还可包含用于将输出光束(例如,准直光束716)引导到检测器124的任何适合光学元件(例如,凸面镜720及反射镜722b)。
在另一方面中,平移镜(712及713)及OAP镜(710a及710b)可经配置以支持大范围的AOI(直至接近掠入射)。即,平移及OAP镜可经配置以在连续范围中选择AOI。
控制器730及/或定位机构761可经配置以控制系统700的组件中的任何者。举例来说,控制器730及/或定位机构761可经配置以选择一或多个光源106的波长,偏光器110、照明补偿器112、分析器122及收集补偿器120的角频率及/或方位角及时序,每一平移镜712及713的平移移动,OAP镜的旋转等。
在另一实施例中,图7B说明根据本发明的替代实施方案的具有离轴抛物面(OAP)镜的双通计量工具750。此系统750包含离轴抛物面镜(OAP)760,OAP 760与可移动平移镜762结合使用以选择多重AOI(例如,来自从光束分裂器752接收的照明光束)以(例如)从位置762a及762b移动。一般来说,可移动照明镜762可(例如)沿着方向(例如764)位移以导致照明光束从照明OAP 760反射,以便基于从其反射照明光束的OAP的曲线上的位置实现特定AOI组。此移动将导致从样品132发出的输出光束改变其在球面镜754上的位置,但在所述输出光束在此球形表面754上反射之后返回到取样点。响应于从球面镜754返回的此光束及样品的经扫描目标特性,从样品132发出第二输出光束。第二输出光束可接着由OAP镜760、位置762a或位置762b处的平移镜及光束分裂器752收集且引导到检测器(未展示)。
在图7B展示的另一实施例中,与控制器780结合的定位机构791可经配置以在测量点756周围提供样品132或需要测试的表面的翻倒/倾斜。举例来说,可通过经由定位机构791翻倒/倾斜样品而实现对AOI及AZ的连续或相继扫描。此移动将导致从样品132发出的输出光束改变其在球面镜754上的位置,但在所述输出光束在此球形表面754上反射之后返回到取样点。响应于从球面镜754返回的此光束及样品的经扫描目标特性,从样品132发出第二输出光束。第二输出光束可接着通过OAP镜760、镜762(其在此实施例中为固定的)及光束分裂器752收集且引导到检测器(未展示)。在此实施例中,平移镜762保持在固定位置中而晶片经倾斜。
本文中描述的多重AOI及AZ系统实施例还可经配置用于其中收集侧对离开样品的零阶光进行取样的明视场操作及其中收集端对离开样品的非零阶光进行取样的暗视场操作。在一个布置中,一组空间分离照明光圈及另一组空间分离收集光圈经布置以在明视场与暗视场操作之间选择。在明视场操作中,收集侧对离开样品的照明光的相同AOI(AZ)进行取样。在暗视场操作中,收集侧对不同于离开样品的照明光的AOI(AZ)进行取样。
在这些多重AOI(或AZ)系统的任何者中,照明光学器件可经配置以在晶片上产生在方位方向中分离的多个照明光束,例如同时涵盖0到90度。此系统可具有能够接收单独光学光束的一组空间分离检测器,及支持每一光束的多重AOI及多重AZ的照明及收集光学器件。
可用本文中描述的系统实施任何适合计量过程。图8为说明根据本发明的一个实施例的SE计量过程800的流程图。最初,在操作802中可产生处于多个波长(例如,VUV到IR)的照明光。在操作804中可选择此照明光的一或多个偏光状态。在操作806中还可选择所述照明光的一或多个AOI及/或AZ范围。在操作808中(例如)还可整形且引导所述照明光以在晶片的目标上形成小光点。
在操作810中可接着收集响应于所述照明光束从所述晶片发出的输出光束。在操作812中还可选择用于收集所述输出光束的一或多个AOI或AZ。在操作814中还可选择一或多个偏光状态。在操作816中接着可检测输出光束且使用其产生信号或图像。在操作818中接着可分析经产生的信号或图像以确定晶片上的目标的特性。举例来说,可比较针对不同目标特性及不同照明特性(例如,偏光状态、波长、AOI及AZ)的来自模型的模拟输出信号/图像与经产生的信号/图像以确定对应目标特性。
可基于一或多个经检测信号或图像确定的实例样品参数包含临界尺寸(CD)、膜厚度、金属栅极凹陷、高k凹陷、侧壁角、阶梯高度、节距移动、沟槽及接触件轮廓、叠加物、材料性质(例如,材料组成、折射率、临界膜上的应力(包含超薄扩散层、超薄栅极氧化物、高级光致抗蚀剂、193nm ARC层、超薄多层堆叠、CVD层及高级高k金属栅极(HKMG)、超薄解耦合等离子氮化(DPN)工艺层)、非临界膜上的应力(包含层间电介质、光致抗蚀剂、底部抗反射涂层、厚氧化物及氮化物以及后段制程(back end of line)层)、半导体制造工艺参数(例如,扫描仪的焦点及剂量、蚀刻工具的蚀刻速率)等。
虽然为了理解的清晰的目的,已较为详细地描述前述发明,但将了解可在所附权利要求书的范围内实施某些改变及修改。应注意,存在实施本发明的过程、系统及设备的许多替代方式。举例来说,虽然在本文中将系统实施例描述为可应用到半导体装置的计量,但预期此系统可用于其它类型的应用,例如其它类型的样品的计量或缺陷检验。因此,应将当前实施例考虑为说明性而非限制性,且本发明并不限于本文中给定的细节。

Claims (73)

1.一种用于执行半导体样品的计量的椭圆偏光计设备,其包括:
照明光学器件模块,其用于提供处于可在从真空紫外线VUV波长到红外线IR波长的范围内选择的多个波长的照明光束且以多个入射角AOI及/或方位角AZ将所述照明光束引导朝向所述样品;
收集光学器件模块,其用于收集处于多个离散AOI及/或AZ范围的从所述样品发出的输出光束且将此输出光束引导到检测器,其中所述离散范围经一次一个地收集且所述输出光束响应于所述样品上的所述照明光束,
其中所述照明光学器件模块包含用于产生所述照明光束的多个偏光状态的偏光产生光学元件,所述收集光学器件模块包含用于分析所述输出光束的所述偏光状态的偏光分析光学元件,
其中所述照明光学器件模块及收集光学器件模块包含所述偏光产生光学元件与所述偏光分析光学元件之间的反射光学元件;
检测模块,其用于接收且检测处于所述离散AOI及/或AZ范围及所述偏光状态的来自所述样品的所述输出光束且基于处于所述离散AOI及/或AZ范围及所述偏光状态的所述输出光束产生多个信号;以及
一或多个控制器,其各自经配置以控制以下操作中的一或多者:选择波长范围;针对所述输出光束的收集选择所述离散AOI及/或AZ范围中的一或多者;选择所述偏光状态;及分析处于所述离散AOI及/或AZ范围及所述偏光状态的所述信号以确定所述样品的特性。
2.根据权利要求1所述的设备,其中所述离散AOI及/或AZ范围彼此空间分离。
3.根据权利要求1所述的设备,其中所述波长范围介于约150nm到约2000nm之间。
4.根据权利要求1所述的设备,其中所述照明光学器件模块包含用于产生所述照明光束的一或多个光源,所述一或多个光源包含至少一个激光维持等离子光源LSP。
5.根据权利要求4所述的设备,其中所述激光维持等离子光源LSP产生处于等于或大于约0.1W/nm/cm2/sr的峰值亮度的所述照明光束。
6.根据权利要求1所述的设备,其中所述照明光学器件模块包含用于提供处于与由所述收集光学器件模块收集且检测的所述离散AOI及/或AZ范围实质上相同的离散AOI及/或AZ范围中的每一者的所述照明光束的多个固定光圈或可移动光圈。
7.根据权利要求1所述的设备,其中所述照明光学器件模块包含用于一次一个地提供处于所述离散AOI及/或AZ范围中的每一者的所述照明光束的多个固定光圈及在每一固定光圈上方的快门。
8.根据权利要求1所述的设备,其中所述照明光学器件模块进一步经配置以同时提供处于实质上包含如由所述收集光学器件模块一次一个地收集且检测的所述离散AOI及/或AZ范围的AOI及/或AZ范围的所述照明光束。
9.根据权利要求1所述的设备,其中所述收集光学器件模块包含用于一次一个地收集处于所述离散AOI及/或AZ范围中的每一者的所述输出光束的多个固定光圈及在每一固定光圈上方的快门。
10.根据权利要求1所述的设备,其中所述收集光学器件模块包含用于一次一个地收集处于所述离散AOI及/或AZ范围中的每一者的所述输出光束的可移动光圈或具有在每一固定光圈上方的快门的多个静止光圈。
11.根据权利要求1所述的设备,其中所述AOI或AZ的离散子组各自经分离至少0.1°。
12.根据权利要求1所述的设备,其中所述离散AOI及/或AZ范围包含大于约60°的AOI。
13.根据权利要求1所述的设备,其中所述离散AOI及/或AZ范围包含从0到360度的多个离散AZ范围,所述设备进一步包括用于使所述样品旋转以获得在0度与360度之间的所述离散AZ范围的定位机构。
14.根据权利要求1所述的设备,其中所述偏光产生光学元件包含在所述照明光学器件模块中的偏光器及第一补偿器,且所述偏光分析光学元件包含在所述收集光学器件模块中的第二补偿器及分析器,其中选择所述偏光状态包含:使所述偏光器、第一及第二补偿器及分析器中的任何一或多者旋转或保持静止。
15.根据权利要求1所述的设备,其中所述偏光产生光学元件包括偏光器及分析器,其中选择所述偏光状态包含:使所述偏光器旋转且保持所述分析器静止。
16.根据权利要求15所述的设备,其中所述偏光分析光学元件进一步包括收集补偿器,且其中选择所述偏光状态进一步包含:使所述收集补偿器旋转。
17.根据权利要求16所述的设备,其中所述照明光学器件模块包含用于针对每一离散AOI及/或AZ范围使所述样品上的目标上方的焦点的点扩散函数最小化的切趾器。
18.根据权利要求16所述的设备,其中所述偏光产生光学元件进一步包括照明补偿器,且其中选择所述偏光状态进一步包含:使所述照明补偿器旋转。
19.根据权利要求1所述的设备,其中所述偏光产生光学元件包括偏光器及照明补偿器且所述偏光分析光学元件包括分析器,且其中选择所述偏光状态包含:使所述照明补偿器旋转且保持所述偏光器及所述分析器静止。
20.根据权利要求19所述的设备,其中所述偏光分析光学元件进一步包括收集补偿器,且其中选择所述偏光状态进一步包含:使所述收集补偿器旋转。
21.根据权利要求1所述的设备,其中所述偏光产生光学元件包括偏光器且所述偏光分析光学元件包括分析器,且其中选择所述偏光状态包含保持所述偏光器静止且使所述分析器旋转。
22.根据权利要求1所述的设备,其中所述样品为半导体晶片上的一或多个目标。
23.根据权利要求1所述的设备,照明光学器件模块进一步包括用于针对所述离散AOI及/或AZ范围中的每一者整形所述照明光束且控制在所述样品上的目标处的焦点的点扩散函数的一或多个光束整形光学元件。
24.根据权利要求23所述的设备,其中所述一或多个光束整形光学元件包括多个切趾器,所述切趾器各自拥有不可经重新配置的光学功能,且所述设备进一步包括用于将所述切趾器中的经选择者移动到共轭于所述照明光束的光瞳的平面中或附近的定位机构,其中所述切趾器提供对应于全部所述离散AOI及/或AZ范围的预定义照明轮廓,且其中所述控制器进一步经配置以导致所述定位机构移动所述切趾器中的经选择者。
25.根据权利要求23所述的设备,其中所述一或多个光束整形光学元件包括位于共轭于所述照明光束的光瞳的平面处或附近的可动态调整切趾器,其中所述可动态调整切趾器可配置以提供对应于全部所述离散AOI及/或AZ范围的预定义照明轮廓,且其中所述控制器进一步经配置以调整所述可动态调整切趾器。
26.根据权利要求23所述的设备,其中所述一或多个光束整形光学元件经配置以将由所述样品上的所述照明光束引起的在距照明光点的中心的预定义距离处的辐照度减小成小于在所述照明光点的所述中心处的峰值辐照度的预定义值。
27.根据权利要求1所述的设备,其中所述收集光学器件模块包括位于或可移动到在共轭于收集光瞳的平面处或附近的位置的一或多个切趾器,其中所述切趾器提供对应于全部所述离散AOI及/或AZ范围的预定义收集轮廓。
28.根据权利要求1所述的设备,其中所述照明光学器件模块包括:
第一离轴抛物面OAP镜,以及
第一平移镜,所述第一平移镜可移动以在多个位置处接收所述照明光束以将所述照明光束引导到第一离轴抛物面OAP镜上的多个位置,使得所述第一离轴抛物面OAP镜以所述离散AOI及/或AZ范围将所述照明光束一次一个地反射到所述样品,
且其中所述收集光学器件模块包括:
检测器,
第二离轴抛物面OAP镜,以及
第二平移镜,所述第二平移镜可移动以在多个位置处接收所述输出光束以将所述输出光束引导到所述第二离轴抛物面OAP镜上的多个位置,使得所述第二离轴抛物面OAP镜将处于所述离散AOI及/或AZ范围的所述输出光束一次一个地反射到所述检测器。
29.根据权利要求1所述的设备,其中
所述照明光学器件模块包括:
光束分裂器;
离轴抛物面OAP镜,以及
平移镜,其可移动以经由所述光束分裂器在所述平移镜的多个平移位置处接收所述照明光束以便将所述照明光束引导到所述离轴抛物面OAP镜上的多个对应位置,使得所述离轴抛物面OAP镜以所述离散AOI及/或AZ范围将所述照明光束一次一个地反射到所述样品,
且其中所述收集光学器件模块包括:
所述光束分裂器,
所述离轴抛物面OAP镜,
所述平移镜,以及
球面镜,所述球面镜用于将所述输出光束往回反射朝向所述样品以导致第二输出光束从样品发出以从所述离轴抛物面OAP镜上的所述对应位置反射且接着在所述多个平移位置处从所述平移镜反射朝向所述光束分裂器且到所述检测器,以便一次一个地收集处于所述离散AOI及/或AZ范围的所述第二输出光束。
30.根据权利要求1所述的设备,其中所述收集光学器件模块进一步可配置以通过以一或多个AOI照明且在一或多个不同AOI下收集而从离开所述样品的所述照明光束收集零阶光用于明视场计量且从离开所述样品的所述照明光束收集非零阶光用于暗视场计量。
31.根据权利要求1所述的设备,其中所述收集光学器件模块收集与从所述样品反射的所述AOI及/或AZ相同的AOI及/或AZ。
32.根据权利要求1所述的设备,其中所述收集光学器件模块收集不同于从所述样品反射的所述AOI及/或AZ的AOI及/或AZ。
33.根据权利要求1所述的设备,其中所述收集光学器件模块含有用于将光分散到光谱中的分散元件。
34.根据权利要求1所述的设备,其中所述设备的照明光瞳及收集光瞳经布置以设置照明及收集数值孔径,且其中所述设备的照明及收集视场光阑经布置以设置源大小及图像大小。
35.一种用于执行半导体样品的计量的椭圆偏光计设备,所述设备包括:
照明光学器件模块,其用于提供处于可从真空紫外线VUV波长到红外线IR波长的范围内选择的多个波长的照明光束且以多个入射角AOI及/或方位角AZ将所述照明光束引导朝向所述样品;
收集光学器件模块,其用于收集处于实质上全部所述AOI或AZ的从所述样品发出的输出光束且将此输出光束实质上同时引导到一或多个检测器上,所述输出光束响应于所述样品上的所述照明光束,
其中所述照明光学器件模块包含用于产生所述照明光束的多个偏光状态的偏光产生光学元件,所述收集光学器件模块包含用于分析所述输出光束的所述偏光状态的偏光分析光学元件,
其中所述照明光学器件模块及所述收集光学器件模块包含在用于产生所述多个偏光状态的所述光学元件与用于分析所述偏光状态的所述光学元件之间的反射光学元件;
所述一或多个检测器,其用于接收且检测处于所述AOI及/或AZ及所述偏光状态的来自所述样品的所述输出光束以基于处于此类AOI及AZ及偏光状态的所述输出光束产生多个信号或图像;以及
一或多个控制器,其各自经配置以控制以下操作中的一或多者:选择波长范围;选择所述偏光状态;及分析处于所述波长、AOI及/或AZ及经选择偏光状态的信号或图像以确定所述样品的特性。
36.根据权利要求35所述的设备,其中所述收集光学器件模块包含用于在波长平面处分散所述波长且在AOI/AZ平面处分散所述AOI及/或AZ的一或多个分散元件,借此沿着两个不同检测方向分散所述波长及所述AOI及/或AZ。
37.根据权利要求36所述的设备,其中所述两个不同检测方向彼此正交。
38.根据权利要求36所述的设备,其中所述一或多个分散元件针对所述两个不同检测方向具有两个不同光功率。
39.根据权利要求36所述的设备,其中所述一或多个检测器包括多个检测器,其中每一检测器经配置以解析经分散波长,从而在不同AOI区域中的一者上积分。
40.根据权利要求39所述的设备,其中所述收集光学器件模块进一步包括用于将来自所述一或多个分散元件的所述输出光束划分成各自输出到所述检测器中的一者的不同AOI区域的再分光学器件。
41.根据权利要求40所述的设备,其中所述收集光学器件模块进一步包括定位于所述波长平面与所述AOI及/或AZ平面之间的重成像光学器件,且所述重成像光学器件经配置以使所述波长平面重成像到每一检测器上。
42.根据权利要求36所述的设备,其中所述收集光学器件模块包括在共轭于光瞳的平面中的用于选择性透射来自所述输出光束的多个空间分离AOI及/或AZ区域的特定AOI区域的AOI及/或AZ掩模,且所述一或多个检测器包括用于接收所述特定AOI区域且解析经分散波长且在所述特定AOI区域上积分此类经解析波长的单个检测器,其中所述控制器进一步经配置以一次选择一个特定AOI区域。
43.根据权利要求42所述的设备,其中所述波长平面经定位于所述AOI/AZ平面之前。
44.根据权利要求42所述的设备,其中所述AOI/AZ掩模由多个固定光圈及在每一固定光圈上的快门组成。
45.根据权利要求42所述的设备,其中所述AOI/AZ掩模由固定、可移动光圈组成。
46.根据权利要求36所述的设备,其中所述一或多个检测器包括用于解析经分散波长、在不同AOI区域上积分的单个检测器,在所述不同AOI区域中的邻近者之间有AOI未经解析及分析的死像素区。
47.根据权利要求35所述的设备,其中所述收集光学器件模块包含用于将所述输出光束分裂成第一输出光束及第二输出光束的光束分裂器、用于接收所述第一输出光束且沿着所述一或多个检测器中的第一者的两个不同检测方向分散所述输出光束的所述波长及所述AOI的第一分散元件,用于接收所述第二输出光束且沿着所述一或多个检测器中的第二者的两个不同检测方向分散所述输出光束的所述波长及所述AZ的第二分散元件。
48.根据权利要求36所述的设备,其中所述波长平面定位于与所述AOI/AZ平面相同的平面处。
49.根据权利要求36所述的设备,其中所述一或多个检测器包括具有用于并行处理来自两个不同AOI区域的数据的至少两个寄存器的检测器。
50.根据权利要求35所述的设备,其中所述波长范围在约150nm与约2000nm之间。
51.根据权利要求35所述的设备,其中所述照明光学器件模块包含用于产生所述照明光束的亮激光驱动光源,所述亮激光驱动光源包含至少一个激光维持等离子光源LSP。
52.根据权利要求51所述的设备,其中所述激光维持等离子光源LSP产生处于大于约0.1W/nm/cm2/sr的峰值亮度的所述照明光束。
53.根据权利要求35所述的设备,其中所述偏光产生光学元件包含在所述照明光学器件模块中的偏光器及第一补偿器,且所述偏光分析光学元件包含在所述收集光学器件模块中的第二补偿器及分析器,其中选择所述偏光状态包含:使所述偏光器、第一及第二补偿器及分析器中的任何一或多者旋转或保持静止。
54.根据权利要求35所述的设备,其中所述偏光产生光学元件包括偏光器及分析器,其中选择所述偏光状态包含:使所述偏光器旋转且保持所述分析器静止。
55.根据权利要求54所述的设备,其中所述偏光分析光学元件进一步包括收集补偿器,且其中选择所述偏光状态进一步包含:使所述收集补偿器旋转。
56.根据权利要求55所述的设备,其中所述照明光学器件模块包含用于针对每一离散AOI及/或AZ范围使所述样品上的目标上方的焦点的点扩散函数最小化的切趾器。
57.根据权利要求55所述的设备,其中所述偏光产生光学元件进一步包括照明补偿器,且其中选择所述偏光状态进一步包含:使所述照明补偿器旋转。
58.根据权利要求35所述的设备,其中所述偏光产生光学元件包括偏光器及照明补偿器且所述偏光分析光学元件包括分析器,且其中选择所述偏光状态包含:使所述照明补偿器旋转且保持所述偏光器及所述分析器静止。
59.根据权利要求58所述的设备,其中所述偏光分析光学元件进一步包括收集补偿器,且其中选择所述偏光状态进一步包含:使所述收集补偿器旋转。
60.根据权利要求35所述的设备,其中所述偏光产生光学元件包括偏光器且所述偏光分析光学元件包括分析器,且其中选择所述偏光状态包含保持所述偏光器静止且使所述分析器旋转。
61.根据权利要求35所述的设备,其中所述样品为半导体晶片上的一或多个目标。
62.一种用于执行半导体样品的计量的椭圆偏光计设备,所述设备包含:
一或多个亮光源,其用于提供处于可从真空紫外线VUV波长到红外线IR波长的范围内选择的多个波长的照明光束;
照明光学器件,其用于以多个可选择入射角AOI及/或方位角AZ范围及多个偏光状态将所述照明光束引导朝向样品以提供光谱椭圆偏光测量计量,其中所述照明光学器件包括用于控制处于可选择AOI及/或AZ组中的每一者的所述照明光束在所述样品上的照明光点的光点大小的至少一个切趾器;
收集光学器件,其用于以所述可选择AOI及/或AZ组中的每一者及偏光状态,将响应于所述照明光束从所述样品发出的输出光束引导朝向检测器;
所述检测器,其用于基于所述输出光束产生输出信号或图像;以及
控制器,其用于基于随波长、AOI及/或AZ及/或偏光状态而变的所述输出信号或图像而特征化所述样品的特征或检测缺陷。
63.根据权利要求62所述的设备,其中所述一或多个亮光源包括激光维持等离子光源LSP。
64.根据权利要求62所述的设备,其中所述至少一个切趾器包括一组切趾器,所述切趾器各自拥有不可经重新配置的光学功能,且各自可移动到照明光瞳平面中及照明光瞳平面外,且每一切趾器经配置以用于控制针对所述可选择AOI及/或AZ组中的每一者的所述光点大小。
65.根据权利要求62所述的设备,其中所述至少一个切趾器为可动态调整切趾器,所述可动态调整切趾器可配置以通过将在距所述照明光点的中心的预定义距离处的辐照度减小到小于在所述照明光点的所述中心的峰值辐照度的预定义值而控制针对所有所述可选择AOI及/或AZ组的所述光点大小。
66.根据权利要求62所述的设备,其中所述至少一个切趾器可配置以通过抑制所述照明光束中的旁瓣而控制所述光点大小。
67.根据权利要求62所述的设备,其中所述至少一个切趾器还可针对所述样品上的多个不同类型的目标来配置。
68.根据权利要求62所述的设备,其中所述照明光学器件包括用于以所述可选择AOI或AZ组中的每一者用所述照明光束在所述样品上扫描的扫描镜,且所述收集光学器件包括用于一次一个地解析所述经选择AOI及/或AZ组的AOI及/或AZ选择器。
69.根据权利要求68所述的设备,其中所述AOI/AZ选择器包含多个固定光圈及用于每一固定光圈的快门或至少一个可移动光圈。
70.根据权利要求62所述的设备,其中所述至少一个切趾器为可动态调整切趾器。
71.根据权利要求70所述的设备,其中所述可动态调整切趾器为空间光调制器SLM。
72.根据权利要求62所述的设备,其中所述至少一个切趾器可配置以形成针对所述可选择AOI及/或AZ组的多个二元振幅模式。
73.根据权利要求62所述的设备,其中所述至少一个切趾器经配置以针对所述可选择AOI及/或AZ组形成多个振幅模式,其中至少一个振幅模式连续可变。
CN201480004823.XA 2013-09-16 2014-09-15 用于执行半导体样品的计量的椭圆偏光计设备 Active CN105051877B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361878561P 2013-09-16 2013-09-16
US61/878,561 2013-09-16
US14/043,783 US9116103B2 (en) 2013-01-14 2013-10-01 Multiple angles of incidence semiconductor metrology systems and methods
US14/043,783 2013-10-01
PCT/US2014/055666 WO2015039031A1 (en) 2013-09-16 2014-09-15 Multiple angles of incidence semiconductor metrology systems and methods

Publications (2)

Publication Number Publication Date
CN105051877A CN105051877A (zh) 2015-11-11
CN105051877B true CN105051877B (zh) 2019-02-22

Family

ID=52666379

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480004823.XA Active CN105051877B (zh) 2013-09-16 2014-09-15 用于执行半导体样品的计量的椭圆偏光计设备

Country Status (6)

Country Link
EP (3) EP3047520B1 (zh)
JP (4) JP6688732B2 (zh)
KR (2) KR102134943B1 (zh)
CN (1) CN105051877B (zh)
IL (2) IL239666B (zh)
WO (1) WO2015039031A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10309907B2 (en) * 2015-03-04 2019-06-04 Kla-Tencor Corporation All reflective wafer defect inspection and review systems and methods
JP6448528B2 (ja) * 2015-12-24 2019-01-09 株式会社目白67 エリプソメータ
US10215693B2 (en) * 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
EP3333632A1 (en) * 2016-12-08 2018-06-13 ASML Netherlands B.V. Metrology apparatus
CN109425619B (zh) * 2017-08-31 2021-12-28 深圳中科飞测科技股份有限公司 光学测量系统及方法
US10551166B2 (en) * 2017-10-11 2020-02-04 Kla-Tencor Corporation Optical measurement of a highly absorbing film layer over highly reflective film stacks
KR102248379B1 (ko) * 2019-06-20 2021-05-06 주식회사 이솔 반도체 소자의 결함 검사장치.
CN112213272B (zh) * 2019-07-10 2024-01-12 中微半导体设备(上海)股份有限公司 一种光谱检测设备、终点检测系统和方法
CN110832631A (zh) * 2019-10-12 2020-02-21 长江存储科技有限责任公司 用于检测深度特征中的缺陷的方法
CN113048894B (zh) * 2021-03-04 2022-10-18 上海精测半导体技术有限公司 一种探测反射光变化的装置、方法及膜厚测量装置
CN114428081A (zh) * 2021-12-15 2022-05-03 赣州市同兴达电子科技有限公司 复测aoi抛料可视化复判方法
KR102521324B1 (ko) * 2022-03-03 2023-04-20 (주)오로스 테크놀로지 입사각을 갖는 오프-액시스 광학계의 정렬 방법
KR102492803B1 (ko) 2022-04-19 2023-01-31 (주)오로스테크놀로지 조리개를 이용하여 입사 각도 또는 개구수를 조절하는 편광 분석 장치 및 방법
CN115389022B (zh) * 2022-08-19 2024-01-26 深圳市埃芯半导体科技有限公司 椭偏仪
KR102621281B1 (ko) * 2022-11-22 2024-01-05 (주)오로스테크놀로지 광 계측기

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1782662A (zh) * 2004-11-30 2006-06-07 欧姆龙株式会社 分光计测装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0643372A (ja) * 1992-06-19 1994-02-18 Canon Inc 光走査装置
US5872630A (en) * 1995-09-20 1999-02-16 Johs; Blaine D. Regression calibrated spectroscopic rotating compensator ellipsometer system with photo array detector
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
JP3712481B2 (ja) * 1995-12-28 2005-11-02 富士通株式会社 半導体装置の製造方法
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5859424A (en) * 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5979244A (en) * 1998-03-04 1999-11-09 Siemens Aktiengesellschaft Method and apparatus for evaluating internal film stress at high lateral resolution
US6184984B1 (en) * 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
JP2001165850A (ja) * 1999-12-14 2001-06-22 Fuji Electric Co Ltd 油膜検知装置
US7345762B1 (en) * 2000-05-30 2008-03-18 J.A. Woollam Co., Inc. Control of beam spot size in ellipsometer and the like systems
US20030030817A1 (en) 2001-08-10 2003-02-13 Chih-Kung Lee Multifunctional opto-electronic biochip detection system
US7369233B2 (en) * 2002-11-26 2008-05-06 Kla-Tencor Technologies Corporation Optical system for measuring samples using short wavelength radiation
US7483133B2 (en) * 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
US7463369B2 (en) * 2006-03-29 2008-12-09 Kla-Tencor Technologies Corp. Systems and methods for measuring one or more characteristics of patterned features on a specimen
US7989786B2 (en) 2006-03-31 2011-08-02 Energetiq Technology, Inc. Laser-driven light source
US7705331B1 (en) * 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
TWI429896B (zh) * 2006-07-27 2014-03-11 Rudolph Technologies Inc 橢圓偏光測定儀器及監控製程之方法
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
JP4950813B2 (ja) * 2007-08-30 2012-06-13 大日本スクリーン製造株式会社 分光エリプソメータ、膜厚測定装置および分光エリプソメータのフォーカス調整方法
JP5175605B2 (ja) * 2008-04-18 2013-04-03 株式会社日立ハイテクノロジーズ パターン形状検査方法
CA2742273A1 (en) * 2008-11-04 2010-05-14 William Marsh Rice University Image mapping spectrometers
JP5366536B2 (ja) * 2008-12-26 2013-12-11 株式会社堀場製作所 ラマン散乱光測定装置
US8441639B2 (en) 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8446584B2 (en) 2011-05-13 2013-05-21 Kla-Tencor Corporation Reconfigurable spectroscopic ellipsometer
US8456639B2 (en) * 2011-07-01 2013-06-04 Kla-Tencor Corporation Measurement of critical dimension
US9228943B2 (en) * 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US9176069B2 (en) * 2012-02-10 2015-11-03 Kla-Tencor Corporation System and method for apodization in a semiconductor device inspection system
US8879073B2 (en) * 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
EP2823288B1 (en) * 2012-03-07 2021-05-05 KLA-Tencor Corporation Wafer and reticle inspection systems and method for selecting illumination pupil configurations

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1782662A (zh) * 2004-11-30 2006-06-07 欧姆龙株式会社 分光计测装置

Also Published As

Publication number Publication date
KR20160055908A (ko) 2016-05-18
KR102216201B1 (ko) 2021-02-15
IL239666B (en) 2021-02-28
CN105051877A (zh) 2015-11-11
JP6688732B2 (ja) 2020-04-28
KR20200085938A (ko) 2020-07-15
JP2023014136A (ja) 2023-01-26
EP3047520B1 (en) 2019-11-06
JP2022079538A (ja) 2022-05-26
EP3624174A3 (en) 2020-06-03
EP3971950A1 (en) 2022-03-23
IL280388A (en) 2021-03-01
JP2016536609A (ja) 2016-11-24
EP3047520A1 (en) 2016-07-27
IL239666A0 (en) 2015-08-31
EP3047520A4 (en) 2017-07-12
EP3624174A2 (en) 2020-03-18
IL280388B (en) 2022-02-01
JP2020128986A (ja) 2020-08-27
KR102134943B1 (ko) 2020-08-26
WO2015039031A1 (en) 2015-03-19

Similar Documents

Publication Publication Date Title
CN105051877B (zh) 用于执行半导体样品的计量的椭圆偏光计设备
TWI631312B (zh) 用於執行半導體樣品之度量衡之橢圓偏光計設備
US10338401B2 (en) Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method
CN111095509B (zh) 使用多重参数配置的叠加计量
US10957568B1 (en) Phase filter for enhanced defect detection in multilayer structure
US11346657B2 (en) Measurement modes for overlay
TW202043741A (zh) 磁光克爾效應計量系統
KR20220054877A (ko) 오버레이 측정을 위한 그레이팅 타겟 구조의 암시야 이미징
KR20240047335A (ko) 병렬 산란계 오버레이 계측
TWI601937B (zh) 用於執行半導體樣品之度量衡之橢圓偏光計設備
US11899375B2 (en) Massive overlay metrology sampling with multiple measurement columns
EP4357853A1 (en) Apparatus and methods for filtering measurement radiation
EP4279993A1 (en) Source selection module and associated metrology apparatus
WO2023208487A1 (en) Source selection module and associated metrology apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant