JP2022541346A - 自動ウェハーハンドリングロボットの教育及びヘルスチェックのための統合化された適応型位置決めシステム及びルーチン - Google Patents

自動ウェハーハンドリングロボットの教育及びヘルスチェックのための統合化された適応型位置決めシステム及びルーチン Download PDF

Info

Publication number
JP2022541346A
JP2022541346A JP2022505392A JP2022505392A JP2022541346A JP 2022541346 A JP2022541346 A JP 2022541346A JP 2022505392 A JP2022505392 A JP 2022505392A JP 2022505392 A JP2022505392 A JP 2022505392A JP 2022541346 A JP2022541346 A JP 2022541346A
Authority
JP
Japan
Prior art keywords
wafer
edge ring
controller
support
calibration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022505392A
Other languages
English (en)
Inventor
サデギ・フセイン
ブランク・リチャード・エム.
サウラド・ピーター・エス.
エマーソン・マーク・イー.
ジェヤパラン・アルルセルバム・シモン
ピチガロ・マルコ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022541346A publication Critical patent/JP2022541346A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1692Calibration of manipulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/006Controls for manipulators by means of a wireless system for controlling one or several manipulators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/08Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
    • B25J13/086Proximity sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/08Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
    • B25J13/087Controls for manipulators by means of sensing devices, e.g. viewing or touching devices for sensing other physical parameters, e.g. electrical or chemical properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/08Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
    • B25J13/088Controls for manipulators by means of sensing devices, e.g. viewing or touching devices with position, velocity or acceleration sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1628Programme controls characterised by the control loop
    • B25J9/163Programme controls characterised by the control loop learning, adaptive, model based, rule based expert control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

【解決手段】半導体処理ツールのウェハーステーションのウェハー支持体にウェハーを提供するための複数のタイプのオフセットを決定及び使用するためのシステム及び手法が開示され、そのような手法及びシステムは自動較正ウェハーを使用してよく、自動較正ウェハーは、エッジに位置する複数の画像センサを含む、複数のセンサを含んでよく、画像センサは、選択されたウェハーステーション内に位置する2つの異なる構造体に関連付けられた基準部分を画像化するために使用されてよい。【選択図】図2

Description

[関連出願データ]
本出願の一部として、PCT願書が本明細書と同時に提出される。同時に提出されたPCT願書において確認したように、本出願が利益または優先権を主張する出願の各々が、その全体があらゆる目的のために参照により本明細書に組み込まれる。
半導体処理ツールは、ウェハーハンドリングロボットを利用して、様々なウェハーステーション間で半導体ウェハーを移動させる。ウェハーハンドリングロボットは典型的には、ブレードタイプ又はスパチュラタイプのエンドエフェクタを使用して半導体ウェハーを下方からピックアップし、半導体ウェハーはウェハーハンドリングロボットのエンドエフェクタに確実に固定されているわけではないので、エンドエフェクタとその上に配置された半導体ウェハーとの間には、多くの場合に相対的な位置決めに多少のばらつきがある。半導体処理作業の敏感性に起因して、ウェハーハンドリングロボットを使用して半導体ウェハーを配置する場合、そのような変動を補正して、半導体ウェハーが、対応する処理ステーション内において、許容公差の範囲内で所望の場所に、例えば通常は処理ステーション内にセンタリングされて配置されるようにすることが典型的である。最新の半導体処理ツールは、アクティブウェハーセンタリング(AWC)システムを利用して、このようなウェハー配置を支援する。
本明細書に記載される主題の1つ以上の実現形態の詳細が、添付の図面及び以下の記載に記述されている。他の特徴、態様、及び利点が、本明細書の記載、図面、及び特許請求の範囲から明らかになるであろう。以下の非限定的な実現形態は、本開示の一部と見なされる。他の実現形態が、本開示及び添付図面の全体から明らかとなるであろう。
いくつかの実現形態では、半導体処理ツール用のウェハーハンドリングロボットの較正を支援するシステムが提供され得る。本システムは、例えば、自動較正ウェハーを含んでよく、自動較正ウェハーは、ウェハーハンドリングロボットにより運ばれるように寸法決めされた基板であって、ウェハーハンドリングロボットによって運ばれるときにウェハーハンドリングロボットのエンドエフェクタに接触するように構成されている第1の面を有する、基板、と、基板により支持され、基板の共通点からオフセットされた場所に位置決めされた複数の第1の画像センサであって、基板が第1の面を下向きに向けられたときに、第1の画像センサの各々が下向きの視野を有する、複数の第1の画像センサと、第1の画像センサの各々に通信可能に接続されている第1のコントローラと、を含む。
システムのいくつかの実現形態では、第1の画像センサは、共通点の周りに円形アレイで構成されてよい。
システムのいくつかの実現形態では、基板は名目上は円形であってよく、半導体処理ツールが処理するように構成されている半導体ウェハーと同じ直径を有してよい。
システムのいくつかの実現形態では、基板は名目上は円形であってよく、半導体処理ツールが使用するように構成されているエッジリングと同じ直径を有してよい。
システムのいくつかの実現形態では、基板は名目上は円形であってよく、半導体処理ツールが使用するように構成されているエッジリングの外径と内径との間の直径を有してよい。
システムのいくつかの実現形態では、基板は名目上は円形であってよく、半導体処理ツールが使用するように構成されているエッジリングの外径と内径との間の平均の±10%以内の直径を有してよい。
システムのいくつかの実現形態では、基板は、200mm、300mm、及び450mmからなる群から選択される直径を有する名目上は円形のディスクであってよい。
システムのいくつかの実現形態では、自動較正ウェハーは、少なくとも第1のコントローラ及び第1の画像センサに電力を供給するように構成されている電源を更に含んでよい。
システムのいくつかの実現形態では、電源は再充電可能電池であってよく、自動較正ウェハーは、電磁場とインターフェースしたときに再充電可能電池を充電するように構成されている無線充電機能を更に含んでよい。
システムのいくつかの実現形態では、自動較正ウェハーは、第1の無線通信インターフェースを更に含んでよく、第1の無線通信インターフェースは、第1のコントローラに通信可能に接続されてよい。
システムのいくつかの実現形態では、第1の無線通信インターフェースは、Bluetooth(登録商標。以下同じ)トランシーバ又はWiFiトランシーバなどの1つ以上の無線通信インターフェースを含んでよい。
システムのいくつかの実現形態では、自動較正ウェハーは、1つ以上の方位センサを更に含んでよく、1つ以上の方位センサは、第1のコントローラに通信可能に接続されてよい。
システムのいくつかの実現形態では、各方位センサは、傾斜計又は加速度計であってよい。
システムのいくつかの実現形態では、自動較正ウェハーは、1つ以上の振動センサを更に含んでよく、1つ以上の振動センサは、第1のコントローラに通信可能に接続されてよい。
システムのいくつかの実現形態では、各振動センサは、加速度計、レーザーマイクロフォン、又は光学距離測定センサであってよい。
システムのいくつかの実現形態では、自動較正ウェハーは、1つ以上の近接センサを更に含んでよく、各近接センサは、第1の面が下向きに向けられているときに、第1の面とその近接センサの下に位置する物体との間の距離を測定するように構成され、1つ以上の近接センサは、第1のコントローラに通信可能に接続されてよい。
システムのいくつかの実現形態では、各近接センサは、光学近接センサ、誘導近接センサ、又は容量性近接センサであってよい。
システムのいくつかの実現形態では、第1の画像センサは、共通点の周りに円形アレイで構成されてよく、基板は名目上は円形であってよく、半導体処理ツールが処理するように構成されている半導体ウェハーと同じ直径を有してよく、基板は、200mm、300mm、及び450mmからなる群から選択される直径を有する名目上は円形のディスクであってよく、自動較正ウェハーは、少なくとも第1のコントローラ及び第1の画像センサに電力を供給するように構成されている再充電可能電池と、電磁場とインターフェースしたときに再充電可能電池を充電するように構成されているワイヤレス充電機能と、第1のコントローラに通信可能に接続されてよく、Bluetoothトランシーバ又はWiFiトランシーバなどの1つ以上の無線通信インターフェースを含んでよい、第1の無線通信インターフェースと、第1のコントローラに通信可能に接続されてよい1つ以上の振動センサと、1つ以上の近接センサであって、近接センサの各々が、第1のコントローラに通信可能に接続され、第1の面が下向きに向けられているときに、第1の面と近接センサの下に位置する物体との間の距離を測定するように構成されている、1つ以上の近接センサと、を更に含んでよい。
システムのいくつかの実現形態では、システムは、半導体処理ツールを更に含んでよく、半導体処理ツールは、ウェハーハンドリングロボット、1つ以上のウェハーステーション、及び第2のコントローラを含んでよい。そのような実現形態では、各ウェハーステーションは、1つ以上の対応するウェハー支持体を含んでよく、ウェハーハンドリングロボット及び第2のコントローラは、通信可能に接続されてもよく、第2のコントローラ及び第1のコントローラは全体として、a)1つ以上のウェハーステーションのうちの第1のウェハーステーションの、1つ以上のウェハー支持体のうちの第1のウェハー支持体を選択し、b)ウェハーハンドリングロボットに、自動較正ウェハーを第1のウェハーステーションの上に位置決めさせ、c)自動較正ウェハーが第1のウェハー支持体上に位置決めされている間に、第1の画像センサの各々に、第1のウェハー支持体の基準部分の対応する第1の画像を取得させる、ように構成されてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、第1の画像に基づいて第1のウェハー支持体の中心点の場所情報を決定するように更に構成されてよい。
システムのいくつかのそのような実現形態では、第2のコントローラ及び第1のコントローラは全体として、d)ウェハーハンドリングロボットに較正ウェハーを取り出させ、e)垂直軸に沿って見たときに、較正ウェハーの中心点が、名目上は第1のウェハー支持体の中心点にセンタリングされるように、ウェハーハンドリングロボットに較正ウェハーを第1のウェハー支持体に移送させる、ように更に構成されてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、f)ウェハーハンドリングロボットに、自動較正ウェハーを第1のウェハー支持体及び較正ウェハーの上に位置決めさせ、g)自動較正ウェハーが第1のウェハー支持体及び較正ウェハーの上に位置決めされている間に、第1の画像センサの各々に、第1のウェハー支持体の基準部分及び較正ウェハーの基準部分の、対応する第2の画像を取得させ、h)第2の画像における、第1のウェハー支持体及び較正ウェハーの、基準部分間のギャップサイズに基づき、較正ウェハーの中心点と第1のウェハー支持体の中心点との間のウェハー/ウェハー支持体水平オフセットを決定する、ように更に構成されてもよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、i)ウェハー/ウェハー支持体水平オフセットを閾値ウェハー/ウェハー支持体水平オフセットと比較し、j)ウェハー/ウェハー支持体水平オフセットが閾値ウェハー/ウェハー支持体水平オフセットを超えているとの判断に応答して、ウェハーハンドリングロボットに、較正ウェハーを第1のウェハー支持体に対して再配置させてウェハー/ウェハー支持体水平オフセットを低減させる、ように更に構成されてもよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、(f)から(j)を、N回繰り返す、又はウェハー/ウェハー支持体水平オフセットが閾値ウェハー/ウェハー支持体水平オフセット以下になるまで繰り返す、のうちの先に生じる方を実施するように更に構成されてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、d)ウェハーハンドリングロボットに第1のエッジリングを取り出させ、e)垂直軸に沿って見たときに、第1のエッジリングの中心点が、名目上は第1のウェハー支持体の中心点にセンタリングされるように、ウェハーハンドリングロボットに第1のエッジリングを第1のウェハー支持体に移送させる、ように更に構成されてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、f)ウェハーハンドリングロボットに、自動較正ウェハーを第1のウェハー支持体及び第1のエッジリングの上に位置決めさせ、g)自動較正ウェハーが第1のウェハー支持体及び第1のエッジリングの上に位置決めされている間に、第1の画像センサの各々に、第1のウェハー支持体の基準部分及び第1のエッジリングの基準部分の、対応する第2の画像を取得させ、h)第2の画像における、第1のウェハー支持体及び第1のエッジリングの、基準部分間のギャップサイズに基づき、第1のエッジリングの中心点と第1のウェハー支持体の中心点との間のエッジリング/ウェハー支持体水平オフセットを決定する、ように更に構成されてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、i)エッジリング/ウェハー支持体水平オフセットを閾値エッジリング/ウェハー支持体水平オフセットと比較し、j)エッジリング/ウェハー支持体水平オフセットが閾値エッジリング/ウェハー支持体水平オフセットを超えているとの判断に応答して、ウェハーハンドリングロボットに、第1のエッジリングウェハーを第1のウェハー支持体に対して再配置させてエッジリング/ウェハー支持体水平オフセットを低減させる、ように更に構成されている。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、(f)から(j)を、N回繰り返す、又はエッジリング/ウェハー支持体水平オフセットが閾値エッジリング/ウェハー支持体水平オフセット以下になるまで繰り返す、のうちの先に生じる方を実施するように更に構成されてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、f)ウェハーハンドリングロボットに較正ウェハーを取り出させ、g)垂直軸に沿って見たときに、較正ウェハーの中心点が、名目上は第1のエッジリングの中心点にセンタリングされるように、ウェハーハンドリングロボットに較正ウェハーを第1のウェハー支持体に移送させる、ように更に構成されてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、h)ウェハーハンドリングロボットに自動較正ウェハーを第1のウェハー支持体、第1のエッジリング、及び較正ウェハーの上に位置決めさせ、i)自動較正ウェハーが、第1のウェハー支持体、較正ウェハー、及び第1のエッジリングの上に位置決めされている間に、第1の画像センサの各々に、較正ウェハーの基準部分及び第1のエッジリングの基準部分の、対応する第2の画像を取得させ、j)第2の画像における、較正ウェハー及び第1のエッジリングの、基準部分間のギャップサイズに基づき、第1のエッジリングの中心点と較正ウェハーの中心点との間のエッジリング/ウェハー水平オフセットを決定する、ように更に構成されていてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、k)エッジリング/ウェハー水平オフセットを閾値エッジリング/ウェハー水平オフセットと比較し、l)エッジリング/ウェハー水平オフセットが閾値エッジリング/ウェハー水平オフセットを超えているとの判断に応答して、ウェハーハンドリングロボットに、較正ウェハーを第1のエッジリングに対して再配置させてエッジリング/ウェハー水平オフセットを低減させる、ように更に構成されてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、(h)から(l)を、M回繰り返す、又はエッジリング/ウェハー水平オフセットが閾値エッジリング/ウェハー水平オフセット以下になるまで繰り返す、のうちの先に生じる方を実施するように更に構成されてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、ウェハーハンドリングロボットに自動較正ウェハーを第1のウェハー支持体、第1のエッジリング、及び較正ウェハーの上に再配置させ、自動較正ウェハーが、第1のウェハー支持体、較正ウェハー、及び第1のエッジリングの上に位置決めされている間に、第1の画像センサの各々に、較正ウェハーの基準部分及び第1のウェハー支持体の基準部分の、対応する第3の画像を取得させ、第3の画像における、較正ウェハー及び第1のウェハー支持体の、基準部分間のギャップサイズに基づき、第1のウェハー支持体の中心点と較正ウェハーの中心点との間のウェハー支持体/ウェハー水平オフセットを決定する、ように更に構成されてよい。
システムのいくつかの実現形態では、第2のコントローラ及び第1のコントローラは全体として、ウェハー支持体/ウェハー水平オフセットを閾値ウェハー支持体/ウェハー水平オフセットと比較し、ウェハー支持体/ウェハー水平オフセットが閾値ウェハー支持体/ウェハー水平オフセットを超えているとの判断に応答して、ウェハーハンドリングロボットに、較正ウェハー及びエッジリングからなる群から選択される少なくとも1つの物品を第1のウェハー支持体に対して再配置させる、ように更に構成されてよい。
システムのいくつかの実現形態では、半導体処理ツールは、半導体処理チャンバを含んでよく、第1のウェハーステーションは、半導体処理チャンバ内にあってよく、第1のウェハー支持体は、半導体処理チャンバ内にペデスタルを含んでよい。
システムのいくつかの実現形態では、半導体処理ツールは、異なる圧力環境間でウェハーを移送するためのロードロックを含んでよく、第1のウェハーステーションはロードロック内にあってよく、第1のウェハー支持体はロードロック内の構造体であってよい。
システムのいくつかの実現形態では、半導体処理ツールは、処理作業の前、後、又は最中に1つ以上のウェハーを格納するためのバッファを含んでよく、第1のウェハーステーションはバッファ内にあってよく、第1のウェハー支持体は、バッファ内の複数のウェハー支持体レッジのうちの1つであってよい。
システムのいくつかの実現形態では、半導体処理ツールは、異なる圧力環境間でウェハーを移送するためのロードロックを含んでよく、第1のウェハーステーションはロードロック内にあってよく、第1のウェハー支持体はロードロック内の構造体であってよい。
システムのいくつかの実現形態では、システムは、半導体処理ツールを更に含んでよく、半導体処理ツールは、ウェハーハンドリングロボットと、1つ以上のウェハーステーションと、第2のコントローラと、を含んでよい。そのようなシステムでは、各ウェハーステーションは、1つ以上の対応するウェハー支持体を含んでよく、ウェハーハンドリングロボット及び第2のコントローラは、通信可能に接続されてもよく、第2のコントローラ及び第1のコントローラは全体として、a)1つ以上のウェハーステーションのうちの第1のウェハーステーションの、1つ以上のウェハー支持体のうちの第1のウェハー支持体を選択し、b)ウェハーハンドリングロボットに自動較正ウェハーを第1のウェハーステーション上に移送させ、c)1つ以上の方位センサに基板の傾斜測定値を取得させる、ように構成されてよい。
システムのいくつかの実現形態では、第2のコントローラは、(b)を実行する前に、第1のウェハー支持体からエッジリングを除去するように構成されてよい。
システムのいくつかの実現形態では、システムは、半導体処理ツールを更に含んでよく、半導体処理ツールは、ウェハーハンドリングロボットと、1つ以上のウェハーステーションと、第2のコントローラと、を含んでよい。そのような実現形態では、各ウェハーステーションは1つ以上の対応するウェハー支持体を含んでよく、ウェハーハンドリングロボットと第2のコントローラとは通信可能に接続され、第2のコントローラ及び第1のコントローラは全体として、a)1つ以上のウェハーステーションのうちの第1のウェハーステーションの、1つ以上のウェハー支持体のうちの第1のウェハー支持体を選択し、b)第1のウェハー支持体の複数のリフトピンと第1のウェハー支持体との間で相対的並進移動を生じさせて、リフトピンを第1のウェハー支持体から突出させ、c)ウェハーハンドリングロボットに自動較正ウェハーをリフトピンに移送させ、d)自動較正ウェハーがリフトピンにより支持されている間に、リフトピンと第1のウェハー支持体との間で更なる相対的並進移動を生じさせ、e)(d)の間に1つ以上の振動センサから振動データを取得し、f)振動データが所定の閾値を超える振動を示すか否かを判断するために振動データを評価し、g)振動データが所定の閾値を超えたときに通知を提供する、ように構成されてよい。
システムのいくつかの実現形態では、第2のコントローラは、(d)の一部として、リフトピンが第1のウェハー支持体からもはや突出することなく自動較正ウェハーが第1のウェハー支持体の上面に載置されるように、リフトピンと第1のウェハー支持体との間の更なる相対的並進移動を生じさせるように構成されてよい。
システムのいくつかの実現形態では、システムは、半導体処理ツールを含んでよく、半導体処理ツールは、ウェハーハンドリングロボットと、1つ以上のウェハーステーションと、第2のコントローラと、を含んでよい。そのようなシステムでは、ウェハーハンドリングロボットと第2のコントローラとは通信可能に接続されてもよく、第2のコントローラ及び第1のコントローラは全体として、a)第1のウェハー支持体によりエッジリングが支持されているという指標に少なくとも部分的に基づき、1つ以上のウェハーステーションのうちの第1のウェハーステーションの、1つ以上のウェハー支持体のうちの第1のウェハー支持体を選択し、b)自動較正ウェハーをエッジリング上に配置させ、c)近接センサの各々に第1のウェハー支持体と自動較正ウェハーとの間の距離を測定させ、d)1つ以上の距離に基づいて、エッジリングに関連付けられた1つ以上の高さ測定値を決定し、e)1つ以上の高さ測定値を評価して、エッジリングに関連付けられた高さが所定の閾値を超えているか否かを判断し、f)エッジリングに関連付けられた高さが所定の閾値を超えたときに通知を提供する、ように構成されてよい。
本明細書で開示される様々な実現形態は、添付図面の図において、限定としてではなく例示として描かれており、図では同様の参照番号は類似した要素を指す。
図1は、エッジリングに対して配置された較正ウェハーの例を示す。
図2は、例示的な自動較正ウェハーの概略図を示す。
図3は、リフトピンを有するウェハー支持体の図を示す。
図4は、エッジリングの高さを決定するために使用してよい近接センサのセットを有する自動較正ウェハーの側面図を示す。
図5は、2セットの近接センサを有する別の自動較正ウェハーの側面図を示し、各セットは異なる直径の円形経路に沿って位置している。
図6は、例示的な自動較正ウェハーの写真である。
図7は、別の例示的な自動較正ウェハーの平面図を示す。
図8aは、様々な動作フェーズにおける半導体処理ツールの概略図を示す。 図8bは、様々な動作フェーズにおける半導体処理ツールの概略図を示す。 図8cは、様々な動作フェーズにおける半導体処理ツールの概略図を示す。 図8dは、様々な動作フェーズにおける半導体処理ツールの概略図を示す。 図8eは、様々な動作フェーズにおける半導体処理ツールの概略図を示す。 図8fは、様々な動作フェーズにおける半導体処理ツールの概略図を示す。 図8gは、様々な動作フェーズにおける半導体処理ツールの概略図を示す。 図8hは、様々な動作フェーズにおける半導体処理ツールの概略図を示す。 図8iは、様々な動作フェーズにおける半導体処理ツールの概略図を示す。
図9は、自動較正ウェハーを使用してウェハーステーションにおける構造体の基準点の場所を決定する手法のフローチャートを示す。
図10は、自動較正ウェハーを使用してウェハーステーションにおける2つの構造体の相対的な位置決めを決定する手法のフローチャートを示す。
図11は、自動較正ウェハーを使用してウェハー支持体の中心点の場所を決定する手法のフローチャートを示す。
図12は、ウェハー支持体上のエッジリングの配置を較正する手法のフローチャートを示す。
図13は、ウェハー支持体上のエッジリングに対するウェハーの配置を較正する手法のフローチャートを示す。
図14は、ウェハー配置の再現性を検証する手法のフローチャートを示す。
図15は、エッジリングの高さを評価する手法のフローチャートを示す。
図16は、リフトピンの振動を評価する手法のフローチャートを示す。
図17は、ペデスタルの水平度を評価する手法のフローチャートを示す。
本明細書の図は、全般的には一定の縮尺で描かれていないが、図の様々な態様は、例えば以下で論じるように、一定の縮尺で描かれ得る。
典型的な半導体処理システムでは、様々な半導体処理作業及び/又はウェハーハンドリング作業の準備のためにウェハーを正確に配置することは、手動又は半自動の教育プロセスにより実現され、これは、(1)半導体ウェハー、エッジリング、及び/又は静電チャック(ESC)などのウェハー支持体の、互いに対する、又はウェハーハンドリングロボットのエンドエフェクタに対する、所望の場所を、ウェハーハンドリングロボットに「教育」し、(2)アクティブウェハーセンタリング(AWC)システムを「教育」し、(3)ウェハー配置再現性検証を実施するために、典型的には技能者又は他の人間による管理を必要とし、いったんウェハーハンドリングロボットがそのような場所を教育されると、任意の所与のウェハーのウェハー配置において生じる可能性がある、例えばウェハーをエンドエフェクタに移送する間に生じる、そのウェハーとエンドエフェクタとの間の僅かな位置ずれに起因する、そのような場所からのいかなる偏差も、AWCを使用して補正できる。そのような手動又は半自動の教育プロセスは、実現するには時間を要し煩雑である。
そのような教育プロセスは、典型的には、半導体処理ツールの作業中にウェハーを取り出す又は配置する様々な場所をウェハーハンドリングロボットに訓練することから始まる。一般的に言えば、ウェハーハンドリングロボットは、初期的には、そのような場所の各々がツール内のどこにあるかを概ね了解しているように構成され得るが、ウェハーハンドリングロボットは、例えば様々な組み立て公差又は部品公差に対応するために、その動作を、それらが設置されている特定の半導体処理ツールの固有の特性に適合させるための、ある程度のカスタマイズを必要とすることになる。これを実現するために、ウェハーハンドリングロボットを「教育」モードに設定してよく、「教育」モードでは、ウェハーハンドリングロボットは、「教育」されるべき各場所に対して、その場所に対する特定の「理想的な」条件下で、ウェハーハンドリングロボットが存在するであろう位置又は構成に対応する位置へと導かれてよく、例えば、その位置又は構成は、ウェハーハンドリングロボットのエンドエフェクタ上の基準点から既知の距離(一般に、望ましくは最小化されるか又はゼロに低減され得る距離)以内に、そして、例えば、その場所におけるウェハー支持体の中心から既知の距離(一般に、望ましくは最小化されるか又はゼロに低減され得る距離)以内に、半導体ウェハーの中心が位置決めされているときに、ウェハーハンドリングロボットが存在する位置又は構成である。
典型的なウェハーハンドリングロボットの訓練では、各場所に対するウェハーハンドリングロボットの「理想的な」位置決めは、例えば、基準点として機能する、ウェハーハンドリングロボットのエンドエフェクタ上のフィーチャ、及びターゲット場所に対して固定された別のフィーチャ、とインターフェースすることができる1つ以上の固定具又は他の構造体の使用により実現され得る。例えば、いくつかのウェハーハンドリングロボットの教育シナリオでは、半導体ウェハーの直径と同様の直径を有するディスク状の固定具がシャフト又はピンを使用してウェハーハンドリングロボットのエンドエフェクタ上の所定位置に留められてよく、シャフト又はピンは、例えば、ディスクの中心を貫通し、そして、エンドエフェクタを使用してウェハーハンドリングロボットにより運ばれるときに、半導体ウェハーがセンタリングされることになる、エンドエフェクタ上の場所であることが意図される場所にセンタリングされたエンドエフェクタにおける基準フィーチャ、例えば穴、を通過する。ウェハー支持体のフィーチャに設置されてよい同様のピンがあってよく、エンドエフェクタ/ディスクがそのようなピンに押し付けられた場合、そのピンはディスクのエッジに接触し、それにより、ディスク及びエンドエフェクタが特定の位置、例えばセンタリングされた位置、に導かれてよい。このようなウェハーハンドリングロボットの移動中、ウェハーハンドリングロボットは、オペレータによる手動操作により、ウェハーハンドリングロボットのジョイント/アームセグメントを容易に動かすことができるように、電源が入っていない状態に置かれてよい。いったんウェハーハンドリングロボットが適切に位置決めされると、ウェハーハンドリングロボットコントローラは、ウェハーハンドリングロボットが所望の位置にあるときに、ウェハーハンドリングロボットに関連付けられた運動学的状態がどのようなものかを判断するために、例えば、ウェハーハンドリングロボットの様々な回転ジョイントの相対的又は絶対的な角度変位の測定値を取得することにより、ウェハーハンドリングロボットの様々なリンケージ位置の測定値を取得してよい。いったんウェハーハンドリングロボットがそのような位置情報を取得し、それをその場所に関連付けると、その場所はウェハーハンドリングロボットに教育されたと見なしてよい。
ウェハーが送達されることになる(又はウェハーが取り出されることになる)様々な場所を、いったんウェハーハンドリングロボットに教育すると、ウェハーハンドリングロボットは、ある場所に関係する将来のウェハー移送作業を実施するときに、その場所に対して教育された運動学的状態に入るように制御されてよい。ウェハーハンドリングロボットのエンドエフェクタに配置される半導体ウェハーが、エンドエフェクタの基準点に厳密にセンタリングされるように配置される場合、それと同じ半導体ウェハーがウェハーハンドリングロボットのエンドエフェクタにより目的の場所に配置されるときに、ウェハーハンドリングロボットがその場所に対して教育された運動学的状態に戻された後は、同様に、その場所に適切にセンタリングされることになる。しかしながら、様々な理由に起因して、ウェハーハンドリングロボットのエンドエフェクタ上に配置された半導体ウェハーが、エンドエフェクタの基準点に厳密にはセンタリングされない場合がある。そのような位置ずれは、例えば数十マイクロメートルのスケールでは比較的小さく見える場合があるが、そのような僅かな位置ずれでさえ、ウェハー処理作業に悪影響を及ぼす場合がある。そのようなエンドエフェクタ/ウェハーの位置ずれの補正を可能にするアクティブウェハーセンタリング(AWC)システムの使用が業界において一般的になっている。
典型的なAWC構成では、光学AWCセンサ及び光学ビームエミッタが半導体ウェハー処理チャンバの外側の固定場所に位置決めされ、それにより、半導体ウェハーがウェハーハンドリングロボットにより処理チャンバ内へと通過するとき、半導体ウェハーは、光学ビームエミッタにより放出される光学ビームのうちの2つ以上を通って移動する。AWCセンサは、各光学ビームが半導体ウェハーのエッジにいつ遭遇したかを検出してよい(光センサのうちの1つに向けられた光学ビームの遮断又は再確立により明示される)。AWCシステムは、半導体ウェハーのエッジがAWC光学センサのうちの1つをトリガーする瞬間ごとに、ウェハーハンドリングロボットのセンサから、基準点、例えばウェハーハンドリングロボットのエンドエフェクタ上の半導体ウェハー上に名目上はセンタリングされた点(エンドエフェクタ上の半導体ウェハーの厳密な配置がわからない場合があるので、これは推定された又は望ましい中心場所にすぎない場合がある)、に対する規定する座標の場所を取得してよい。円形の半導体ウェハーに関して、少なくとも2つのAWC光学ビームセンサを使用する場合、半導体処理チャンバに対して半導体ウェハーの中心点の場所を決定するには、結果として得られる4つ以上の座標で十分である(使用する座標を3つまで減らすことができる)(AWCセンサとウェハーハンドリングロボットベースは両方とも半導体処理チャンバに対して固定して取り付けられている)。いったんこのようなウェハー中心情報が取得されると、将来のウェハー配置の基準場所として使用してよく、又は補正が必要な現在のウェハー位置の測定値として役立たせることができる。
例えば、AWCシステムを訓練するために、ある種の基準ウェハーは、所望の目的地、例えば半導体処理チャンバ内のペデスタル上に手動でセンタリングされてよい。そのような手動のセンタリングは、例えば、ペデスタルに対して適切にセンタリングされるように基準ウェハーを導く固定具又はジグを使用して実施されてよい。いったん基準ウェハーがペデスタル上で十分にセンタリングされていると見なされると、ウェハーハンドリングロボットを制御して、基準ウェハーを取り出し、それを半導体処理チャンバから除去してよい。基準ウェハーが半導体処理チャンバから除去される際に、AWCシステムを使用して基準ウェハーの中心を測定及び決定してよい。この情報を、基準ウェハーがペデスタルのセンタリング場所からAWCシステムにより決定された中心場所に移動する際に基準ウェハーが受ける変位を記述するウェハーハンドリングロボットからの情報と併せることにより、将来のウェハー配置を調整してウェハーペデスタルの同様のセンタリングを実現することができる。例えば、基準ウェハーと同様に、新しいウェハーがウェハーハンドリングロボット上に配置されAWCセンサを通過したときに、新しいウェハーの中心が、以前に決定された基準ウェハーの中心場所から、幾分、例えばX方向に0.5mm、Y方向に0.25mm、オフセットしていることが判明する場合がある。そのような変動を補正するために、新しいウェハーをペデスタル上に配置するときに、新しいウェハーの変位の前、後、又は最中に、基準ウェハーをペデスタルからAWCセンサに移動させるのに使用した変位とは反対に、例えば、新しいウェハーをX方向に-0.5mm、Y方向に-0.25mm、移動させることにより、新しいウェハーを配置するときに補正変位を適用することにより、ウェハーハンドリングロボットを制御して、そのような変動を考慮することができる。
ウェハー支持体上へのエッジリングの配置を調整するために、AWCシステムを使用する同様の手法を使用することもでき、例えば、AWCを使用して、エッジリングがAWCシステムの光学ビームを通過する際に、エッジリングの中心点を決定でき、そして、このようなエッジリングの中心点と、例えば、ウェハー又はエッジリングに対する「理想的な」中心配置としてAWCシステムにより使用される基準場所との間の任意のオフセットが決定できる。AWCシステムがエッジリング配置と併せて使用される実現形態では、エッジリングが内側エッジ及び外側エッジの両方を有することに起因して、半導体ウェハーにおけるよりもエッジ/光学ビームの交差が多くなる場合がある(したがって、エッジリングがAWC光学センサを通過する際に各光学センサにより検出されるエッジ/光学ビームの交差は4つであり得るが、これは半導体ウェハーが光学ビームセンサを通過する場合には2つだけであることとは異なる)。そのような実現形態では、エッジ/光学ビームの交差、例えば光学ビームとエッジリングの外側エッジとの交差、のいくつかから生じるデータは無視されてよく、エッジリングの中心は、エッジ/光学ビームの残りの交差、例えば光学ビームとエッジリングの内側エッジとの交差、に基づいて決定されてよい。エッジリングがウェハーハンドリングロボットのエンドエフェクタ上の所望の配置から逸脱している程度を評価するためにAWCシステムにより使用される基準点は、いくつかの実現形態では、半導体ウェハーのAWC補正に使用され得るものと同じ基準点であってよく、すなわち、AWCシステムを使用して半導体ウェハーから取得された測定値に基づいて決定された基準点であってよい。他の実現形態では、エッジリングAWC補正に使用される基準点は、AWCシステムを使用してエッジリングから取得された測定値に基づいて取得されてよく、例えば、将来のエッジリングの配置のための基準点を取得するために、AWCシステムがエッジリングで訓練されてよい。本明細書で論じられる配置手法、並びに本明細書で論じられるAWC訓練及び補正手法は、半導体ウェハー配置作業及びエッジリング配置作業の両方との関連で一般的に適用可能であることが理解されるであろう。
AWCシステムは、半導体処理業界において広く使用されており、典型的には良好なウェハーセンタリング性能を提供してきた。しかしながら、訓練プロセスは労働集約的であり、極めて長い時間を占める場合があり、ユーザーの過失を引き起こしやすい。そのような訓練は、半導体処理ツールの初期設定の一部として行われる場合があるが、そのような訓練は、例えば、半導体処理チャンバ、AWCセンサ、及びウェハーハンドリングロボットの相対場所に何らかの変更が生じた場合、又はウェハー処理作業が不均一性を示し始めて、ペデスタル上への半導体ウェハーの配置が中心からずれていることを示す場合、又は一般に、ウェットクリーンを含む何らかの保守がチャンバに実施される場合に、ツールの寿命全体にわたって定期的に繰り返す必要があり得る。ウェハーハンドリングロボットによるウェハー支持体、ペデスタル、又は他の機器へのウェハー又はエッジリングの配置への言及が、様々な実現形態では、ウェハー支持体へのウェハー又はエッジリングの間接的な配置も暗黙的に含んでよいことが理解されるであろう。例えば、多くの半導体処理マシンでは、ウェハー支持体に位置決めされた垂直方向に移動するリフトピンを使用して、ウェハーハンドリングロボットのエンドエフェクタからウェハーを持ち上げてよく、そのとき、ウェハーを移動させることなく、エンドエフェクタをウェハーの下から移動させることが可能になる。次いで、リフトピンを制御して、ウェハーをウェハー支持体上に降ろすことができる。同じプロセスを逆向きに繰り返して、ウェハー又は他の構造体をウェハー支持体から除去することができる。本明細書で使用される場合、「ウェハー支持体」という用語は、半導体処理ツール内で半導体ウェハーを支持するように構成されている(ウェハーハンドリングロボットのエンドエフェクタ以外の)様々な構造体のいずれかを指すことができる。ウェハー支持体は、例えば、ペデスタル、ESC、又は他の一般に円形プラットフォーム状の構造体であって、半導体処理チャンバ(又は他のチャンバ)内に位置し、例えば半導体ウェハーの裏面とウェハー支持体の上面との間の対面接触を介して、分散して半導体ウェハーに一般に接触する構造体、並びに、より限定的な接触、例えば半導体ウェハーの外周に沿った点においてのみ半導体ウェハーに接触し得る円弧状の支持レッジ、を介して半導体ウェハーを支持し得る構造体、を含んでよい。ウェハー支持体は、半導体ウェハーに直接接触する構成要素を含んでよいだけでなく、半導体ウェハーの外周を越えて延びる構成要素又は部分、例えば半導体ウェハーの外周を越えて延びる、ペデスタル又はESCの環状部分、を含んでよい。ウェハー支持体は、場合によっては、ウェハー支持体により支持される半導体ウェハーの運動、例えば垂直運動及び/又は回転運動、を提供するように装備されていてよい。ウェハー支持体はまた、場合によっては、上で論じたようなリフトピンを含んでよく、又はそのようなウェハー支持体の残りの部分に対して上方に延ばされ、それによりウェハー支持体の残りの部分から半導体ウェハーを持ち上げる他の機構を含んでよい。場合によっては、ウェハー支持体は、エッジリングなどの様々な取り外し可能な構成要素を含んでよい。例えば、いくつかのウェハー支持体は、取り外し不可能なエッジリング、例えば半導体処理ツールのウェハーハンドリングロボットにより除去できるように設計されていないエッジリング、とインターフェースすることができる、1つ以上の取り外し不可能なエッジリング、例えば半導体処理ツールのウェハーハンドリングロボットにより除去できるように設計されたエッジリング、と共に使用されるように構成されてよく、そのような取り外し不可能なエッジリングは、本開示ではウェハー支持体の一部と見なされ、勿論、依然として技術者により除去されてよい。
本開示は、とりわけ、半導体処理ツール用のAWCシステム及び/又はウェハーハンドリングロボットの自動教育を提供するための、AWCシステム(又は同様の装置)及び/又はウェハーハンドリングロボットと連係して使用できる自動較正システム、例えば適応型位置決めシステム、を意図している。そのようなシステムは、真空下又は大気圧下のいずれかにおけるウェハーハンドリングロボットの自動教育に使用してよい。なぜなら、教育が行われるチャンバは、通常の半導体処理作業中におけるのと同様に、密閉されてよいからである。そのような自動較正システムはまた、プロセス要件に準拠するために、必要に応じて、構成要素又はウェハー配置の様々な側面を評価及び/又は補正することを可能にし得る。自動較正システムを使用してエッジリングの配置をガイドしてもよく、エッジリングは、典型的には、半導体処理ウェハーの外径よりも僅かに大きく(場合によっては小さい)寸法決めされた内径を有する名目上は環状構造体であり、これにより、処理中の半導体ウェハーの直径が効果的に「拡張」される。エッジリングには、ウェハー上のプロセス結果の均一性を低下させ得る何らかの「エッジ効果」を、半導体ウェハー自体ではなく、(ウェハーの均一性にほとんど影響を与えない)エッジリングの外側エッジで生じさせる効果がある。
自動較正システムの中心となるのは自動較正ウェハーであり、これはアダプティブポジショニングシステム(APS)ウェハーとも呼ばれ、様々なオンボードセンサから大量の情報を収集してもよく、これにより、自動較正ウェハーを、完全に自動化された教育プロセスの一部として使用することが可能になる。このような自動較正ウェハーは、例えば、半導体処理ツール内の構成要素の診断評価を実施するために、並びに半導体処理ツールの動作を調整してウェハー処理性能を向上させることを可能にする情報を取得するために、使用されてよい。
一般的に言えば、特定の半導体処理ツール用の自動較正ウェハーは、半導体処理ツールが処理するように構成されているウェハー及び/又はエッジリングと同様のサイズ及び形状を有してよく、それにより、処理中にウェハーハンドリングロボットが半導体ウェハーを搬送するのと概ね同じ形態で、自動較正ウェハーが半導体処理ツールのウェハーハンドリングロボットにより搬送されることが可能になる。したがって、自動較正ウェハーは、ウェハーがウェハーハンドリングロボットにより搬送され得る半導体処理ツールの経路の垂直方向及び水平方向の最小のクリアランスよりも小さい最大の高さ及び直径を有するように寸法決めされてよい。
上述したように、自動較正ウェハーは様々なセンサを含み得るが、センサの数とタイプは、自動較正ウェハーにより提供される特定の機能により変化し得る。本開示による自動較正ウェハーは、本明細書で論じられるセンサ/機能のいずれか1つ、いくつか、又は全てを提供するように構成されてよいことが理解されるであろう。
自動較正ウェハーが含み得る様々なセンサに加えて、自動較正ウェハーはまた、それらのセンサからのデータを制御及び取得するための、他の構成要素(例えば、半導体処理ツールのコントローラ)と通信するための、及び/又はセンサから収集されたデータを格納及び/又は操作するための、様々な構成要素を含んでよい。したがって、そのような自動較正ウェハーは、半導体処理ツールのコントローラにリンクされ、半導体処理ツール内に導入され、次いで、自動較正ウェハーのコントローラ(単数又は複数)及び半導体処理ツールのコントローラ(単数又は複数)の一方又は両方により生じる動作により、半導体処理ツールにより実施される較正ルーチン又は配置ルーチンの様々なフェーズ中に、様々な感知及びデータ収集作業が実施されてよい。以下でより詳細に論じる例から明らかなように、そのような較正ルーチン又は配置ルーチンは、人間の監視をほとんど又は全く伴うことなく、半導体処理ツールにより実施できる。
一般的に言えば、自動較正ウェハーは、半導体ウェハーの全体的形状と同様の、例えば略円形の、基板を有してよいが、場合によっては、自動較正ウェハーは異なる形状であってよく、例えば、センサ又は他の構成要素を支持するためには使用されない、又は、例えばエンドエフェクタ上の接触パッド又はペデスタルのリフトピンと接触しない、基板の一部分が省略されてよく、これにより、自動較正ウェハーにおいて開口部又はノッチがもたらされる場合がある。その上、いくつかの実現形態では、自動較正ウェハーは、そうでない場合は名目上は円形である外部エッジに沿って半島状突起又は他の突出部を有して、例えば対応する半導体ウェハーの公称外径を超える場所においてセンサを支持してよい。自動較正ウェハーはまた、1つ以上のインデックス機能、例えば平坦なエッジ、ノッチなどを外部境界に沿って含んで、自動較正ウェハーの方位を識別するためのメカニズムを提供してよい。本明細書では、自動較正ウェハーの「中心」を参照することができ、これは、半導体ウェハー又はエッジリングが半導体処理ツール内で典型的に搬送又は位置決めされるのと同様の形で、自動較正ウェハーが半導体処理ツール内で搬送又は位置決めされる場合に、半導体ウェハー又はエッジリングの中心が配置されるのと同じ位置に位置決めされるように意図される自動較正ウェハーの点を指すと理解されるであろう。自動較正ウェハーの中心は、基板の幾何学的中心及び/又は自動較正ウェハーの質量中心と一致し得るが、そのような位置合わせは必要条件ではないことが理解されるであろう。
ほとんどの実現形態では、自動較正ウェハーは、複数の下向きの画像センサ、例えば電荷結合素子(CCD)センサ又は相補型金属酸化膜半導体(CMOS)センサ、を有してよく、これらセンサは自動較正ウェハーの中心から半径方向にオフセットした様々な位置に位置する。このようなオフセットは、自動較正ウェハーが、例えば半導体処理ツールのウェハー支持体上に配置された半導体ウェハーの上方に位置決めされる場合、各画像センサが、半導体ウェハーのエッジの一部分並びにウェハー支持体の一部分をキャプチャするのに十分に広い視野を有するように選択されてよい。半導体処理ツールが、典型的には、ウェハー処理作業中にエッジリングを使用する場合、(ウェハー支持体上に配置された場合)画像センサの視野が十分に広くてエッジリングの一部分をもキャプチャできるように、画像センサは、自動較正ウェハーの中心から半径方向にオフセットされてもよい。自動較正ウェハーのいくつかの実現形態では、下向きの画像センサは、半導体処理ツールが処理するように構成されている半導体ウェハーと名目上は同じサイズ、例えば±10%又は±20%以内の直径を有する円に沿って配置されてよい。更に他の実現形態では、下向きの画像センサは、半導体処理ツールが処理するように構成されている半導体ウェハーの直径と、半導体処理ツールが使用するように構成されているエッジリングの直径との間の直径を有する円に沿って配置されてよい。いくつかのそのような実現形態では、下向きの画像センサは、エッジリングの公称外径と、エッジリングの公称内径又は半導体ウェハーの公称外径との平均、又は平均以内、例えば平均の±10%以内、である直径に沿って位置決めされてよい。そのような位置決めにより、例えば、自動較正ウェハーが概ねウェハー支持体の上方でセンタリングされた場所に位置決めされている場合(及び半導体ウェハー及び/又はエッジリングがウェハー支持体上でセンタリングされている場合)、下向きの画像センサに、それらの視野内の、ウェハー支持体の外側エッジ(又はウェハー支持体上のフィーチャ、例えばESC、のエッジ)、エッジリングの外側エッジ及び/又は内側エッジ、並びに半導体ウェハーの外側エッジ、を同時にキャプチャさせることができる。
そのような画像センサの配置により、自動較正ウェハーが、ウェハー支持体と半導体ウェハー、及び/又はそのウェハー支持体上に配置されたエッジリング、に関連付けられた、様々な基準部分の画像を同時に取得することが可能になる。基準部分とは、本用語が本明細書で使用される場合、特定の構造体に関して概ね固定されていると想定されるフィーチャを指し、例えば、構成要素の円形エッジが、その構造体の中心点の基準部分として機能し得る(そのような基準部分は、例えば、ウェハー処理作業に起因して生じる侵食又は堆積に起因して、時間の経過とともにサイズ及び/又は形状が変化する場合があることが理解されるであろう。そのような形状及び/又はサイズの漸進的な変化は、本開示との関連において、そのような基準部分の「固定された」性質を変化させると考えるべきではない。本明細書で論じられる例では、使用される基準部分は、半導体ウェハーの外側エッジ、エッジリングの外側エッジ及び/又は内側エッジ、ウェハー支持体の外側エッジ又はウェハー支持体のフィーチャのエッジ、ウェハー支持体における表面不連続性(例えば、ウェハー支持体の上面が、円形ボスから下向きに凹んだ、環状表面に囲まれた円形ボスを有してよい;円形ボスと環状表面との間の遷移がそのような表面不連続性であってよい)、又は、本明細書で論じられる手法に好適な任意の他のフィーチャ、などのフィーチャである。
次いで、半導体ウェハー、エッジリング、又は他の構造体に関する所与の基準部分のセットについて取得された画像が分析されて、それらの基準部分に関連付けられた2つの構造体上の基準点間のオフセット(単数又は複数)が決定されてよい。例えば、使用される基準部分が半導体ウェハーの円形の外側エッジ及び半導体ウェハーを取り囲むエッジリングの円形の内側エッジである場合、各画像において、半導体ウェハーの外側エッジとエッジリングの内側エッジとの間の半径方向のギャップの相対的なサイズを決定し、それを使用して、半導体ウェハーの中心がエッジリングの中心からオフセットされている程度の推定値を生成することができる。一般的に言えば、このような決定を行うには、少なくとも3つの画像センサからの画像を使用する必要がある(2つの画像センサからの画像だけを用いても同様の手法を実行できるが、基準部分の相対的なサイズを想定する必要があるので、通常はそれほど正確ではない)。場合によっては、いくつかの基準部分が常に見えるとは限らないかも知れないが、その場合、中間基準部分が必要になり得る。例えば、半導体ウェハーが、ウェハーの直径よりも小さい外径を有するESC上にセンタリングされ、ESCの外側エッジが、そのようなウェハー配置の基準部分として機能することになる場合、ESCの外側エッジ及び半導体ウェハーの外側エッジが同時に見える、自動較正ウェハーの画像センサから、画像を取得することは不可能である。したがって、そのようなエッジ間に存在するいかなる半径方向のギャップも識別することはできず、ESC上の半導体ウェハーの中心性を直接決定することはできない。そのような場合、ESC上の半導体ウェハーの中心性の推定値を、中間基準部分、例えばエッジリングの内側エッジ、を使用して、依然として決定することができる。例えば、エッジリングがESCを取り囲むようにウェハー支持体上に配置される場合、自動較正ウェハーを使用して、エッジリングの内側エッジとESCの外側エッジとの間の半径方向のギャップを決定してよい。次いで、半径方向のギャップに基づいて、エッジリングの内側エッジとESCの外側エッジの中心間オフセットを決定し、必要に応じて、エッジリングがESC上において許容可能な程度にセンタリングされるまでエッジリングが再配置される。エッジリングがセンタリングされた後、エッジリングの内側エッジは、ESCの外側エッジに対する「代理」として機能してよいとができる。その後、半導体ウェハーがウェハー支持体上及びエッジリング内に配置されるとき、自動較正ウェハーの画像センサを使用して、半導体ウェハーの外側エッジとエッジリングの内側エッジとの間の半径方向のギャップの画像を取得してよい。エッジリングの内側エッジがESCの外側エッジ上でセンタリングされているので、半導体ウェハーの外側エッジとエッジリングの内側エッジとの間の中心間オフセットは、半導体ウェハーの外側エッジとESCの外側エッジとの間の中心間オフセットの代理として機能することができる。そのような場合、半導体ウェハーの外側エッジとエッジリングの内側エッジとの間の、及びエッジリングの内側エッジとESCの外側エッジとの間の、実際に測定された中心間オフセットに基づいて、半導体ウェハーの外側エッジとエッジリングの内側エッジとの間の中心間オフセットを更に改善することができる。例えば、エッジリングの内側エッジの中心が、ESCの外側エッジの中心から、許容可能な中心性の範囲内であると考えられ得る(15μm,10μm)のXYオフセットを有するように、エッジリングが最終的に位置決めされ、次いで、半導体ウェハーの外側エッジの中心が、エッジリングの内側エッジの中心から(-5μm,12μm)のXYオフセット(同じ座標系において)を有するように、半導体ウェハーが配置される場合、半導体ウェハーの中心とESCの外側エッジの中心との間のXYオフセットは、これら2つのオフセットペアを合計することにより決定してよく、例えば、(15μm-5μm,10μm+12μm)=(10μm,22μm)であり、例えば、合計オフセットは約24μmである。
いったん2つの構造体の基準部分が自動較正ウェハーにより画像化され、2つの構造体間のオフセットが決定されると、このオフセットを、半導体処理ツールの適切な動作にとって許容可能と考えられる、構造体間の最大オフセットを表し得る閾値オフセットに対して比較できる。オフセットが閾値オフセットを超えた場合、半導体処理ツールに是正措置を取らせる場合がある。
2つの構造体間の中心間オフセットを決定するために様々な手法を使用することができ、それら手法は本開示の範囲内にあると考えられるが、そのようなオフセットを決定する例示的な手法が図1との関連で提供される。図1は、エッジリングに対して配置された較正ウェハーの例を示す。図1では、エッジリング162は、エッジリング162の内径内に配置された較正ウェハー160と共に示されている。明確にするために、本明細書では、較正ウェハー又は基準ウェハーという用語は、半導体処理ツールにより処理される典型的な半導体ウェハーと同一又は類似のサイズを有するウェハーを指すために使用され、較正ウェハーは、例えば半導体処理ツールにより処理され得るような、そのような通常のウェハーの代用として機能することが意図されている。較正又は基準ウェハーは、場合によっては、較正マーカー又は他のフィーチャを含んでよく、これらは、マシンビジョンアルゴリズムにより認識されて、センタリング及び較正作業を手助けしてよい。本明細書で使用される場合、自動較正ウェハーは、「スマート」なウェハーを指すか、又はそのような自動較正ウェハーがデータを取得し半導体処理ツールの性能に関連する様々なパラメータを測定することを可能にするセンサ及び他の電子機器を備えるウェハーを指す。
図1では、較正ウェハー160及びエッジリング162は、例えば、エッジリング162と較正ウェハー160の中心(十字線で示す)間の位置ずれ、及び較正ウェハー160の外側エッジとエッジリング162の内側エッジとの間のギャップを見やすくするために、縮尺通りには示していない。較正ウェハー160は、一組の較正マーカー170を含んでよく、較正マーカーは、較正ウェハーの外周に沿って位置決めされてよく、ある既知の量だけ離して、例えばこの例では120°離して配置されてよい。下向きに面する、自動較正ウェハーの第1の画像センサは、較正マーカー170、及びエッジリング162と較正ウェハー160との間のギャップ164が、第1の画像センサの視野128内に存在するように位置決めされてよい。
そのような手法では、様々な要因に関して一定の仮定をしてよく、例えば、較正ウェハーの直径は、既知の量、例えば300mmであると仮定してよい。したがって、較正ウェハー160の中心点から較正ウェハー160のエッジまでの半径rwは一定であると仮定してよい(例えば、もしエッジに沿ってインデックスフラット又はノッチがある場合、較正ウェハーのエッジに沿って、半径が短いかも知れない、いくつかの部分が存在し得るが、較正ウェハーは第1の画像センサの視野内では一定の半径を有し得る)。上述したように、較正ウェハーはまた、例えば較正マーカー170を有してよく、その場所は高い確実性で既知であり得る。この例では、較正マーカー170は、較正ウェハーの円周の周りに120°離して配置されていることが分かる。較正マーカーは、例えば、半径方向のライン(このラインは、画像データにおいて識別され、各画像において方向ベクトルを確立するために使用され、この方向ベクトルに沿ってギャップ164が評価される)、及び既知のサイズ、例えば2mm、を有し、画像化されたフィーチャのスケールを決定するために使用できる(図示するような)正方形又は円、などのフィーチャを含んでよい。例えば、画像内でギャップ164が識別され、それが、同じ画像内の2mmの較正マーカー正方形のエッジのサイズの0.23倍のサイズを有する場合、ギャップのサイズは、0.23×2mm=0.46mmであると決定することができる。いくつかの実現形態では、そのような計算は、例えばマシンビジョン又は光学画像補正手法を使用して、レンズ効果に起因する画像の歪みを考慮することができ(例えば、チェッカーボードパターンを使用して)、例えば自動較正ウェハーに関連付けられた較正データを使用して、そのような歪みを補正してよい。
上で論じた較正マーカーは、いくつかの実現形態では省略されてよく、様々な構成要素の円形エッジなどの基準部分を代わりに使用して、そのような構成要素の中心場所を決定してよいことが理解されるであろう。
較正ウェハー160の中心が、エッジリング162と較正ウェハー160との間の中心間オフセットを決定する際の座標系の原点としても機能すると想定すると、エッジリング162の内側(又は外側)エッジに沿った3点の場所を決定し、次いで、それら3点により画定される円の中心場所を決定することにより、エッジリングの中心場所を求めることができる。いったん較正ウェハーの座標系におけるエッジリング162の中心場所が分かれば、これらの2つの構成要素間の中心間オフセットを抽出することは単純なプロセスである。
ギャップ164を、較正ウェハー160の半径rw及び各ギャップ164が測定されるときに沿う角度と併せて使用して、エッジリング162の内側エッジに沿った3点の場所を決定してよい。例えば、ギャップ164δ1の場合、ギャップδ1を半径rwに加算して、較正ウェハーの原点/中心からエッジリング162の内側エッジまでの半径方向の距離を取得してよい。ギャップがエッジリングの内側エッジで終了する点のXY座標ペアは、較正ウェハーの中心からエッジリング162の内側エッジにおいてギャップが終了する点まで延びる半径の角度位置に基づいて、三角関数の関係を使用して決定してよい。この例では、この半径の角度位置は0度である。したがって、そのような点のXY座標は、次のように決定できる。
X=(rw+δx)sin(θ)
Y=(rw+δx)cos(θ)
式中、δxは関連するギャップ距離、rwは較正ウェハーの半径、θは較正ウェハーの原点からギャップまで延びる半径と原点から延びる極軸との間の角度である。
したがって、例えば、rw=150mm、δ1=17.338mm、δ2=22.823mm、及びδ3=37.69mmの場合、
Figure 2022541346000002
上記の寸法は、図1の比率に基づいた縮尺になっており、このようなδの値は、典型的な半導体処理ツール及びウェハーハンドリングロボットでは非現実的に大きいことが理解されるであろう。実際には、得られる可能性のあるδ値は、多くの場合、およそ1mm未満、例えば800μmである可能性がある。
いったん3対のXY座標が、エッジリングの内側エッジに沿った場所について分かると、座標系の原点(較正ウェハーの中心)に対するエッジリング162の中心の場所を、次の方程式を使用して決定してよい。
Figure 2022541346000003
Figure 2022541346000004
ここで、(x1,y1)、(x2,y2)、及び(x3,y3)はそれぞれ座標ペアであり、(xc,yc)はエッジリングの中心の座標ペアである。したがって、この例では、(xc,yc)=(-8.378mm,-8.618mm)である。エッジリングと較正ウェハーとの間の中心オフセットを確立した後、これら2つの構成要素間の中心オフセットを低減させるために、適切なアクションを実行してよい。例えば、現在のシナリオでは、エッジリングをウェハーハンドリングロボットにより取り出し、次いで、エッジリングを較正ウェハー上でセンタリングするために、オフセットの逆方向に、例えば(+8.378mm、+8.618mm)だけ移動することができる。代わりに、較正ウェハーをオフセット(-8.378mm、-8.618mm)だけ移動して、較正ウェハーをエッジリング上でセンタリングしてよい。自動較正ウェハーの座標系が、ウェハーハンドリングロボットにより使用される座標系に位置合わせされていない場合、ウェハーハンドリングロボットを使用して、例えばエッジリングの配置を補正するために、自動較正ウェハーを使用して取得された中心間オフセットを、ウェハーハンドリングロボットにより使用される座標系における同等のオフセットに変換することができる。いったん較正ウェハーが許容できる程度にセンタリングされると、較正ウェハーを使用して半導体処理ツールのAWCを訓練できる。半導体処理ツールにおけるセンタリング作業を促進するための、自動較正ウェハーの使用を伴う様々な手法について、以下で更に詳細に説明するが、その前に自動較正ウェハーの様々な機能の全体的な説明をする。
図2は、例示的な自動較正ウェハーの概略図を示し、破線/影付き領域が、ウェハー支持体、エッジリング、及びその下に位置決めされた較正ウェハーを示す。図2では、基板202を含む自動較正ウェハー200が示され、基板には複数の異なるセンサ及び他の電気構成要素が取り付けられている。また、図2には、自動較正ウェハー200の一部ではないが、較正ウェハー260、環状エッジリング262、及びウェハー支持体252も示されている。これら追加の構成要素は、自動較正ウェハー200と同心に構成されて示されており、これは、較正ウェハー260、エッジリング262、ウェハー支持体252、及び自動較正ウェハー200が、全て互いに対してセンタリングされている場合の通常使用のいくつかの段階中の場合と同様である。図示した例では、自動較正ウェハー200は、直径が、ウェハー支持体252、エッジリング262、及び較正ウェハー260よりも大きいものとして示されているが、実際には、自動較正ウェハー200は、較正ウェハー260とサイズが類似していてよい。前述したように、ウェハー支持体252は、複数の構成要素を含んでよく、例えば、較正ウェハー260よりも直径が僅かに小さいESC、並びにESCを超えて延びエッジリング262を支持する支持構造体を含んでよい。簡略化のために、図2では、このような個別の構造体は示されていない。
図2の例示的な自動較正ウェハーの一部として示されるセンサは、例えば複数の第1の画像センサ222を含んでよく、これらセンサは、例えばCCD又はCMOSデバイスであってよい。第1の画像センサ222は、光学部品又は他の集束システムを伴って構成され、下向きの視野を提供するように構成されてよい。図2に示すように、第1の画像センサ222の視野228は、細長い矩形領域として示されており、この領域は、自動較正ウェハー200が、較正ウェハー260、エッジリング262、及びウェハー支持体252の上方で所定の高さ又は高さ範囲に(例えば、ウェハーをウェハー支持体252に送達するときに、ウェハーハンドリングロボットのエンドエフェクタが、通常、存在するであろう高さに)位置決めされているときに、較正ウェハー260の外側エッジ、エッジリング262の内側及び外側エッジ、並びにウェハー支持体252の外側エッジの全体にわたって広がっている。第1の画像センサ222の各々の視野228は、様々な形状、例えば、円形又は楕円形のいずれかであってよく、また、半径方向外向きに延びる量が図示したものよりも小さくてよい。例えば、いくつかの実現形態では、第1の画像センサ222の視野228は、エッジリング262の内側エッジをキャプチャするがエッジリング262の外側エッジをキャプチャしない程度にのみ十分に遠くまで延びてよい。第1の画像センサ222が較正ウェハー260の外側エッジ及び、使用される場合はエッジリング262の内側エッジの概ね真上に位置するように、第1の画像センサ222を位置決めすることにより、第1の画像センサ222は、画像内の様々な基準部分、例えばそのような構成要素のエッジ、の間に存在し得るいかなるギャップのサイズもより正確に反映し得る画像データを取得するように位置決めされてよい。具体的には、そのような画像センサの配置が、高さの不整合がギャップサイズの決定に及ぼし得る影響を低減させる場合があり、それにより、より正確なギャップサイズの推定につながる。例えば、画像センサが、例えば自動較正ウェハーの中心近くに取り付けられた画像センサの場合のように、自動較正ウェハーに対して非常に浅い角度にある視線に沿ってギャップの画像データを取得する場合、エッジリング又は較正ウェハーのいずれかの高さの僅かな変動が拡大され、ギャップサイズが、予測できない形で変動する場合がある。自動較正ウェハーの周辺の近くに画像センサを配置することにより、そのような影響が与え得る影響を大幅に低減させるように機能する場合がある。
第1の画像センサ222に加えて、自動較正ウェハー200は、場合によっては、更なる画像センサ、例えば中央に位置する下向きの第2の画像センサ224、を含んでよく、これは、自動較正ウェハーの中心の真下の画像を取得するように構成されてよい。そのような画像センサは、半導体ウェハーの中心が典型的には配置される場所の近くに、画像化される基準部分が位置するような較正ルーチンで使用されてよく、例えば、自動較正ウェハーに対するウェハー支持体の中心の場所を見つけることを支援するために、ウェハーを収容するウェハー支持体が、ウェハー支持体の中心に位置する十字線の基準マークを有し、それが第2の画像センサにより画像化されてよい。例えば、自動較正ウェハー200がウェハーハンドリングロボットのエンドエフェクタによりウェハー支持体上に位置決めされると、ウェハー支持体の場所をウェハーハンドリングロボットに教育することを促進するために、ウェハー支持体は、第2の画像センサ224により画像化され得る基準部分を中央に有してよい。そのようなセンサを使用して、自動較正ウェハーをウェハーハンドリングロボットのエンドエフェクタ上でセンタリングすることもできる。例えば、ウェハーハンドリングロボットのエンドエフェクタは、自動較正ウェハー200がエンドエフェクタ上で概ねセンタリングされている場合に、第2の画像センサ224により観察可能な場所に概ね位置決めされている基準部分を含んでよい。自動較正ウェハーを取り出すためにウェハーハンドリングロボットが作動される場合、基準部分が第2の画像センサ224の視野内に入るように、エンドエフェクタを自動較正ウェハーの下に移動させてよい。次いで、第2の画像センサ224に基準部分の画像を取得させてよく、その画像を分析して、自動較正ウェハー200の中心に対して基準部分が中心から、どの程度ずれているかを決定してよい。次いで、自動較正ウェハー200に対する基準部分の中心性のずれが許容可能な限度まで低減されるように、ウェハーハンドリングロボットにエンドエフェクタを再配置させることができる。本明細書で論じられる自動較正ウェハー200の他の実現形態では、半径方向ギャップデータ及び/又は中心性データを取得するために、画像センサ以外のセンサを使用してよいことが理解されるであろう。例えば、超音波センサを使用して、三次元基準部分を示し得る等高線マップを取得してよく、上述した距離及びギャップは、そのような距離及びギャップを画像データから決定できる方法と同様の方法で、それら等高線マップから決定されてよい。したがって、上述した基準部分の間のギャップ及び/又はウェハー支持体に対する自動較正ウェハー200の中心性、を評価するために使用できる任意のセンサを、上述した画像センサの代わりに使用してよいことが理解されるであろう。
自動較正ウェハー200のいくつかの実現形態はまた、1つ以上の振動センサ230、方位/傾斜センサ232(単数又は複数)、及び/又は1つ以上の近接センサ234などの、様々な非画像センサを含んでよい。
振動センサ230を使用して、様々な動作中に、例えば、ウェハーハンドリングロボットの動作中、又はリフトピンの後退又は伸長中に、自動較正ウェハーが経験する振動を監視してよい。例えば、いくつかのウェハーステーションでは、ウェハー支持体は、ペデスタル又は同様の構造体であってよく、複数の、例えば3つの、リフトピンを含んでよく、これらは、静電チャック(ESC)又は他のウェハー支持構造体に対して垂直に並進されてよい細いピンである。そのようなリフトピンは、典型的には、ウェハー支持体上でセンタリングされた半導体ウェハーにより規定される円形の境界内において正三角形状に構成される。したがって、リフトピンがウェハー支持体の表面に対して伸長位置にある場合、リフトピンは、そのウェハーステーションに存在するどんなウェハーでも支持する。リフトピンがウェハー支持体内に後退した場合、リフトピンにより支持されているウェハーは、ウェハー支持体の上面と接触する。リフトピンのそのような後退中に、ウェハーは、例えば機器の損耗により生じる、小さな振動を経験する場合がある。振動センサ(単数又は複数)を使用して、そのような振動の性質を評価し、リフトピン機構の健康状態の指標を提供することができる。振動センサは、例えば、加速度計、圧電振動センサ、光学距離測定センサ、又は光学マイクロフォン(そのようなセンサは、例えば、ウェハー支持体に対する自動較正ウェハーの基板の変位を測定することにより振動を検出し、それによりウェハーが経験した振動レベルに関する洞察を提供し得る)、及び他のタイプのセンサを含んでよい。
方位/傾斜センサ232(単数又は複数)を使用して、ウェハー支持体又は他の構成要素が自動較正ウェハー(したがって他のウェハー)を水平な状態で支持しているか否かを評価してよい。例えば、ウェハー支持体のリフトピンのうちの1つが他のリフトピンよりも短く又は長くなった場合、ウェハー支持体により支持されているウェハーは僅かな傾きを示すことになる。このような傾斜により、ウェハーの一方の側がウェハーの他方の側より先にウェハー支持体に接触する場合があり、これにより、ウェハーがウェハー支持体上に配置される状態に僅かな変動が生じる場合があり、状況次第では、ウェハー支持体上に配置している間に、リフトピンのいくつかとウェハーとの間で摺動移動が生じる場合がある(これにより、ウェハーが損傷を受ける及び/又は粒子汚染を生じさせる場合がある)。加えて、自動較正ウェハーがウェハー支持体上に配置された後、そのようなセンサを使用してウェハー支持体自体の水平度が評価されてよい。したがって、方位センサを有する自動較正ウェハーを使用して、ウェハー支持体と他の機器の両方の水平度を評価してよい。方位センサは、例えば、加速度計、及び傾きセンサ又は傾斜計を含んでよい。
図3は、自動較正ウェハー300を支持するように示されている伸長されたリフトピン372を有するウェハー支持体352の図を示す。リフトピン372を下向きに後退させて(及び/又はウェハー支持体352を上向きに並進移動させて)、自動較正ウェハー300をウェハー支持体352上に配置させることができる。
近接センサ234(単数又は複数)を使用して、ウェハーステーションにおける様々な構造体の高さを評価することができる。例えば、ウェハー支持体上の、例えばウェハー支持体のESC上の、エッジリング又はエッジリングの一部分の高さを、その円周の周りにおいて評価することが望ましい場合がある(エッジリングの円周方向の不均一な高さが、プロセスの不均一性を発生又は増加させる場合がある)。近接センサが自動較正ウェハーに設けられ、自動較正ウェハーとエッジリング(又は他の構造体)との間における直接的又は間接的な距離測定を可能にする場所に位置決めされると、得られたデータを使用して、エッジリング又はその一部分の高さが、その円周の周りでどれくらい均一であるかを決定することができる。
自動較正ウェハーの他の実現形態では、自動較正ウェハーをエッジリング上に配置して、エッジリングにより支持されることが可能なようにしてよい。いくつかのそのような実現形態では、自動較正ウェハーは、エッジリングの内径を超えて延びエッジリングの最上面上に載ってよい部分を有してよい(一方、エッジリングと共に使用されることが意図される半導体ウェハーは、典型的には、エッジリングの内径内に完全に含まれる)。しかしながら、他のそのような実現形態では、自動較正ウェハーは、エッジリングと共に使用されるように構成されている半導体ウェハーの直径と同様の直径を有するように寸法決めされてよい。いくつかのそのような実現形態では、エッジリングは階段状の内径を有してよく、例えば、エッジリングの上面は、エッジリングと共に使用される半導体ウェハーの直径よりも僅かに大きい直径を有してよく、及びエッジリングの底面は、それら半導体ウェハーの直径よりも僅かに小さい直径を有してよい。結果として得られる形状は、エッジリングにおける環状の凹んだ表面であり、これを使用して、処理中に半導体ウェハーを支持することができる。したがって、そのようなエッジリングにより支持されている自動較正ウェハーは、自動較正ウェハーを支持するウェハー支持体との間に小さなギャップを有する場合がある。近接センサを使用して、自動較正ウェハー/エッジリングの円周の周りの様々な場所において、このギャップのサイズを決定できる。結果として得られる測定値を分析して、ウェハー支持体と自動較正ウェハーとの間におけるエッジリングの部分での水平度又は厚さの変動を決定できる。
図4は、エッジリングの高さを決定するために使用してよい近接センサのセットを有する自動較正ウェハーの側面図を示す。図4では、エッジリング462がウェハー支持体452上に位置決めされている。自動較正ウェハー400は、エッジリング462の円周方向レッジ上に載るように位置決めされ、したがって、ウェハー支持体452の僅かに上で保持されている。図から分かるように、エッジリングは、レッジ領域において高さが不均一である。レッジの右側は左側よりも高く、その結果、自動較正ウェハー400は、ウェハー支持体452及びエッジリング462に対して僅かな角度を有する。自動較正ウェハー400内の近接センサ434は、各近接センサ434と、最も近い対向する表面、例えばウェハー支持体452の上面、との間の距離を測定するように構成されてよい。この場合、左近接センサ434は距離Δ1を測定し、右近接センサ434は距離Δ2を測定した。これらの距離を1つ以上の条件に対して評価して、エッジリングの高さが許容値を超えているか否かを判断することができる。例えば、いくつかの実現形態では、|Δ1-Δ2|>x、又はmax(Δ1、Δ2)>y、であれば、エッジリングは限界を超えるエッジリング高さを有すると考えることができ、是正処置が取られる場合があり、例えば新しいエッジリングの設置が要求される。
いくつかのそのような実現形態では、2セットの近接センサが自動較正ウェハー上に設けられてよい。一方のセットは、自動較正ウェハーとエッジリングとの間の距離測定値を取得するように位置決めされ、他方のセットは、自動較正ウェハーと、エッジリングの中央に配置された較正ウェハー又はウェハー支持体の表面など、エッジリング以外の構造体との間の距離測定値を取得するように位置決めされる。そのような実現形態では、自動較正ウェハーは、例えば、リフトピン又はウェハーハンドリングロボットにより支持されて、エッジリングのすぐ上の場所に位置決めされてよく、近接センサは、自動較正ウェハーとエッジリングとの間の、及び自動較正ウェハーと他の構造体との間の、距離測定値を取得するために使用されてよい。そのような実現形態では、自動較正ウェハーは、半導体処理ツールで使用される典型的な半導体ウェハーよりも大きく寸法決めされ(又はその直径を超えて突出する部分を有し)、その結果、自動較正ウェハーは、十分なマージンでエッジリングに半径方向にオーバーラップして、自動較正ウェハーに取り付けられた近接センサがエッジリングに半径方向にオーバーラップし、したがってエッジリングの上向きの表面とそれら近接センサとの間の距離を決定することが可能になる。エッジリングの中央開口部に半径方向にオーバーラップするように、他の近接センサを自動較正ウェハー上に位置することができ、それにより、これら近接センサが、自動較正ウェハーと、例えば、エッジリングの中央に配置された較正ウェハー、又はそのようなウェハーが存在しない場合はウェハー支持体の露出面、との間の距離測定値を取得することが可能になる。
近接センサの両方のセットから同時の測定値を取得し、例えば、自動較正ウェハー/エッジリング間の距離を、対応する自動較正ウェハー/ウェハー支持体間の距離又は自動較正ウェハー/較正ウェハー間の距離から差し引くことにより、各近接センサの場所におけるエッジリング高さの推定値を決定してよい。
図5は、2セットの近接センサを有する別の自動較正ウェハーの側面図を示し、各セットは異なる直径の円形経路に沿って位置している。図5では、自動較正ウェハー500が、ウェハーハンドリングロボットのエンドエフェクタ558により、ウェハー支持体552及びエッジリング562の上方で支持されている。自動較正ウェハー500が名目上はエッジリング562の上方でセンタリングされている場合、最も外側の近接センサ534が、エッジリング562に半径方向にオーバーラップする半径方向位置に位置し、最も内側の近接センサ534が、エッジリング562の内部に半径方向にオーバーラップする半径方向位置に位置している。近接センサ534を制御して、近接センサ534と近接センサの直下の表面との間の距離、例えば、距離Δ1a、Δ2a、Δ1b、及びΔ2b、を同時に決定してよく、これを評価して、エッジリングの高さが許容範囲を超えて変化しているか否かを判断してよい。例えば、|Δ2a-Δ2b|>x若しくはmax(Δ2a,Δ2b)>yであれば、又は|(Δ1a-Δ1b)-(Δ2a-Δ2b)|>x若しくはmax((Δ1a-Δ1b),Δ2a-Δ2b))>yであれば、エッジリングの高さは許容可能な閾値を超えていると考えられ得る。いくつかのそのような実現形態では、この測定を使用して、エッジリングリフトピンを作動させて、エッジリングの高さをウェハー支持体よりも上に、例えばESCよりも上に、調整して、エッジリングの高さをウェハー支持体、例えばESC、よりも上に維持することができる閉ループシステムを作成することができる。同様の手法をエッジリングリフトピンの初期較正に使用して、例えば、ウェハー支持体に対してエッジリングを平らにするために、各エッジリングリフトピンが個々の高さがどれ程でなければならないかを決定することができる。明確にするために、ウェハー支持体は、複数セットのリフトピンを有してよく、例えば、あるセットは、半導体ウェハーが配置されることになるウェハー支持体の領域内の場所に位置するリフトピンを含んでよく、別のセットは、その領域の外側に位置するがエッジリングが占めるウェハー支持体のゾーン内にあるリフトピンを含んでよいことに留意されたい。リフトピンの各セットは、半導体ウェハーを持ち上げるか若しくは降ろすために、又はエッジリングを持ち上げるか若しくは降ろすために別々に作動させてよい。
例えば、容量性距離センサ、誘導性距離センサ、光学ベースの距離センサなどを含む様々なタイプの近接センサが使用されてよい。場合によっては、自動較正ウェハーは、1つ以上の他のタイプのセンサ、例えば、温度センサ、圧力センサ、湿度センサ、光センサなども含んでよい。
自動較正ウェハーに含まれる様々なセンサは、1つ以上の第1のプロセッサ210及び1つ以上の第1のメモリ212を含んでよい第1のコントローラ208に通信可能に接続されてよい。第1のコントローラ208はまた、電源214、例えば、電池、キャパッテリ(capattery)、又は他の電源に電気的に接続されてよい。いくつかの実現形態では、例えば自動較正ウェハー200がドッキングステーション内に配置されるとき、例えば自動較正ウェハー200を格納するために使用されるドッキングステーションに位置する充電フィーチャに位置合わせされた場所に配置された電気接触ピンにより、電源214が充電フィーチャに動作可能に接続されてよい。図2に示す実現形態では、無線充電機能216が示され、これは、例えば、Qi互換誘導充電コイルなどの誘導充電コイル、又は他の好適な無線充電インターフェースであってよい。そのような場合、自動較正ウェハー200を格納するために使用されるドッキングステーションが、自動較正ウェハー200がその中に配置されたときに自動較正ウェハー200を充電するように構成されている同様の無線充電インターフェースを有してよい。
第1のコントローラ208はまた、第1の無線通信インターフェース、例えば、WiFi、Bluetooth、又は他の無線通信インターフェースに通信可能に接続されてよく、それにより、コマンド及び/又はデータが、第1のコントローラ208、したがって自動較正ウェハー200から、及び/又はこれらに送信されてよい。例えば、自動較正ウェハー200とインターフェースする半導体処理ツールが、1つ以上の第2のプロセッサと1つ以上の第2のメモリとを有する第2のコントローラを含んでよい。第2のコントローラは、第2の無線通信インターフェースに通信可能に接続されてよく、第2の無線通信インターフェースは次いで、自動較正ウェハーの第1の無線通信インターフェースとインターフェースするように構成されてよい。したがって、自動較正ウェハー200は、半導体処理ツールと無線で通信することができ、それにより、情報、コマンド、及び他のデータが自動較正ウェハー200と半導体処理ツールとの間で送信されることが可能になる。
図6は、例示的な自動較正ウェハーの写真である。自動較正ウェハー600は、この例では再充電可能電池である電源614を含む様々な構成要素間の電気的接続を提供するプリント回路トレースを有する基板602、プロセッサ610、メモリデバイス612、及び無線充電中に再充電可能電池に誘導的に電力を伝達するために使用できる無線充電機能616、を含む。図6ではまた、基板602の周囲に等間隔で間を空けた場所に取り付けられた3つの第1の画像センサ622、並びに中心に取り付けられた第2の画像センサ224が見える。第1の画像センサ622の各々の概ね近傍に、対応する近接センサ634が位置し、これは、この例では容量性近接センサである。
図7は、別の例示的な自動較正ウェハー700のラインで描いた平面図を示す。この例では、自動較正ウェハー700は略円形の基板702を有し、基板は外周の周りに間隔を空けて配置された3つのローブを有し、各ローブが、対応する第1の画像センサ722を収容している。この例では、基板702は一般に、典型的な半導体ウェハーと同じ直径を有する。ローブはこの直径を超えて延び、第1の画像センサ722の感光性領域が、例えば、下に配置された半導体ウェハーの外側エッジの上方にセンタリングされ、自動較正ウェハー700の下方にセンタリングされるように、第1の画像センサ722を位置決めすることを可能にする。この例における自動較正ウェハー700はまた、2つの電源714、例えば再充電可能電池、を含み、この電源は、無線充電機能716、例えば誘導充電コイル、を使用して充電されてよい。電池は、自動較正ウェハー700の様々な電気構成要素、例えば、プロセッサ710、メモリ712、無線通信インターフェース718、第1の画像センサ722、第2の画像センサ724、近接センサ734、及び方位又は傾斜センサとして使用され得る加速度計736に電力を供給してよい。
図8a~図8iは、自動較正ウェハーを使用する様々な段階における半導体処理ツールの概略図を示す。図8aには、半導体処理ツールの一部分が示される。半導体処理ツールの図示された部分は、2つのウェハーステーション844a及び844bを含むが、ツールは、更に別のウェハーステーションも含んでよい。各ウェハーステーションは、半導体処理ツールにより実施される様々な作業中に1つ以上のウェハーを配置できる場所に対応している。ウェハーステーションは、例えば、ただし限定するわけではないが、ツールのプロセスチャンバ(単数又は複数)内、処理前又は後にウェハーを保管するために使用されるバッファ内、圧力が異なる環境、ロードポート、ロードポートにドッキングできる前開き一体型ポッド(FOUP)などの間でウェハーを移送することを可能にするエアロック又はロードロック内、に存在する。図8aでは、ウェハーステーション844aは、半導体処理チャンバ850により提供されている。対照的に、ウェハーステーション844bは、自動較正ウェハー800の保管専用のドッキングステーション868により提供される(しかし、いくつかの実現形態では、そのような専用のドッキングステーションが含まれない場合がある)。ドッキングステーション868は、自動較正ウェハー800を充電するための特徴(図示せず)を有し得るか、そうでなければ、自動較正ウェハー800の様々な態様とインターフェースするように構成され得る。いくつかの実現形態では、ドッキングステーションは、真空移送モジュール(VTM)内に位置して(又はそれに取り付けられて)、真空移送モジュール内のウェハーハンドリングロボットによりアクセスすることが可能であってよく、次いで、ウェハーハンドリングロボットが自動較正ウェハーを使用して訓練されてよい。他の実現形態では、ドッキングステーションは、装置フロントエンドモジュール(EFEM)又は他の大気圧又は大気圧近傍圧力の場所に位置していてよく、この場合、EFEM内に位置するウェハーハンドリングロボットを使用して自動較正ウェハーを最初に取り出し、次いで、VTM内に位置する別のウェハーハンドリングロボットに移送されてよい。
各ウェハーステーション844は、ウェハーステーション844aのウェハー支持体852a/ペデスタル854などの関連するウェハー支持体852を有してよい(ウェハーステーション844b内にはウェハー支持体が示されていないが、ウェハーステーションもウェハー支持体を有してよく、ウェハーステーション内に自動較正ウェハー800が配置されたときに、ウェハー支持体が自動較正ウェハーを収容してよい)。場合によっては、ウェハーステーションは、アクティブウェハーセンタリング(AWC)システム866に関連付けられてよく、これにより、関連するウェハーステーション844にウェハーが導入される又は除去される際に、ウェハー中心場所の測定値を取得することが可能になってよい。この例では、AWCシステム866は、ウェハーステーション844aに関連付けられており、2つの垂直に向いた光学ビームセンサ(AWCシステム866内のドットにより表される)を含み、ウェハーのエッジが、いずれかの光学ビームをいつ横切ったかを検出してよい。先に論じたように、AWCシステム866を使用して、特定の既知の基準フレームに対して、ツールのウェハーハンドリングロボット856のエンドエフェクタ858により支持されているウェハーの中心場所を決定することができ、それにより、ウェハーを所望の場所に配置する前に、実施する必要があり得る何らかの位置決め補正に関して決定することが可能になる。
図8aに示すように、ウェハーハンドリングロボット856は、エッジリング862をウェハー支持体852a/ペデスタル854上に配置するための準備として、エンドエフェクタ858上でエッジリング862を支持している。自動較正ウェハー800は、暫定的に、ウェハーステーション844b/ドッキングステーション868内に一時的に保管されている。
図8bでは、ウェハーハンドリングロボット856が作動されて、ウェハー支持体852a/ペデスタル854上で名目上はセンタリングされた場所にエッジリング862を配置し、更に作動されて、ウェハーステーション844b/ドッキングステーション868から自動較正ウェハー800を取り出す。
図8cでは、ウェハーハンドリングロボット856は、ウェハーステーション844b/ドッキングステーション868から自動較正ウェハー800を取り出し終えて、自動較正ウェハーをウェハー支持体852a/ペデスタル854及びエッジリング862上に位置決めする準備をしている。
図8dでは、ウェハーハンドリングロボット856は、自動較正ウェハー800をウェハー支持体852a/ペデスタル854及びエッジリング862上に位置決めするように、エンドエフェクタ858を伸長させている。したがって、自動較正ウェハー800の外側エッジに沿って間隔を置いた3つの点線の矩形により示される、自動較正ウェハー800の下向きの第1の画像センサ、の視野が、エッジリング862、及びウェハー支持体852a/ペデスタル854の1つ以上のフィーチャを含むように、自動較正ウェハー800が位置決めされる。次いで、第2のコントローラ842は、自動較正ウェハー800の第1のコントローラに、例えば、エッジリング862の内側エッジと、ウェハー支持体852a/ペデスタル854の基準部分、例えばウェハー支持体852aのESCの外側エッジ、との間のギャップの画像データを、第1の画像センサから取得させる。先に論じたように、これらのギャップを使用して、エッジリング862の中心がウェハー支持体852a/ペデスタル854の中心に対してオフセットされている量を決定してよい。このオフセットが許容閾値を超えている場合、オフセットを減らすためにエッジリングが再配置されてよい。この例では、エッジリング862は許容できる程度に配置されており、ウェハーハンドリングロボット856は、図8eに示すように、ウェハーステーション844aから自動較正ウェハー800を除去し、それをウェハーステーション844bに戻してよい。
図8fでは、ウェハーハンドリングロボットは、較正ウェハー860を取り出すように作動されており、較正ウェハーもまた、ドッキングステーション868内に、例えば自動較正ウェハー800の下方又は上方に格納されてよく、又は完全に異なる場所から、例えばロードロック又はエアロックから取得されてよい。較正ウェハー860は次いで、ウェハーステーション844a/半導体処理ステーション850内に配置されてよく、図8gに示すように、エッジリング862の中心に対して名目上センタリングされるようにウェハー支持体852a/ペデスタル854に移送されてよい。
図8hでは、ウェハーハンドリングロボットが再び作動されて、自動較正ウェハー800をウェハーステーション844b/ドッキングステーション868から取り出している。図8iでは、ウェハーハンドリングロボットが更に作動されて、自動較正ウェハー800を、ウェハー支持体852a/ペデスタル854、較正ウェハー860、及びエッジリング862の上に位置決めしている。図8dと同様に、次いで自動較正ウェハー800を制御して、エッジリング862と較正ウェハー860との間のギャップ、例えばギャップ864、の画像データを取得してよく、それにより、較正ウェハー860の中心とエッジリング862の中心との間のいかなるオフセットをも決定することが可能になる。
上で論じたような、自動較正ウェハーを用いて実施できる様々な手法について、図9~図14を参照して以下でより詳細に調べる。
図9は、自動較正ウェハーを使用してウェハーステーションにおける構造体の基準点の場所を決定する手法のフローチャートを示す。そのような手法は、例えば、ウェハーが配置され得る(又は取り出され得る)様々な場所をウェハーハンドリングロボットに教育する際に使用されてよい。ブロック902において、ウェハーハンドリングロボットのエンドエフェクタを使用して自動較正ウェハーが取り出されてよい。ブロック904において、自動較正ウェハーがウェハーハンドリングロボットのエンドエフェクタ上にセンタリングされてよい(いくつかの実現形態では、ブロック902及び904が同時に実行されてよい)。例えば、自動較正ウェハー中心(又は自動較正ウェハー上の他の既知の基準点)がエンドエフェクタの既知の基準点上にセンタリングされるように、自動較正ウェハーがエンドエフェクタ上に配置されてよく、それにより、2つの基準点間の空間的関係が確立され、自動較正ウェハーを使用して取得された測定値を、ウェハーハンドリングロボットにより使用される座標系にマッピングすること又は変換することが可能になる。
エンドエフェクタへの自動較正ウェハーのそのような配置は、物理的インデックスフィーチャの使用、又は自動較正ウェハーがエンドエフェクタに適切に位置決めされていることを保証する他の接触に基づく手法の使用を含む、任意の好適なメカニズムにより実現できる。しかしながら、場合によっては、代わりに自動較正ウェハー自体の画像化機能を使用して、自動較正ウェハーをエンドエフェクタ上で確実にセンタリングしてよい。例えば、自動較正ウェハーをエンドエフェクタ上にロードする直前に、自動較正ウェハーがエンドエフェクタの上で位置決めされてよく、自動較正ウェハーのうちの1つ以上の画像センサを起動させて、エンドエフェクタ又はエンドエフェクタ領域の画像を取得させてよい。エンドエフェクタの画像化された部分は、例えば、エンドエフェクタ上の基準点、例えばエンドエフェクタ上に完璧に配置された理論上の半導体ウェハーのXY中心点と一致するエンドエフェクタ上の場所、を画定する基準部分を含んでよい。次いで、この画像データを分析して、自動較正ウェハーの基準点、例えば自動較正ウェハーの中心が、エンドエフェクタの基準点/基準部分からオフセットしている程度が決定されてよい。次いで、ウェハーハンドリングロボットが作動されて、自動較正ウェハーがエンドエフェクタに配置される前に、このオフセットを低減又は相殺させるようにエンドエフェクタを移動させることができ、それにより、自動較正ウェハーはエンドエフェクタ上でセンタリングされる。
ブロック906において、較正のために、例えば、ウェハーステーションの構造体、例えばウェハー支持体、における、ウェハーステーションに送達されるウェハーの中心が位置するように意図されている基準点の決定のために、ウェハーステーションが選択され得る。ブロック908において、ウェハーハンドリングロボットが作動されて、例えば、自動較正ウェハーが、選択されたウェハーステーションのウェハー支持体の基準点上に概ねセンタリングされるように、選択されたウェハーステーションの上にエンドエフェクタ及び自動較正ウェハーが位置決めされてよい。そのような初期位置決めは、例えば、システムにおける様々な構成要素の設計された通りの場所に基づいて決定されたウェハー支持体の基準点の場所の推定に基づくことができ、これにより、一般に、ほとんどの場合、配置精度を1ミリメートル以内又は数ミリメートル以内にすることを可能にできる。
ブロック908において、選択されたウェハーステーションに位置するターゲット構造体上の基準部分(単数又は複数)の画像データを、自動較正ウェハーに取得させてよい。基準部分(単数又は複数)は、例えば、ウェハーステーションに送達されるウェハーの中心が位置するように意図されている、ウェハーステーションの基準点に関連付けられてよい。例えば、選択されたウェハーステーションにおけるウェハー支持体のESCの外側エッジは、基準部分として機能し得る。そのような基準部分は、ウェハーステーションの基準点を直接的に示すわけではない場合があるが、それでも明確に画定し得る。例えば、ESCの円形又は円弧状のエッジが、基準点として機能する中心点を画定し得る。別の例では、ウェハー支持体は、基準点を直接マークするある種の基準部分、例えばエッチングされた「+」又は他のマーキング、を含んでよく、例えば、ウェハー支持体の中心を基準点にすることができ、「+」における2本の線の交点が基準点を示すことができる。
ブロック912において、自動較正ウェハーに対する、選択されたウェハーステーションの構造体、例えばウェハー支持体、の基準点の場所が、基準部分の画像データに基づいて決定されてよい。例えば、画像データは、構造体の基準点が、自動較正ウェハーの基準点から、例えば自動較正ウェハーの中心から、自動較正ウェハーの座標系において(0.3mm,0.5mm)のXYオフセットを有することを示し得る。
次いで、ブロック914において、構造体の基準点の場所がウェハーハンドリングロボットの座標系に対して決定されてよい。例えば、ブロック912において自動較正ウェハーの座標系に対して決定されたXYオフセットは、例えば、自動較正ウェハーの座標系とウェハーハンドリングロボットの座標系との間の予想される角度ずれに対応するために、ウェハーハンドリングロボットの座標系における等価な座標に変換するために、座標系変換を受けてよい。
図9の較正手法が使用される場合、場合によっては、この手法を実現する前に、自動較正ウェハーを較正することが望ましい。例えば、自動較正ウェハーの基準点、例えば中心点に対して、画像データを取得するために使用される画像センサ(単数又は複数)の位置を確立して、そのようなセンサから決定される場所情報が適切に処理されるようにすることが望ましい場合がある。各画像センサは、例えば、各画像センサに固有の座標系であって、自動較正ウェハーの基準点から特定のXY距離及び/又は回転角度だけオフセットされた座標系において、(そのようなセンサの各々が有し得るピクセルの矩形アレイ又は線形アレイに基づいて)XY場所データを提供しているものと考えることができる。自動較正ウェハーを較正することにより、座標系、各画像センサに対して、そのようなXY及び角度オフセットを決定することが可能になる。そのとき、その後に画像センサデータから決定されるいかなる場所も、次に、自動較正ウェハーの基準点の座標系に対して正確に位置するように適切に変換されてよい。
そのような較正の例では、自動較正ウェハーは、インデックスピン又は他の位置合わせ機能を有する固定具内に配置されてよい。インデックスピン又は他の位置合わせ機能は、自動較正ウェハーの外側エッジに接触して、基準部分の上に自動較正ウェハーがセンタリングされるように物理的に制約する。基準部分は、固定具の一部であって、自動較正ウェハーの制約された外側エッジに対してセンタリングされていることが分かっている。いったん自動較正ウェハーが固定具内に取り付けられ、基準部分の上でセンタリングされると、中央に取り付けられた画像センサに基準部分の画像を取得させてよく、次いで、例えば、どのピクセル(単数又は複数)が、基準部分により示される中心点と一致するかについて判断し、それにより、中央に取り付けられた画像センサの画像から得られた任意の場所データを基準点に関連付けられた座標系に変換するために、後で使用され得る情報を提供してよい。同様の基準部分が、固定具内で他の画像センサの視野と一致する場所に設けられて、使用前に全ての画像センサの較正を可能にできる。
図10は、自動較正ウェハーを使用してウェハーステーションにおける2つの構造体の相対的な位置決めを決定する手法のフローチャートを示す。図10の手法は、ブロック1002において始まり、ここでは、半導体処理ツールのウェハーハンドリングロボットを使用して、例えば自動較正ウェハーを保管するために使用されるドッキングステーション又は他の保持領域から、自動較正ウェハーが取り出される。ブロック1004において、較正のために半導体処理ツールのウェハーステーションが選択されてよい。相対的位置決めが決定されるべき構造体は、選択されたウェハーステーションにおいて既に位置していると想定される。例えば、選択されたウェハーステーションは、例えばウェハー支持体(第2の構造体)上に配置されたエッジリング(第1の構造体)を有してよい。
ブロック1006において、ウェハーハンドリングロボットを作動させて、選択されたウェハーステーションのウェハー支持体の上に自動較正ウェハーを位置決めしてよい。ウェハーハンドリングロボットは、例えば、選択されたウェハーステーションのウェハー支持体/エッジリングの上方に自動較正ウェハーが名目上はセンタリングされるように位置決めされ、それにより、第1の画像センサが2つの構造体の基準部分、例えば、エッジリングの内側エッジ、及びウェハー支持体のフィーチャのエッジ、例えばウェハー支持体のESCの外側エッジ、の画像を取得することを可能にする形で、自動較正ウェハーの外周に沿って位置決めされた第1の画像センサをエッジリング及びウェハー支持体の上方に位置決めさせてよい。ブロック1008では、自動較正ウェハーにそのような画像を取得させてよい。ブロック1010では、画像が分析されて、例えば各画像における基準部分間のギャップサイズが決定されてよい。例えば、エッジ検出アルゴリズムを使用して、各画像においてエッジリングの内側エッジ及びウェハー支持体のエッジを識別し、エッジの各ペア間のギャップの相対距離が決定されてよい。エッジの各ペア間の決定されたギャップは、第1の画像センサと画像化された構造体との間の想定される垂直距離に基づいて推定できる。このような推定値はいくぶんは不正確な場合があるが、通常は各画像で同様にスケーリングされている。各構造体に対する基準部分が共通の基準円に沿って位置している場合、例えば、互いに全て同一半径方向にある、エッジリングの円弧状の外側エッジ又は内側エッジ(又はその単一の円形エッジ)が、エッジリングに対する基準部分として機能することができ、互いに全て同一半径方向にある、ウェハー支持体の円弧状エッジ(又はその単一の円形エッジ)が、ウェハー支持体に対する基準部分として機能することができる。他の基準部分が同様の効果のために使用できること、及び本明細書で論じられる手法が、任意の好適な基準部分及び適用可能なアルゴリズムに一般的に適用可能であって、選択された基準部分に基づいてそのような構造体間の相対的オフセットを決定できることが理解されるであろう。
ブロック1010において、2つの構造体の画像化された基準部分間の相対的なギャップサイズに基づいて、両方の基準点、例えば構造体、の間のオフセットに関して判断がなされてよい。このようなオフセットを閾値オフセットと比較して、2つの構造体の中心性のずれが許容範囲内にあるか否かを調べることができる。そのような閾値オフセットを、特定の半導体処理手法の不均一性要件に基づいて確立することができる。非中心性の測定値が許容範囲内にない場合、適切なアクションを実行してよい。例えば、測定された中心オフセットに基づいて構造体うちの1つを再配置し、非中心性の測定値が許容範囲内になるまで、この手順を繰り返してよい。
図11は、自動較正ウェハーを使用してウェハー支持体の中心点の場所を決定する手法のフローチャートを示す。ブロック1102において、ウェハーハンドリングロボットのエンドエフェクタを使用して自動較正ウェハーが取り出されてよい。ブロック1104において、ウェハーハンドリングロボットエンドエフェクタに対する自動較正ウェハーの位置が決定されてよい。ブロック1102及び1104は、場合によっては、タンデムで実行されてよい。例えば、自動較正ウェハーがエンドエフェクタの基準点にセンタリングされ、したがってエンドエフェクタに対する自動較正ウェハーの位置が確立されるように、(本明細書で前述したように)ウェハーハンドリングロボットを制御して、エンドエフェクタに自動較正ウェハーをピックアップさせてよい。
ブロック1106において、ウェハーステーションが、そのウェハー支持体の中心点を決定するために選択されてよい。ブロック1108において、ウェハーハンドリングロボットを作動させて、選択されたウェハーステーションのウェハー支持体の上に、例えばそのウェハーステーションに関連付けられたデフォルトの中心場所に、自動較正ウェハーを位置決めする。
ブロック1110において、例えば自動較正ウェハーの1つ以上の画像センサを使用して、ウェハー支持体の基準部分(単数又は複数)の画像データが取得されてよい。そのような基準部分は、例えば、ウェハー支持体の中心に位置するエッチングされたパターンであってよい。代わりに、基準部分は、ウェハー支持体の一部分の、例えばウェハー支持体の一部であるESCの一部分の、ウェハー支持体の中心点を規定する、円形エッジであってよい。前者の場合、自動較正ウェハーの中心の近くに又は中心に位置する画像センサを使用して、基準部分が画像化されてよい。後者の場合、自動較正ウェハーの外側エッジの近くに位置する画像センサを使用して、基準部分が画像化されてよい。
ブロック1112において、画像データが分析されて、自動較正ウェハーの基準点、例えば中心点と、基準部分により規定される、選択されたウェハーステーションの基準点との間のオフセットが決定されてよい。ブロック1114において、ブロック1112で決定されたオフセットがウェハーハンドリングロボットの座標系に変換されてよい。必要に応じて、ブロック1114で決定されたオフセットを考慮するために、選択されたウェハー支持体の中心に対応する「デフォルト」場所を更新してよい(代わりに、デフォルト場所をそのままにして、そのウェハーステーションにおけるその後のウェハー配置のそれぞれに対するオフセットに基づいて調整されてよい)。いくつかの実現形態では、次いで、ウェハーハンドリングロボットを作動させて、自動較正ウェハー中心が、ウェハー支持体に対する更新された中心場所に位置決めされるように、ウェハーハンドリングロボットに、自動較正ウェハーをシフトさせてよい(ブロック1108と同様に)。そのような実現形態では、必要に応じて、ブロック1110~1114を繰り返して、更新されたセンタリングされた位置が適切に中心に置かれていることを確認してよい。更新されたデフォルト場所(又はデフォルト場所の補正)が、依然として許容可能な限界内にない中心間オフセットを生成することが見出された場合、このプロセスを1回以上繰り返してよい。
上記の議論は、自動較正ウェハーを使用して、ウェハー支持体又は他の構造体の中心の絶対場所をウェハーハンドリングロボットにより使用される座標系において決定することに焦点を合わせてきたが、以下で更に説明するように、自動較正ウェハーを使用して、2つの構成要素間の相対的な位置決めを決定してよい。
図12は、ウェハー支持体上のエッジリングの配置を較正する手法のフローチャートを示す。ブロック1202では、半導体処理ツールのウェハーハンドリングロボットを作動させて、ウェハーハンドリングロボットにウェハーステーションからエッジリングを取り出させてよい。ブロック1204において、ウェハーハンドリングロボットを更に作動させて、エッジリングを、半導体処理ツールの選択されたウェハーステーションのウェハー支持体、例えばペデスタル上に配置させてよい。ブロック1202及び1204は任意選択であってよい。なぜなら、エッジリングは手動でウェハー支持体上に配置若しくは設置されたかも知れず、又は、以前に、例えば別の動作フェーズ中に、ウェハー支持体上に配置若しくは設置されたかも知れないからである。
ブロック1206において、ウェハーハンドリングロボットを制御して、ウェハーハンドリングロボットにドッキングステーション又は他の場所から自動較正ウェハーを取り出させてよい。ブロック1208において、ウェハーハンドリングロボットを更に作動させて、自動較正ウェハーを、選択されたウェハーステーションのウェハー支持体(及びその上に位置決めされるエッジリング)上の場所に位置決めさせてよい。
いったん、選択されたウェハーステーションのウェハー支持体上に位置決めされると、ブロック1210において、自動較正ウェハーに、自動較正ウェハーのエッジカメラ、例えば第1の画像センサ、を使用して、エッジリングの内側エッジとウェハー支持体のフィーチャの外側エッジ(又は他の基準部分)、例えばウェハー支持体の一部であるESCの外側エッジ、との間のギャップの画像データを取得させてよい。
ブロック1212において、画像内の基準部分間のギャップの相対的なサイズに基づいて、エッジリングの中心とウェハー支持体の中心との間の推定オフセットが決定されてよい。ブロック1214において、推定されたエッジリング/ウェハー支持体オフセットが所定の閾値オフセットを超えたか否かに関して判断がなされてよい。推定されたエッジリング/ウェハー支持体オフセットが所定の閾値オフセットを超えた場合、本手法はブロック1216に進んでよく、そこでは、ウェハーハンドリングロボットが作動されて、ウェハー支持体の上方の位置から自動較正ウェハーが除去され、例えばドッキングステーションに(又は何らかの他の一時的保持場所に)戻されてよい。次いで、ブロック1218において、ウェハーハンドリングロボットが作動されて、選択されたウェハーステーションのウェハー支持体からエッジリングが取り出されてよい。例えば、ウェハーハンドリングロボットのエンドエフェクタをエッジリングの下に挿入することができるように、リフトピンを使用してエッジリングをウェハー支持体から持ち上げてよく、次いで、リフトピンをウェハー支持体内へと後退させることにより、エッジリングをエンドエフェクタ上に降ろしてよい。
ブロック1218において、ウェハーハンドリングロボットを使用して、ウェハー支持体からエッジリングを取り出した後、ウェハーハンドリングロボットを更に制御して、エッジリングのオフセットを考慮した新しい場所にエッジリングセンターが置き直されるように、エッジリングがウェハー支持体上に再配置されてよく、それにより、エッジリング及びウェハー支持体は、互いにより正確にセンタリングされる。ブロック1218の後、本手法はブロック1206に戻ってよく、エッジリング/ウェハー支持体中心オフセットの更なる評価がなされてよい。必要に応じて、本手法のこの部分は、閾値回数にわたって繰り返されてよく、又はエッジリングとウェハー支持体との間の推定された中心オフセットが所定の閾値オフセット内に入るまで繰り返されてよい。ブロック1214において、推定されたエッジリング/ウェハー支持体オフセットが所定の閾値オフセット内にあることが見出された場合、本手法は、ブロック1222に進んでよく、ここで、エッジリング配置の較正が完了したと考えてよい。
自動較正ウェハーを使用して2つの構造体間の相対的オフセットの評価が、ウェハーハンドリングロボットのエンドエフェクタに対する自動較正ウェハーの精密な位置決めがなくても、又はその精密な位置決めの知識さえなくても、実現できることが理解されるであろう。特に、本明細書で論じられる手法は、自動較正ウェハーの第1の画像センサの視野が2つの構造体の基準部分間の様々なギャップを画像化できるように、自動較正ウェハーがエンドエフェクタ上に十分にセンタリングされている場合に使用されてよい。ウェハー支持体に対して他の構成要素を中心に置くために、例えばウェハー支持体に対して較正ウェハーを中心に置くために、同様の手法が使用されてよいこともまた理解されよう。
エッジリングについては、いったんエッジリングがウェハー支持体上に適切に配置されると、通常、エッジリングは、多数回のウェハー処理作業にわたって、その位置を維持し得る。しかしながら、較正ウェハーのセンタリングについては、較正ウェハーは、将来の作業において配置されるウェハーの代用又は代理として機能するだけである。したがって、本明細書で論じられる自動較正ウェハー手法を使用して、いったん較正ウェハーが所望の構造体、例えばウェハー支持体又はエッジリング上にセンタリングされると、較正ウェハーは、ウェハーハンドリングロボットを使用して、中心に置かれた場所から除去されて、アクティブウェハーセンタリング(AWC)システムを教育するために使用してよく、アクティブウェハーセンタリングシステムは、その結果、次いで、所与のウェハーハンドリングロボットの運動経路に関する、エンドエフェクタに対する較正ウェハーの所望の中心点を「学習」することができ、次いで、そのようなウェハーの中心点と学習された中心点との間のオフセットを決定するために、アクティブウェハーセンタリングシステムを使用して、ウェハーハンドリングロボットのエンドエフェクタ上へのウェハーの将来の配置が評価され得る。次いで、ウェハーハンドリングロボットを作動させて、この決定されたオフセットに対応する形で、ウェハーがウェハー支持体上に配置されてよい。エッジリングは、一般に、多数回の処理作業にわたって所定位置を維持する場合がある一方で、エッジリングは、時には交換される場合があり、そのような後続のエッジリングの配置中にも、そのようなエッジリングとエンドエフェクタとの間のいかなる位置ずれも補償するために、先に論じたような同様のAWC手法が実施されてよいことが理解されるであろう。
本明細書で論じられる手法を使用して、2つの可動構成要素、例えばエッジリングとウェハー、の間の相対的オフセットが決定されてよい。一例として、図13は、ウェハー支持体上のエッジリングに対するウェハーの配置を較正する手法のフローチャートを示す。
ブロック1302において、半導体処理ツールのウェハーステーションがブロック1302において選択されてよい。図12の手法と同様に、ブロック1304において、選択されたウェハーステーションのウェハー支持体上にエッジリングが配置され、次いでブロック1306において、例えば、図12に関して上で論じられたセンタリング手法を使用して、ウェハー支持体上でエッジリングがセンタリングされてよい。ブロック1304及び1306は任意選択であってよい。エッジリングはまた、選択されたウェハーステーションのウェハー支持体上に、他の手段、例えば手動配置により配置されている場合があり、又は選択されたウェハーステーションを選択する前に、ウェハー支持体上に既に配置されている場合がある。
ブロック1308において、ウェハーハンドリングロボットに、較正ウェハー、例えば処理用のウェハーと同じサイズ及び厚さを有する未処理又はダミーのウェハーを、保管場所から取り出させてよい。ブロック1310において、ウェハーハンドリングロボットは、較正ウェハーの中心が名目上、エッジリングの中心にセンタリングされるように、選択されたウェハーステーションのウェハー支持体に較正ウェハーを移送させてよい。
ブロック1312において、ウェハーハンドリングロボットを制御して、ドッキングステーション又はウェハーハンドリングロボットにアクセス可能な他の場所などの保管場所から自動較正ウェハーを取り出させてよい。ブロック1314において、ウェハーハンドリングロボットを制御して、較正ウェハー及び/又はエッジリングの中心点上に自動較正ウェハーが概ねセンタリングされるように、自動較正ウェハーを、選択されたウェハーステーションのウェハー支持体の上に位置決めしてよい。ブロック1316において、自動較正ウェハーを制御して、自動較正ウェハーのエッジカメラ、例えば第1の画像センサに、エッジリングと較正ウェハーとの間のギャップの画像データを取得させてよい。
ブロック1318において、画像データを分析して、画像内の相対的なギャップサイズに基づいて、エッジリングの内径と較正ウェハーの外径との間のウェハー/エッジリングオフセットを決定してよい。ブロック1320において、ウェハー/エッジリングオフセットが所定の閾値オフセットを超えるか否かに関して判断がなされてよい。そうである場合、本手法は、ブロック1322に進んでよく、そこで、ウェハーハンドリングロボットが作動されて、自動較正ウェハーがドッキングステーション(又は他の一時的な保持場所)に戻されてよく、次いで、ブロック1324に進み、そこで、ウェハーハンドリングロボットが更に作動されて、選択されたウェハーステーションのウェハー支持体から較正ウェハーが取り出されてよい。例えば、ウェハーハンドリングロボットのエンドエフェクタを較正ウェハーの下方に位置決めできるように、ウェハー支持体用のリフトピンに、較正ウェハーをエッジリングから持ち上げさせてよい。いったんそのように位置決めされると、リフトピンを更に制御して、較正ウェハーをエンドエフェクタの上に降ろすことができる。
ブロック1326において、ウェハーハンドリングロボットが作動されて、較正ウェハー中心が、ブロック1318にて決定されたウェハー/エッジリングオフセットを考慮した新しい場所に配置されるように、選択されたウェハーステーションのウェハー支持体上に較正ウェハーが再配置されてよい。本手法は次いで、ブロック1312に戻り、較正ウェハーとエッジリングとの間のギャップサイズの更なる自動較正ウェハーの画像化作業を開始してよい。較正ウェハー及びエッジリングのこの再配置及び中心性の再分析は、例えば、決定されたウェハー/エッジリングオフセットが所定の閾値を下回るまで、又はそのような繰り返しが所定の回数生じるまで、複数回実施されてよい。ブロック1320において、ウェハー及びエッジリングが互いに十分にセンタリングされたと判断された場合、すなわち、決定されたウェハー/エッジリングオフセットが所定の閾値内にある場合、本手法は、ブロック1328に進んでよく、ここで、ウェハー/エッジリング配置の較正が完了したと考えてよい。この時点で、ウェハーハンドリングロボットを使用して較正ウェハーをウェハーステーションから除去し、例えば、アクティブウェハーセンタリングシステムの訓練に使用してよい。これは、例えば、固定具又は他の機械的センタリングシステムを使用してウェハー支持体に対して手動でセンタリングされた較正ウェハーが使用される場合と同じ方法である。センタリングされたウェハーに基づく、又は較正されたウェハーにおいてウェハーハンドリングロボットのエンドエフェクタ上に別の方法で配置されたウェハーに基づく、アクティブウェハーセンタリングシステムの訓練は、業界でよく知られており、簡潔にするために本開示では詳細に説明されていない。
上述した手法術は、同様の結果を実現するために、様々な異なる方法で実施され得ることが理解されるであろう。例えば、複数のウェハーハンドリングロボット、又はデュアルアーム/エンドエフェクタを有するウェハーハンドリングロボット、を有するツールでは、1つのウェハーハンドリングロボットのアーム/エンドエフェクタを使用して、物体、例えば較正ウェハー及び/又はエッジリングを、ウェハー支持体上に配置又は再配置してよく、一方で、他のウェハーハンドリングロボットのアーム/エンドエフェクタを使用して、自動較正ウェハーを保持してよい。したがって、例えば、第1のアームを使用して、エッジリングをウェハー支持体上に配置し、次いで後退させてよく、次いで、第2のアームが、配置されたエッジリング上で自動較正ウェハーを移動させて、エッジリングとウェハー支持体との間の中心間オフセットの測定値を取得してよい。次いで、第2のアームを後退させてよく、必要に応じて、例えばリフトピンを使用して、エッジリングをウェハー支持体から持ち上げてよく、第1のアームを使用して、エッジリングを再配置して、エッジリングとウェハー支持体との間の中心間オフセットを補正してよい。次いで、第1のアームを引き抜いてよく、第2のアームは、自動較正ウェハーをエッジリング及びウェハー支持体の上で再び移動させて、中心間オフセットの第2の測定値を取得してよい。このプロセスは、エッジリングとウェハー支持体との間の中心間オフセットの所望の量が実現されるまで、必要に応じて繰り返されてよい。
自動較正ウェハーにより導かれる、ウェハー支持体上へのウェハー及び/又はエッジリングの配置が、繰り返しプロセスであって、そのプロセスでは、2つの構造体、例えば、ウェハーとウェハー支持体、エッジリングとウェハー支持体、又はエッジリングとウェハー、の間の相対的オフセットの推定値が自動較正ウェハーを使用して取得され、次いで、その推定値が使用されて、2つの構造体のうちの一方が、静止したままであってよい他方の構造体に対して再配置されるようにガイドされることが更に理解されるであろう。そのような自動較正ウェハーにより支援される配置及び評価は、一般に、測定されたオフセットが、所与の半導体処理ツールの所与の構成要素のセットについて、所定の最大許容可能オフセット内に入るまで繰り返されてよい。エッジリングと較正ウェハーの両方が自動較正ウェハーを使用するセンタリング作業を受ける場合、互いに対して直接センタリングされていない、3つの構成要素(エッジリング、ウェハー支持体、及び較正ウェハー)のうちのいずれかのペア間の相対的な中心オフセットについての更なる評価がなされてよい。例えば、エッジリングがウェハー支持体に対してセンタリングされ、次いで較正ウェハーがエッジリングに対してセンタリングされる場合、較正ウェハーは、ウェハー支持体に対して直接センタリングされていないであろう(エッジリングのセンタリングを介して間接的にセンタリングされているだけである)。そのような実現形態では、自動較正ウェハーを使用して、追加的に、ウェハー支持体に対する較正ウェハーの中心性を評価してよい。いくつかのそのような実現形態では、構造体の各ペアの所定の閾値オフセットは、3つの中心間オフセットのうちの2つが、対応する所定の閾値オフセット内にあることが可能となる一方で、第3の中心間オフセットが、対応する所定のオフセットを実際に超えるように選択されてよい。(もちろん、このシナリオが発生しないような所定の閾値オフセットを選択することも可能であるが、これにより、場合によっては、受け入れ難いプロセス均一性を許容することになる場合があり、又は、いくつかの所定の閾値を、一般に必要な値よりも小さくすることが必要になる場合があり、それにより、実施しなければならない可能性がある、センタリングの反復回数が増加する場合がある)。一般的に言えば、そのような実現形態では、閾値オフセットは、典型的には、閾値オフセットのコンプライアンスに関して、3回中2回成功/3回中1回失敗というシナリオがほとんどの場合に回避されるように選択されてよいが、例えば、エッジリングが、特定の方向に、所定のエッジリング/ウェハー支持体のオフセットの限界にてウェハー支持体に対して位置決めされ、較正ウェハーが、同じ方向に、所定のウェハー/エッジリングのオフセットの限界にてエッジリングに対して位置決めされている場合、較正ウェハーは、較正ウェハー/ウェハー支持体に特有の最大中心間オフセットを超え得る、ウェハー支持体からの最大中心間オフセットを有することになる。
そのような実現形態では、3回中2回成功/3回中1回失敗というシナリオが発生すると、半導体処理ツールは様々な是正措置を講じてよい。例えば、いくつかの実現形態では、半導体処理ツールのコントローラは、ウェハーハンドリングロボットに、較正ウェハー及びエッジリングの一方又は両方を除去させ、次いで、例えば、配置のために、より厳密な対応する所定の閾値オフセットを使用して、上述したのと同様の手法を使用して置き直させてよい。
いくつかの半導体処理ツールは、自動較正ウェハーを使用して、ウェハー支持体に対して両方の構成要素をセンタリングすることにより、較正ウェハー及びエッジリングの配置/センタリング作業の両方を実行してよいことも理解されるであろう(エッジリングをウェハー支持体に対してセンタリングし、較正ウェハーをエッジリングに対してセンタリングするか、又はその逆、の代わりに)。
いったん較正ウェハーがウェハー支持体又はウェハー支持体上にセンタリングされたエッジリングの上にセンタリングされ、次いでアクティブウェハーセンタリングシステムを訓練するために使用されると、訓練されたアクティブウェハーセンタリングシステムは、任意選択で、自動較正ウェハーを使用してテストされて、訓練されたアクティブウェハーセンタリングシステムが、確実にセンタリングされたウェハー配置をもたらすことが保証される。図14は、ウェハー配置の再現性を検証するためのそのような手法のフローチャートを示す(図14の手法は、アクティブウェハーセンタリングシステムが既に教育された後に実践されることが意図されている)。この手法は、アクティブウェハーセンタリングシステムが、ウェハー支持体に対してセンタリングされたウェハーで訓練されていることを前提としているが、適切な変更を加えて、例えばエッジリングに対してセンタリングされたウェハーを使用して訓練されたアクティブウェハーセンタリングシステムで使用してもよい。
ブロック1402において、半導体処理ツールのウェハーステーションが選択されてよい。選択されたウェハーステーションは、例えば上で論じた手法を使用して、以前にそのウェハー支持体上にセンタリングされた較正ウェハーを有することになり、そのウェハーステーションに関連付けられたアクティブウェハーセンタリングシステムは、その較正ウェハーのセンタリングされた場所に基づいて訓練されていることになる。ブロック1404において、半導体処理ツールのコントローラが、半導体処理ツールのウェハーハンドリングロボットに、半導体処理ツールの保持ステーションから、例えばバッファ、FOUP、又は他の場所から、較正ウェハーを取り出させてよい。ブロック1406において、選択されたウェハーステーションのウェハー支持体上に較正ウェハーが配置されるように、ウェハーハンドリングロボットが制御されてよい。
較正ウェハーをウェハー支持体上に配置した後、ブロック1408において、ウェハーハンドリングロボットに、例えばドッキングステーション又は他の保管場所から、自動較正ウェハーを取り出させてよい。ブロック1410において、自動較正ウェハーの第1の画像センサの各々が、その視野内に較正ウェハーのエッジとウェハー支持体のエッジとを有するように、ウェハーハンドリングロボットが作動されて、選択されたウェハーステーションの較正ウェハー及びウェハー支持体上に自動較正ウェハーが位置決めされてよい。
ブロック1412において、自動較正ウェハーに、較正ウェハーのエッジとウェハー支持体のエッジとの間のギャップの画像データを取得させ、ブロック1414において、ウェハー支持体の中心と較正ウェハーの中心との間のオフセットに関して決定がなされてよい。このオフセットは、後で参照するために保存されてよい。ブロック1416において、カウンタXがX+1にインクリメントされてよく、ブロック1418において、Xが所与の閾値Yを超えたか否かを判断してよい。Xは、手法の一部として実施されたテスト配置の数を表してよく、Yは、手法の一部として実施されることになるテスト配置の総数を表してよい。
ブロック1418において、XがYよりも大きくないと判断された場合、本手法は、ブロック1404に戻る前にブロック1420に進んでよい。ブロック1420において、較正ウェハーは、ランダム化されたオフセットを伴って保持ステーション内の元の場所に又は他の場所に戻されてよく、その後、ブロック1422において、ウェハーハンドリングロボットは、デフォルト又は「ホーム」位置に戻される。ランダム化されたオフセットは、通常の動作使用下でのウェハーの典型的な予想されるオフセット、例えば0.8mm未満のオフセット、以内となるように選択されてよい。したがって、ブロック1404において、較正ウェハーが、ウェハーハンドリングロボットにより再び取り出されるとき(ウェハーハンドリングロボットは、通常、較正ウェハーを取り出すために、毎回同じ位置に戻される)、較正ウェハーは、ウェハーハンドリングロボットのエンドエフェクタに対して、それに対応してランダム化されたオフセット位置を有することになる。ウェハー配置のランダム化が、代わりに、他の時間に、例えば保持ステーション又は他の場所から較正ウェハーを取り出す直前に、生じてよく、エンドエフェクタに対する較正ウェハーの位置が同様にランダム化されるように、ウェハーハンドリングロボットにランダム化された変位を与えてよいことも理解されるであろう。このようなランダム化は、通常動作中に保持ステーション内に配置されるウェハーの僅かな位置ずれを表すのに役立つ場合がある。
ブロック1418において、十分な試験ウェハー配置が実施されたと判断されると、本手法は、ブロック1424に進んでよく、そこで、Y回のウェハー配置に関して中心オフセットが評価又は分析されてよい。そのような分析は、様々な異なる分析手法又は試験のいずれかを含んでよく、例えば、試験ウェハー中心オフセットのキャプチャされた母集団の統計パラメータが決定され、対応する閾値と比較されてよい。例えば、オフセットの平均、中央値、及び標準偏差を決定し、そのような値に対応する閾値に対して評価して、試験手法の結果、ウェハー配置の許容可能な一貫性が得られたか否かを判断してよい。ブロック1426において、オフセットがそれらの閾値に対して比較されて(又は、より正確には、オフセットから導出された統計パラメータが、それらの対応する閾値と比較されてよい)、試験が成功したか否かが判断されてよい。ブロック1426における比較が、1つ以上の許容可能なパラメータを超えたことを示す場合、本手法は、ブロック1428に進んでよく、そこでエラー状態が生成されてよい。ブロック1426における比較が、1つ以上のパラメータが全て許容範囲内にあることを示す場合、本手法は、ブロック1430に進んでよく、そこで本手法は正常に完了してよい。
エッジリング配置の再現性を評価するために、同様の手法をまた、エッジリングを使用して実行し、例えば、エッジリング配置を繰り返し実施し、各配置間のエンドエフェクタ/エッジリングオフセットをランダム化してよいことが理解されるであろう。
図15は、エッジリングの高さを評価する手法のフローチャートを示す。ブロック1502において、半導体処理ツールのウェハーステーションが選択されてよい。選択されたウェハーステーションは、そのウェハー支持体上の所定位置にあるエッジリングを既に有している必要がある。例えば、図15の手法を、処理作業中に、そのような作業中にウェハー支持体上の所定位置に残したままのエッジリングを使用して、一定間隔で実施して、半導体ウェハー処理サイクルに繰り返し曝された結果として、エッジリングが不均一に(又は、均一性に関わらず、許容できない程度に)劣化したか否かを判断してよい。
ブロック1504において、自動較正ウェハーは、ウェハーハンドリングロボットにより取り出され、次いで、選択されたウェハーステーションのウェハー支持体に送達されてよい。ウェハー支持体への自動較正ウェハーのそのような送達は、例えば、リフトピンを用いてウェハーハンドリングロボットから較正ウェハーを持ち上げ、次いでリフトピンを後退させることにより自動較正ウェハーをエッジリング上に降ろすことにより、自動較正ウェハーをエッジリング上に直接配置することを含んでよい。
ブロック1508において、自動較正ウェハーの近接センサを使用して、自動較正ウェハーに、自動較正ウェハーとウェハー支持体との間の距離測定値を取得させてよい。いくつかの実現形態では、そのような距離測定値は、典型的には、自動較正ウェハーの円周の周りの少なくとも3つの場所に位置する近接センサを使用して取得されてよく、それにより、ウェハー支持体の上面により画定される平面に対する自動較正ウェハーにより画定される平面の向きに関して判断することが可能になる。2つの平面間の距離が、エッジリングの内径又は内径の近くにありエッジリング上にセンタリングされた直径の円を中心とする任意の点において、特定の閾値を超えると、これは、エッジリングの厚さが許容範囲外であること、そしてエッジリングを交換する必要があることを示す可能性がある。
ブロック1510において、ウェハーハンドリングロボットを使用して自動較正ウェハーがウェハー支持体から除去されてよく、ブロック1512において、得られた距離測定値が評価されてよく、測定された距離が、エッジリングが許容可能な高さ制限内にあることを示すか又はないことを示すかについて判断されてよい。例えば、近接距離のいずれかが所定の閾値を下回る(又は上回る)場合、これはエッジリングの高さが小さすぎる(又は高すぎる)ことを示し得る。エッジリングの高さを評価するために使用されてよい別のメトリックは、異なる距離測定値の間の変動である。例えば、自動較正ウェハーを使用する所与の測定サイクルについて、エッジリングに対する最大距離測定値と、そのエッジリングの最短距離測定値との差を、別の所定の閾値と比較して、エッジリングの円周の周りにおけるエッジリングの高さの変化が許容できない量であるか否かを判断してよい。ブロック1512において、距離測定値が許容範囲内にあると判断されると、本手法は、ブロック1516に進んでよく、そこで成功条件が決定されてよい。ブロック1512において、距離測定値が許容範囲内にないと判断されると、本手法は、ブロック1514に進んでよく、そこで、不具合又は障害状態が生成されてよい。そのような状態が、新しいエッジリングが取り付けられ、センタリングされ、高さ測定が実行されるまで、半導体処理ツールに、そのウェハーステーションでの更なる処理作業を停止させる場合がある。
先に述べたように、いくつかの実現形態では、自動較正ウェハーを使用して、半導体処理ツールの動的特性、例えばリフトピンの振動及び傾斜、も決定できる。図16は、リフトピンの振動を評価する手法のフローチャートを示す。
図16の手法は、ブロック1602において開始してよく、そこでは、リフトピンの振動評価のためのウェハーステーションが選択されてよい。ブロック1604において、ウェハーハンドリングロボットを作動させて、自動較正ウェハーを、例えばドッキングステーションなどの保管場所から取り出してよい。ブロック1606において、自動較正ウェハーは、選択されたウェハーステーションのウェハー支持体の上に位置決めされてよく、その後、ウェハー支持体のリフトピンにより、自動較正ウェハーがウェハーハンドリングロボットのエンドエフェクタから持ち上げられてよい。ブロック1608において、自動較正ウェハーにより、自動較正ウェハーの振動センサからの振動データの取得が開始されてよい。そのようなデータはまた、いくつかの実現形態では、これより先に、又は継続的に取得されてよいことが理解されるであろう。ブロック1610において、リフトピンを作動させて、自動較正ウェハーを、例えばウェハー支持体に対して垂直方向に移動させてよい。いくつかの実現形態では、そのような運動は、通常のウェハー配置作業中に半導体ウェハーが通常は経験することになるように、自動較正ウェハーをウェハー支持体上に降ろし、次いでそれを再び持ち上げることを含んでよい。他の実現形態では、リフトピンは、通常のウェハー配置運動に対応しない形で作動されてよいが、特定の振動応答を誘発する可能性がより高くなるように設計されてよい。いずれの場合も、自動較正ウェハーは、リフトピンの作動により運動に曝され、振動データは、そのような運動中に、振動センサ(単数及び複数)により収集されてよい。
ブロック1612において、ウェハーハンドリングロボットが制御されて、自動較正ウェハーがリフトピンから取り出されてよく、ブロック1614において、振動データが分析されて、それが許容範囲内にあるか否かが判断されてよい。例えば、振動の大きさが所定の閾値を超えている場合、又は振動の特定の周波数成分の大きさが所定の閾値を超えている場合、振動測定値は許容限界を超えているとの判断がなされてよく、本手法はブロック1618に進んでよく、そこで、障害状態が生成されてよい。リフトピン移動の各段階におけるリフトピン移動の継続時間もまた測定し、許容範囲と比較して、リフトピン機構に障害状態が存在するか否かを判断できる。そのような場合、例えば、リフトピン機構が整備されて問題が解決されるまで、半導体処理ツールは、そのウェハー処理ステーションを使用するウェハー処理作業を一時停止してよい。リフトピン機構がアクチュエータを介して制御される場合、閉ループシステムを実現して、振動データを使用してリフトピン機構を自動的に較正できる。いくつかの実現形態では、リフトピン機構が保守又は修理を必要とするという警告を、半導体処理ツールが生成する場合があるが、リフトピンのその後の振動評価が、リフトピンの作動中に発生する振動が許容可能限界の第2のセットを超えたことを示すまで、そのウェハーステーションでの半導体処理作業を実行し(そしてリフトピン機構を使用し)続けてよい。いくつかのそのような実現形態では、半導体処理ツールは、経験することになる振動の大きさを潜在的に低減させるために、故障状態に遭遇した後に、低減された性能レベルにて、例えば通常のリフトピン機構の速度と比較してより遅い速度にて、リフトピン機構を動作させてよい。このようなスループットが低下した動作は、リフトピン機構が整備されて問題が解決するまで継続されてよく、又はリフトピン機構の動作により発生する振動が更に許容できないレベルに劣化するまで継続されてよいが、その場合、そのウェハーステーション及びリフトピン機構の使用は、保守が実施されるまで中断されてよい。リフトピン機構の振動データは、ビッグデータ及び機械学習機能を有するツール外のデータセンターに送信されてよく、このデータセンターは、多数の同様の半導体処理ツールから振動データを受信して、リフトピンの振動に関する正常又は異常なリフトピンシグネチャを確立する。このような場合、生の振動データ又は振動シグネチャ(機械学習の特徴抽出後の)がデータセンターに送信されてよい。データセンターは、半導体処理ツールの集団全体にわたって受信した振動データを使用して、機械学習モデル、例えばニューラルネットワーク、テンソルフローなど、を訓練して、リフトピン機構を正常又は異常として分類してよい。
自動較正ウェハーで実施できる別のテスト手法は、ウェハー支持体(又は他の機器、例えば、ウェハーハンドリングロボットのエンドエフェクタ、リフトピン機構、ロードポートモジュール(LPM)、ウェハー支持体、ESCなど)の水平度を査定することである。図17は、ウェハー支持体の水平度を評価するための手法のフローチャートを示すが、この手法は様々な異なるウェハーハンドリング構成要素に対して実践できる。
ブロック1702において、半導体処理ツールのウェハーステーションは、そのウェハー支持体の水平度の測定のために選択されてよい。
ブロック1704において、半導体処理のウェハーハンドリングロボットに、ドッキングステーション又は他の保管場所から自動較正ウェハーを取り出させてよく、ブロック1706において、ウェハーハンドリングロボットが制御されて、自動較正ウェハーがウェハー支持体上に直接配置されてよい。エッジリングがウェハー支持体上に存在して、自動較正ウェハーをウェハー支持体上に直接配置することが妨げられる場合、自動較正ウェハーをウェハー支持体上に配置する前に、例えば半導体処理ツールのウェハーハンドリングロボットを使用して、エッジリングが除去されてよい。
いったん自動較正ウェハーがウェハー支持体上に配置されると、ブロック1708において、自動較正ウェハーに、例えば速度計又は傾斜センサなどの方位センサを使用して、水平度測定値を取得させてよい。いくつかの実現形態では、複数の水平度センサを利用して、そのような測定値を取得してよい。
ブロック1710において、ウェハーハンドリングロボットを使用して、自動較正ウェハーがウェハー支持体から取り出されてよく、ブロック1712において、ウェハー支持体の水平度の測定値が許容範囲内にあるか否かに関して判断がなされてよい。そうでない場合、本手法はブロック1714に進んでよく、そこで、障害状態が生成されてよい。そうである場合、本手法はブロック1716に進んでよく、そこで、成功状態が生成されてよい。
本明細書に記載される様々な手法を様々な形態で組み合わせて、半導体処理ツールを構成するための完全に自動化されたシステムを提供できることが理解されるであろう。例えば、半導体処理ツールは、半導体処理ツールが入ることができる「初期設定」モードを有するように構成されてよく、そのモードでは、ツールはエッジリングを取り出し、自動較正ウェハーを使用して半導体処理チャンバ内の各ウェハー支持体上にエッジリングをセンタリングし、次いで、各ウェハー支持体について、自動較正ウェハーを使用して、較正ウェハー、関連付けられたエッジリングをセンタリングし、センタリングされた較正ウェハーを用いてアクティブウェハーセンタリングシステム及びウェハーハンドリングロボットを訓練し、次いで、訓練されたアクティブウェハーセンタリングシステムが確実なウェハー配置をもたらすことを確認してよい。半導体処理ツールは、エッジリングと較正ウェハーとに関して中心間オフセットが許容できない距離にドリフトしたかどうかを確認すること、所定の期間又は所定の数のウェハー処理作業が実施された後に、エッジリングの高さが依然として範囲内にあるかどうかを確認すること、及び/又は、ウェハー支持体が水平であるかどうか、及び/又はリフトピンの振動が許容範囲内にあるかどうかを確認すること、などの様々なヘルスチェックを定期的に実施してよい。
上述したように、コントローラはシステムの一部であってよく、システムは、処理ツール(単数又は複数)、チャンバ(単数又は複数)、処理用プラットフォーム(単数又は複数)、及び/又は特定の処理構成要素(ウェハーペデスタル、ガスフローシステムなど)を含む、半導体処理機器を含んでよい。これらシステムは、半導体ウェハー又は基板の処理前、処理中、及び処理後の作業を制御するための電子機器に組み込まれてよい。電子機器は、システム(単数又は複数)の様々な構成要素又は副部品を制御してよい「コントローラ」と呼ばれてよい。コントローラは、処理要件及び/又はシステムのタイプに応じて、本明細書に開示される任意のプロセス、並びに、半導体処理に影響する様々なパラメータ、例えば、処理ガスの送達、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送達設定、位置及び作業設定、特定のシステムと接続しているか又はインターフェースしているツール及び他の搬送ツール並びに/又はロードロックに対するウェハーの搬出入、を制御するようにプログラムされてよい。
大まかに言って、コントローラは、様々な集積回路、ロジック、メモリ、及び/又はソフトウェアを有し、命令を受信し、命令を発行し、作業を制御し、クリーニング作業を有効にし、エンドポイント測定を有効にするような電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、及び/又は1つ以上のマイクロプロセッサ、又はプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラ、を含んでよい。プログラム命令は、様々な個別設定(又はプログラムファイル)の形態でコントローラに通信される命令であって、特定のプロセスを、半導体ウェハー上で若しくは半導体ウェハーに対して、又はシステムに対して実施するための作業パラメータを定義してよい。いくつかの実施形態では、作業パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はウェハーダイの作製時に、1つ以上の処理工程を実現するために、プロセスエンジニアにより定義されるレシピの一部であってよい。
いくつかの実現形態では、コントローラは、システムに統合若しくは接続されるか、又はその他の方法でシステムにネットワーク接続されたコンピュータの一部であるか、又はそのようなコンピュータに接続されたものであるか、又はそれらの組み合わせであってよい。例えば、コントローラは「クラウド」内にあるか、又はファブホストコンピュータシステムの全て若しくは一部であってよく、それによりウェハー処理のリモートアクセスが可能になり得る。コンピュータは、システムへのリモートアクセスを可能にして、製造作業の現在の進行状況を監視し、過去の製造作業の履歴を調査し、複数の製造作業から傾向又は性能のメトリックを調査して、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定するか、又は新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワーク又はインターネットを含んでよいネットワークを経由して、プロセスレシピをシステムに提供することができる。リモートコンピュータは、パラメータ及び/又は設定の入力若しくはプログラミングを可能にするユーザインターフェースを含んでよく、パラメータ及び/又は設定は次いで、リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは、1つ以上の作業中に実施される各処理工程のためのパラメータを指定するデータ形式の命令を受信する。パラメータは、実施されるプロセスのタイプ、及びコントローラがインターフェースするか、又は制御するように構成されているツールのタイプに固有のものであってよいことを理解されたい。したがって、上述のように、コントローラは、例えば、互いにネットワーク化され、本明細書に記載のプロセスや制御などの共通の目的を目指している1つ以上の個別のコントローラを備えることにより分散されてよい。そのような目的のための分散コントローラの例は、遠隔に位置する(例えば、プラットフォームレベルで、又はリモートコンピュータの一部として)1つ以上の集積回路と通信状態にあるチャンバ上の1つ以上の集積回路であってよく、これらが組み合わされてチャンバでのプロセスを制御する。
限定するわけではないが、例示的なシステムは、プラズマエッチングチャンバ又はモジュール、堆積チャンバ又はモジュール、スピンリンスチャンバ又はモジュール、金属めっきチャンバ又はモジュール、クリーニングチャンバ又はモジュール、ベベルエッジエッチングチャンバ又はモジュール、物理蒸着(PVD)チャンバ又はモジュール、化学蒸着(CVD)チャンバ又はモジュール、原子層堆積(ALD)チャンバ又はモジュール、原子層エッチング(ALE)チャンバ又はモジュール、イオン注入チャンバ又はモジュール、トラックチャンバ又はモジュール、及び半導体ウェハーの作製及び/又は製造に関連するか若しくは使用されてよい任意の他の半導体処理システム、を含んでよい。
上述したように、ツールにより実施されるプロセス工程に応じて、コントローラは、他のツール回路又はモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接ツール、隣り合うツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、又は半導体製造工場内のツール場所及び/又はロードポートとの間でウェハー容器を搬出入する材料搬送に使用されるツール、のうちの1つ以上と通信し得る。
上記の議論は、典型的には、複数の画像センサを特徴とし、様々な更なる実現形態では、1つ以上の追加のセンサを特徴とする、自動較正ウェハーシステムに焦点を合わせている一方で、いくつかの実現形態は、中央に取り付けられた単一の画像センサのみがあり、エッジには画像センサが位置していない、又はエッジに位置する画像センサのみがあり、中央には画像センサが取り付けられていない、という特徴を有してよい。そのような実現形態は、場合によっては、それに応じて、中央に取り付けられた画像センサとエッジに取り付けられた画像センサの両方を特徴とする実現形態より機能が劣る場合があるが、必ずしもそうでない場合もある。本開示は、そのような代替の実現形態をも包含するものとして理解されるべきである。
本明細書で使用される場合、「ウェハー」という用語は、半導体ウェハー若しくは基板、又は他の同様のタイプのウェハー若しくは基板を指す場合がある。本明細書で使用される場合、ウェハーステーションという用語は、様々なウェハー処理作業又はウェハー移送作業のいずれかの間にウェハーが配置されてよい、半導体処理ツール内の任意の場所を指す場合がある。本明細書では、ウェハー支持体は、半導体ウェハーを受け入れて支持するように構成されている、ウェハーステーション内の任意の構造体、例えば、ペデスタル、静電チャック、ウェハー支持体シェルフなどを指すために使用される。
本明細書で使用される場合、「名目上センタリングされている」という用語は、2つ以上の物体において、特定の場所、例えば中心点又は同様の場所が、XY平面内で互いに概ね位置合わせされているような相対的配置を指す。そのような位置合わせは、様々な理由で、例えば物体のうちの1つの滑り、センサのドリフトなどにより、完全ではない場合があるが、ほとんどの場合、名目上センタリングされている物体は、完全にセンタリングされている物体から1~2ミリメートル以内にある。
また、本明細書において、序数標識、例えば、(a)、(b)、(c)、…、の使用は構成上の目的のみのためであり、各序数標識に関連付けられた項目に対して、いかなる特定の順序又は重要性を伝達することを意図するものではないことを理解されたい。例えば、「(a)速度に関する情報を取得し、(b)位置に関する情報を取得する」は、速度に関する情報を取得する前に位置に関する情報を取得すること、位置に関する情報を取得する前に速度に関する情報を取得すること、及び速度に関する情報を取得することと同時に、位置に関する情報を取得すること、を含む。それでもなお、序数標識に関連付けられたいくつかの項目が、本質的に特定のシーケンス、例えば、「(a)速度に関する情報を取得し、(b)速度に関する情報に基づいて第1の加速度を決定し、(c)位置に関する情報を取得する」、を必要とする場合がある。この例では、(b)は(a)で得られた情報に依存するので、(a)は(b)の前に実施される必要があるが、(c)は、(a)又は(b)のいずれかの前又は後に実施されることができる。
例えば、「1つ以上の項目のうちの各<項目>について」、又は「各<項目>の」という語句における「各」という語の使用は、本明細書で使用される場合、単一項目グループ及び複数項目グループの両方を含むものと理解すべきであることを理解されたい。すなわち、「各~について」という語句は、参照される項目のあらゆる集団の項目の各々を参照するためにプログラミング言語で使用される意味で使用される。例えば、参照される項目の集団が単一の項目である場合、「各」は単一の項目のみを指すことになり(「各」の辞書定義は、多くの場合、この用語を、「2つ以上の事項のうちの1つ1つ」に言及すると定義している」という事実にもかかわらず)、それらの項目のうちの少なくとも2つがなければならないことを意味するものではない。同様に、選択された項目が1つ以上の副項目を有し得る場合に、それら副項目のうちの1つの選択が行われる場合、選択された項目が1つのみの副項目を有する場合、その1つの副項目の選択は、項目自体の選択に固有であることが理解されるであろう。
様々な機能を全体として実行するように構成されている複数のコントローラへの言及は、コントローラのうちの1つだけが開示又は議論された機能の全てを実行するように構成されている状況、並びに、様々なコントローラの各々が、議論された機能のサブ部分を実行する状況、を包含することが意図されている。例えば、自動較正ウェハーはコントローラを含んでよく、コントローラは、自動較正ウェハー上の様々なセンサの動作を制御し、そのセンサからのデータを、半導体処理ツールに関連付けられた別のコントローラに通信するように構成されている。次いで、半導体処理ツールのコントローラは、そのようなデータを分析して、半導体処理ツールにおいて使用される様々な動作パラメータを決定してよい。
本開示に記載された実現形態に対する様々な変更が、当業者には即座に明らかになる可能性があり、本明細書で規定された一般的な原理が、本開示の趣旨又は範囲から逸脱することなく、他の実現形態に適用される可能性がある。したがって、特許請求の範囲は、本明細書に示される実現形態に限定されることが意図されておらず、本開示、原理、及び本明細書に開示される新規の特徴と整合する最も広い範囲が与えられるべきである。
別個の実現形態との関連で本明細書に記載される特定の特徴を組み合わせて、単一の実現形態にて実現することもできる。逆に、単一の実現形態との関連で説明される様々な特徴が、複数の実現形態において別個に、又は任意の好適な副次的組み合わせで、実現することができる。その上、特徴は、特定の組み合わせで機能するものとして上で説明している場合があり、最初にそのように主張しているが、主張された組み合わせにおける1つ以上の特徴を、場合によっては組み合わせから切り離すことができ、主張された組み合わせを、副次的組み合わせ又は副次的組み合わせの変形形態に向けてよい。
同様に、図面では動作が特定の順序で示されているが、これは、望ましい結果を実現するために、そのような動作が、示される特定の順序で又は順番に実施されること、又は図示される動作の全てが実施されること、を要求するものと理解されるべきではない。更に、図面は、フロー図の形で、もう1つの例示的なプロセスを概略的に示す場合がある。しかしながら、図示していない他の作業を、概略的に示されている例示的なプロセスに組み込むことができる。例えば、1つ以上の追加の作業を、図示した作業のいずれかの前に、後に、同時に、又はそれらの間で実行することができる。特定の状況では、多重タスキング及び並列処理が有利な場合がある。その上、上述した実現形態では様々なシステム構成要素が分離されるが、そのような分離が全ての実現形態において必要なものであると理解すべきではなく、説明されたプログラム構成要素及びシステムは一般に、一緒に統合されて単一のソフトウェア製品になるか、又はパッケージ化されて複数のソフトウェア製品になり得ることが理解されるべきである。加えて、他の実現形態が、以下の特許請求の範囲内にある。場合によっては、特許請求の範囲に記載されているアクションを、異なる順序で実行して、依然として望ましい結果を実現できる。
同様に、図面では動作が特定の順序で示されているが、これは、望ましい結果を実現するために、そのような動作が、示される特定の順序で又は順番に実施されること、又は図示される動作の全てが実施されること、を要求するものと理解されるべきではない。更に、図面は、フロー図の形で、もう1つの例示的なプロセスを概略的に示す場合がある。しかしながら、図示していない他の作業を、概略的に示されている例示的なプロセスに組み込むことができる。例えば、1つ以上の追加の作業を、図示した作業のいずれかの前に、後に、同時に、又はそれらの間で実行することができる。特定の状況では、多重タスキング及び並列処理が有利な場合がある。その上、上述した実現形態では様々なシステム構成要素が分離されるが、そのような分離が全ての実現形態において必要なものであると理解すべきではなく、説明されたプログラム構成要素及びシステムは一般に、一緒に統合されて単一のソフトウェア製品になるか、又はパッケージ化されて複数のソフトウェア製品になり得ることが理解されるべきである。加えて、他の実現形態が、以下の特許請求の範囲内にある。場合によっては、特許請求の範囲に記載されているアクションを、異なる順序で実行して、依然として望ましい結果を実現できる。本開示は以下の適用例としても実現できる。
[適用例1]
半導体処理ツール用のウェハーハンドリングロボットの較正を支援するシステムであって、前記システムは、
自動較正ウェハーを含み、前記自動較正ウェハーは、
前記ウェハーハンドリングロボットにより運ばれるように寸法決めされた基板であって、前記基板が前記ウェハーハンドリングロボットにより運ばれるときに前記ウェハーハンドリングロボットのエンドエフェクタに接触するように構成されている第1の面を有する、基板と、
前記基板により支持され、前記基板の共通点からオフセットされた場所に位置決めされた複数の第1の画像センサであって、前記基板が前記第1の面を下向きに向けられたときに、前記第1の画像センサの各々が下向きの視野を有する、複数の第1の画像センサと、
前記第1の画像センサの各々に通信可能に接続されている第1のコントローラと、を含むシステム。
[適用例2]
適用例1に記載のシステムであって、前記第1の画像センサは、前記共通点の周りに円形アレイで構成されている、システム。
[適用例3]
適用例1に記載のシステムであって、前記基板は名目上は円形であり、前記半導体処理ツールが処理するように構成されている半導体ウェハーと同じ直径を有する、システム。
[適用例4]
適用例1に記載のシステムであって、前記基板は名目上は円形であり、前記半導体処理ツールが使用するように構成されているエッジリングと同じ直径を有する、システム。
[適用例5]
適用例1に記載のシステムであって、前記基板は名目上は円形であり、前記半導体処理ツールが使用するように構成されているエッジリングの外径と内径との間の直径を有する、システム。
[適用例6]
適用例1に記載のシステムであって、前記基板は名目上は円形であり、前記半導体処理ツールが使用するように構成されているエッジリングの外径と内径との間の平均の±10%以内の直径を有する、システム。
[適用例7]
適用例1に記載のシステムであって、前記基板は、200mm、300mm、及び450mmからなる群から選択される直径を有する名目上は円形のディスクである、システム。
[適用例8]
適用例1に記載のシステムであって、前記自動較正ウェハーは、少なくとも前記第1のコントローラ及び前記第1の画像センサに電力を供給するように構成されている電源を更に含む、システム。
[適用例9]
適用例8に記載のシステムであって、
前記電源は再充電可能電池であり、
前記自動較正ウェハーは、電磁場とインターフェースしたときに前記再充電可能電池を充電するように構成されている無線充電機能を更に含む、システム。
[適用例10]
適用例1に記載のシステムであって、
前記自動較正ウェハーは、第1の無線通信インターフェースを更に含み、
前記第1の無線通信インターフェースは、前記第1のコントローラに通信可能に接続されている、システム。
[適用例11]
適用例10に記載のシステムであって、前記第1の無線通信インターフェースは、Bluetoothトランシーバ及びWiFiトランシーバからなる群から選択される1つ以上の無線通信インターフェースを含む、システム。
[適用例12]
適用例1に記載のシステムであって、
前記自動較正ウェハーは、1つ以上の方位センサを更に含み、
前記1つ以上の方位センサは、前記第1のコントローラに通信可能に接続されている、システム。
[適用例13]
適用例12に記載のシステムであって、前記方位センサの各々が、傾斜計及び加速度計からなる群から選択される、システム。
[適用例14]
適用例1に記載のシステムであって、
前記自動較正ウェハーは、1つ以上の振動センサを更に含み、
1つ以上の前記振動センサは、前記第1のコントローラに通信可能に接続されている、システム。
[適用例15]
適用例14に記載のシステムであって、前記振動センサの各々が、加速度計、レーザーマイクロフォン、及び光学距離測定センサからなる群から選択される、システム。
[適用例16]
適用例1に記載のシステムであって、
前記自動較正ウェハーは、1つ以上の近接センサを更に含み、前記近接センサの各々は、前記第1の面が下向きに向けられているときに、前記第1の面と前記近接センサの下に位置する物体との間の距離を測定するように構成され、
前記1つ以上の近接センサは、前記第1のコントローラに通信可能に接続されている、システム。
[適用例17]
適用例16に記載のシステムであって、前記近接センサの各々が、光学近接センサ、誘導近接センサ、及び容量性近接センサからなる群から選択される、システム。
[適用例18]
適用例1に記載のシステムであって、
前記第1の画像センサは、前記共通点の周りに円形アレイで構成され、
前記基板は名目上は円形であり、前記半導体処理ツールが処理するように構成されている半導体ウェハーと同じ直径を有し、
前記基板は、200mm、300mm、及び450mmからなる群から選択される直径を有する名目上は円形のディスクであり、
前記自動較正ウェハーは、
少なくとも前記第1のコントローラ及び前記第1の画像センサに電力を供給するように構成されている再充電可能電池と、
電磁場とインターフェースしたときに前記再充電可能電池を充電するように構成されている無線充電機能と、
前記第1のコントローラに通信可能に接続され、Bluetoothトランシーバ及びWiFiトランシーバからなる群から選択される1つ以上の無線通信インターフェースを含む、第1の無線通信インターフェースと、
前記第1のコントローラに通信可能に接続された1つ以上の振動センサと、
1つ以上の近接センサであって、前記近接センサの各々が、前記第1のコントローラに通信可能に接続され、前記第1の面が下向きに向けられているときに、前記第1の面と前記近接センサの下に位置する物体との間の距離を測定するように構成されている、1つ以上の近接センサと、を更に含む、システム。
[適用例19]
適用例1~18のいずれか一項に記載のシステムであって、前記システムは前記半導体処理ツールを更に備え、前記半導体処理ツールは、
ウェハーハンドリングロボットと、
1つ以上のウェハーステーションと、
第2のコントローラと、を含み、
前記ウェハーステーションの各々が、1つ以上の対応するウェハー支持体を含み、
前記ウェハーハンドリングロボットと前記第2のコントローラとは通信可能に接続され、
前記第2のコントローラ及び前記第1のコントローラは全体として、
a)1つ以上の前記ウェハーステーションのうちの第1のウェハーステーションの、1つ以上の前記ウェハー支持体のうちの第1のウェハー支持体を選択し、
b)前記ウェハーハンドリングロボットに、前記自動較正ウェハーを前記第1のウェハーステーションの上に位置決めさせ、
c)前記自動較正ウェハーが前記第1のウェハー支持体上に位置決めされている間に、前記第1の画像センサの各々に、前記第1のウェハー支持体の基準部分の対応する第1の画像を取得させる、
ように構成されている、システム。
[適用例20]
適用例19に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、前記第1の画像に基づいて前記第1のウェハー支持体の中心点の場所情報を決定するように更に構成されている、システム。
[適用例21]
適用例19に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
d)前記ウェハーハンドリングロボットに較正ウェハーを取り出させ、
e)垂直軸に沿って見たときに、前記較正ウェハーの中心点が、名目上は前記第1のウェハー支持体の中心点にセンタリングされるように、前記ウェハーハンドリングロボットに、前記較正ウェハーを前記第1のウェハー支持体に移送させる、
ように更に構成されている、システム。
[適用例22]
適用例21に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
f)前記ウェハーハンドリングロボットに、前記自動較正ウェハーを前記第1のウェハー支持体及び前記較正ウェハーの上に位置決めさせ、
g)前記自動較正ウェハーが、前記第1のウェハー支持体及び前記較正ウェハーの上に位置決めされている間に、前記第1の画像センサの各々に、前記第1のウェハー支持体の基準部分及び前記較正ウェハーの基準部分の、対応する第2の画像を取得させ、
h)前記第2の画像における、前記第1のウェハー支持体及び前記較正ウェハーの、前記基準部分間のギャップサイズに基づき、前記較正ウェハーの前記中心点と前記第1のウェハー支持体の前記中心点との間のウェハー/ウェハー支持体水平オフセットを決定する、
ように更に構成されている、システム。
[適用例23]
適用例22に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
i)前記ウェハー/ウェハー支持体水平オフセットを閾値ウェハー/ウェハー支持体水平オフセットと比較し、
j)前記ウェハー/ウェハー支持体水平オフセットが前記閾値ウェハー/ウェハー支持体水平オフセットを超えているとの判断に応答して、前記ウェハーハンドリングロボットに、前記較正ウェハーを前記第1のウェハー支持体に対して再配置させて前記ウェハー/ウェハー支持体水平オフセットを低減させる、
ように更に構成されている、システム。
[適用例24]
適用例23に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、(f)から(j)を、N回繰り返す、又は前記ウェハー/ウェハー支持体水平オフセットが前記閾値ウェハー/ウェハー支持体水平オフセット以下になるまで繰り返す、のうちの先に生じる方を実施するように更に構成されている、システム。
[適用例25]
適用例19に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
d)前記ウェハーハンドリングロボットに第1のエッジリングを取り出させ、
e)垂直軸に沿って見たときに、前記第1のエッジリングの中心点が、名目上は前記第1のウェハー支持体の中心点にセンタリングされるように、前記ウェハーハンドリングロボットに、前記第1のエッジリングを前記第1のウェハー支持体に移送させる、
ように更に構成されている、システム。
[適用例26]
適用例25に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
f)前記ウェハーハンドリングロボットに、前記自動較正ウェハーを前記第1のウェハー支持体及び前記第1のエッジリングの上に位置決めさせ、
g)前記自動較正ウェハーが、前記第1のウェハー支持体及び前記第1のエッジリングの上に位置決めされている間に、前記第1の画像センサの各々に、前記第1のウェハー支持体の基準部分及び前記第1のエッジリングの基準部分の、対応する第2の画像を取得させ、
h)前記第2の画像における、前記第1のウェハー支持体及び前記第1のエッジリングの、前記基準部分間のギャップサイズに基づき、前記第1のエッジリングの前記中心点と前記第1のウェハー支持体の前記中心点との間のエッジリング/ウェハー支持体水平オフセットを決定する、
ように更に構成されている、システム。
[適用例27]
適用例26に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
i)前記エッジリング/ウェハー支持体水平オフセットを閾値エッジリング/ウェハー支持体水平オフセットと比較し、
j)前記エッジリング/ウェハー支持体水平オフセットが前記閾値エッジリング/ウェハー支持体水平オフセットを超えているとの判断に応答して、前記ウェハーハンドリングロボットに、前記第1のエッジリングを前記第1のウェハー支持体に対して再配置させて前記エッジリング/ウェハー支持体水平オフセットを低減させる、
ように更に構成されている、システム。
[適用例28]
適用例27に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、(f)から(j)を、N回繰り返す、又は前記エッジリング/ウェハー支持体水平オフセットが前記閾値エッジリング/ウェハー支持体水平オフセット以下になるまで繰り返す、のうちの先に生じる方を実施するように更に構成されている、システム。
[適用例29]
適用例25に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
f)前記ウェハーハンドリングロボットに較正ウェハーを取り出させ、
g)垂直軸に沿って見たときに、前記較正ウェハーの中心点が、名目上は前記第1のエッジリングの前記中心点にセンタリングされるように、前記ウェハーハンドリングロボットに、前記較正ウェハーを前記第1のウェハー支持体に移送させる、
ように更に構成されている、システム。
[適用例30]
適用例29に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
h)前記ウェハーハンドリングロボットに、前記自動較正ウェハーを前記第1のウェハー支持体、前記第1のエッジリング、及び前記較正ウェハーの上に位置決めさせ、
i)前記自動較正ウェハーが前記第1のウェハー支持体、前記較正ウェハー、及び前記第1のエッジリングの上に位置決めされている間に、前記第1の画像センサの各々に、前記較正ウェハーの基準部分及び前記第1のエッジリングの基準部分の、対応する第2の画像を取得させ、
j)前記第2の画像における、前記較正ウェハー及び前記第1のエッジリングの、前記基準部分間のギャップサイズに基づき、前記第1のエッジリングの前記中心点と前記較正ウェハーの前記中心点との間のエッジリング/ウェハー水平オフセットを決定する、
ように更に構成されている、システム。
[適用例31]
適用例30に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
k)前記エッジリング/ウェハー水平オフセットを閾値エッジリング/ウェハー水平オフセットと比較し、
l)前記エッジリング/ウェハー水平オフセットが前記閾値エッジリング/ウェハー水平オフセットを超えているとの判断に応答して、前記ウェハーハンドリングロボットに、前記較正ウェハーを前記第1のエッジリングに対して再配置させて前記エッジリング/ウェハー水平オフセットを低減させる、
ように更に構成されている、システム。
[適用例32]
適用例31に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、(h)から(l)を、M回繰り返す、又は前記エッジリング/ウェハー水平オフセットが前記閾値エッジリング/ウェハー水平オフセット以下になるまで繰り返す、のうちの先に生じる方を実施するように更に構成されている、システム。
[適用例33]
適用例32に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
前記ウェハーハンドリングロボットに、前記自動較正ウェハーを前記第1のウェハー支持体、前記第1のエッジリング、及び前記較正ウェハーの上に再配置させ、
前記自動較正ウェハーが、前記第1のウェハー支持体、前記較正ウェハー、及び前記第1のエッジリングの上に位置決めされている間に、前記第1の画像センサの各々に、前記較正ウェハーの前記基準部分及び前記第1のウェハー支持体の基準部分の、対応する第3の画像を取得させ、
前記第3の画像における、前記較正ウェハー及び前記第1のウェハー支持体の、前記基準部分間のギャップサイズに基づき、前記第1のウェハー支持体の前記中心点と前記較正ウェハーの前記中心点との間のウェハー支持体/ウェハー水平オフセットを決定する、ように更に構成されている、システム。
[適用例34]
適用例32に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
前記ウェハー支持体/ウェハー水平オフセットを閾値ウェハー支持体/ウェハー水平オフセットと比較し、
前記ウェハー支持体/ウェハー水平オフセットが前記閾値ウェハー支持体/ウェハー水平オフセットを超えているとの判断に応答して、前記ウェハーハンドリングロボットに、前記較正ウェハー及び前記エッジリングからなる群から選択される少なくとも1つの物品を前記第1のウェハー支持体に対して再配置させる、ように更に構成されている、システム。
[適用例35]
適用例34に記載のシステムであって、
前記半導体処理ツールは半導体処理チャンバを含み、
前記第1のウェハーステーションは前記半導体処理チャンバ内にあり、
前記第1のウェハー支持体は、前記半導体処理チャンバ内にペデスタルを含む、システム。
[適用例36]
適用例34に記載のシステムであって、
前記半導体処理ツールは、異なる圧力環境間でウェハーを移送するためのロードロックを含み、
前記第1のウェハーステーションは前記ロードロック内にあり、
前記第1のウェハー支持体は、前記ロードロック内の構造体である、システム。
[適用例37]
適用例34に記載のシステムであって、
前記半導体処理ツールは、処理作業の前、後、又は最中に1つ以上のウェハーを格納するためのバッファを含み、
前記第1のウェハーステーションは前記バッファ内にあり、
前記第1のウェハー支持体は、前記バッファ内の複数のウェハー支持体レッジのうちの1つである、システム。
[適用例38]
適用例34に記載のシステムであって、
前記半導体処理ツールは、異なる圧力環境間でウェハーを移送するためのロードロックを含み、
前記第1のウェハーステーションは前記ロードロック内にあり、
前記第1のウェハー支持体は、前記ロードロック内の構造体である、システム。
[適用例39]
適用例12又は13に記載のシステムであって、前記システムは前記半導体処理ツールを更に備え、前記半導体処理ツールは、
ウェハーハンドリングロボットと、
1つ以上のウェハーステーションと、
第2のコントローラと、を含み、
前記ウェハーステーションの各々が、1つ以上の対応するウェハー支持体を含み、
前記ウェハーハンドリングロボットと前記第2のコントローラとは通信可能に接続され、
前記第2のコントローラ及び前記第1のコントローラは全体として、
a)前記1つ以上のウェハーステーションのうちの第1のウェハーステーションの、前記1つ以上のウェハー支持体のうちの第1のウェハー支持体を選択し、
b)前記ウェハーハンドリングロボットに前記自動較正ウェハーを前記第1のウェハーステーション上に移送させ、
c)前記1つ以上の方位センサに前記基板の傾斜測定値を取得させる、
ように構成されている、システム。
[適用例40]
適用例39に記載のシステムであって、前記第2のコントローラは、(b)を実行する前に、前記第1のウェハー支持体からエッジリングを除去するように構成されている、システム。
[適用例41]
適用例14又は15に記載のシステムであって、前記システムは前記半導体処理ツールを更に備え、前記半導体処理ツールは、
ウェハーハンドリングロボットと、
1つ以上のウェハーステーションと、
第2のコントローラと、を含み、
前記ウェハーステーションの各々が、1つ以上の対応するウェハー支持体を含み、
前記ウェハーハンドリングロボットと前記第2のコントローラとは通信可能に接続され、
前記第2のコントローラ及び前記第1のコントローラは全体として、
a)前記1つ以上のウェハーステーションのうちの第1のウェハーステーションの、前記1つ以上のウェハー支持体のうちの第1のウェハー支持体であって、複数のリフトピンを含む、第1のウェハー支持体、を選択し、
b)前記リフトピンが前記第1のウェハー支持体から突出するように、前記リフトピンと前記第1のウェハー支持体との間で相対的並進移動を生じさせ、
c)前記ウェハーハンドリングロボットに前記自動較正ウェハーを前記リフトピンに移送させ、
d)前記自動較正ウェハーが前記リフトピンにより支持されている間に、前記リフトピンと前記第1のウェハー支持体との間で更なる相対的並進移動を生じさせ、
e)(d)の間に前記1つ以上の振動センサから振動データを取得し、
f)前記振動データが所定の閾値を超える振動を示すか否かを判断するために前記振動データを評価し、
g)前記振動データが前記所定の閾値を超えたときに通知を提供する、システム。
[適用例42]
適用例41に記載のシステムであって、前記第2のコントローラは、(d)の一部として、前記リフトピンが前記第1のウェハー支持体からもはや突出することなく前記自動較正ウェハーが前記第1のウェハー支持体の上面に載置されるように、前記リフトピンと前記第1のウェハー支持体との間の更なる相対的並進移動を生じさせるように構成されている、システム。
[適用例43]
適用例16又は17に記載のシステムであって、前記システムは前記半導体処理ツールを更に備え、前記半導体処理ツールは、
ウェハーハンドリングロボットと、
1つ以上のウェハーステーションと、
第2のコントローラと、を含み、
前記ウェハーハンドリングロボットと前記第2のコントローラとは通信可能に接続され、
前記第2のコントローラ及び前記第1のコントローラは全体として、
a)前記第1のウェハー支持体によりエッジリングが支持されているという指標に少なくとも部分的に基づき、前記1つ以上のウェハーステーションのうちの第1のウェハーステーションの、前記1つ以上のウェハー支持体のうちの第1のウェハー支持体を選択し、
b)前記自動較正ウェハーを前記エッジリング上に配置させ、
c)前記近接センサの各々に前記第1のウェハー支持体と前記自動較正ウェハーとの間の距離を測定させ、
d)前記1つ以上の距離に基づいて、前記エッジリングに関連付けられた1つ以上の高さ測定値を決定し、
e)前記1つ以上の高さ測定値を評価して、前記エッジリングに関連付けられた高さが所定の閾値を超えているか否かを判断し、
f)前記エッジリングに関連付けられた前記高さが前記所定の閾値を超えたときに通知を提供する、
ように構成されている、システム。

Claims (43)

  1. 半導体処理ツール用のウェハーハンドリングロボットの較正を支援するシステムであって、前記システムは、
    自動較正ウェハーを含み、前記自動較正ウェハーは、
    前記ウェハーハンドリングロボットにより運ばれるように寸法決めされた基板であって、前記基板が前記ウェハーハンドリングロボットにより運ばれるときに前記ウェハーハンドリングロボットのエンドエフェクタに接触するように構成されている第1の面を有する、基板と、
    前記基板により支持され、前記基板の共通点からオフセットされた場所に位置決めされた複数の第1の画像センサであって、前記基板が前記第1の面を下向きに向けられたときに、前記第1の画像センサの各々が下向きの視野を有する、複数の第1の画像センサと、
    前記第1の画像センサの各々に通信可能に接続されている第1のコントローラと、を含むシステム。
  2. 請求項1に記載のシステムであって、前記第1の画像センサは、前記共通点の周りに円形アレイで構成されている、システム。
  3. 請求項1に記載のシステムであって、前記基板は名目上は円形であり、前記半導体処理ツールが処理するように構成されている半導体ウェハーと同じ直径を有する、システム。
  4. 請求項1に記載のシステムであって、前記基板は名目上は円形であり、前記半導体処理ツールが使用するように構成されているエッジリングと同じ直径を有する、システム。
  5. 請求項1に記載のシステムであって、前記基板は名目上は円形であり、前記半導体処理ツールが使用するように構成されているエッジリングの外径と内径との間の直径を有する、システム。
  6. 請求項1に記載のシステムであって、前記基板は名目上は円形であり、前記半導体処理ツールが使用するように構成されているエッジリングの外径と内径との間の平均の±10%以内の直径を有する、システム。
  7. 請求項1に記載のシステムであって、前記基板は、200mm、300mm、及び450mmからなる群から選択される直径を有する名目上は円形のディスクである、システム。
  8. 請求項1に記載のシステムであって、前記自動較正ウェハーは、少なくとも前記第1のコントローラ及び前記第1の画像センサに電力を供給するように構成されている電源を更に含む、システム。
  9. 請求項8に記載のシステムであって、
    前記電源は再充電可能電池であり、
    前記自動較正ウェハーは、電磁場とインターフェースしたときに前記再充電可能電池を充電するように構成されている無線充電機能を更に含む、システム。
  10. 請求項1に記載のシステムであって、
    前記自動較正ウェハーは、第1の無線通信インターフェースを更に含み、
    前記第1の無線通信インターフェースは、前記第1のコントローラに通信可能に接続されている、システム。
  11. 請求項10に記載のシステムであって、前記第1の無線通信インターフェースは、Bluetoothトランシーバ及びWiFiトランシーバからなる群から選択される1つ以上の無線通信インターフェースを含む、システム。
  12. 請求項1に記載のシステムであって、
    前記自動較正ウェハーは、1つ以上の方位センサを更に含み、
    前記1つ以上の方位センサは、前記第1のコントローラに通信可能に接続されている、システム。
  13. 請求項12に記載のシステムであって、前記方位センサの各々が、傾斜計及び加速度計からなる群から選択される、システム。
  14. 請求項1に記載のシステムであって、
    前記自動較正ウェハーは、1つ以上の振動センサを更に含み、
    1つ以上の前記振動センサは、前記第1のコントローラに通信可能に接続されている、システム。
  15. 請求項14に記載のシステムであって、前記振動センサの各々が、加速度計、レーザーマイクロフォン、及び光学距離測定センサからなる群から選択される、システム。
  16. 請求項1に記載のシステムであって、
    前記自動較正ウェハーは、1つ以上の近接センサを更に含み、前記近接センサの各々は、前記第1の面が下向きに向けられているときに、前記第1の面と前記近接センサの下に位置する物体との間の距離を測定するように構成され、
    前記1つ以上の近接センサは、前記第1のコントローラに通信可能に接続されている、システム。
  17. 請求項16に記載のシステムであって、前記近接センサの各々が、光学近接センサ、誘導近接センサ、及び容量性近接センサからなる群から選択される、システム。
  18. 請求項1に記載のシステムであって、
    前記第1の画像センサは、前記共通点の周りに円形アレイで構成され、
    前記基板は名目上は円形であり、前記半導体処理ツールが処理するように構成されている半導体ウェハーと同じ直径を有し、
    前記基板は、200mm、300mm、及び450mmからなる群から選択される直径を有する名目上は円形のディスクであり、
    前記自動較正ウェハーは、
    少なくとも前記第1のコントローラ及び前記第1の画像センサに電力を供給するように構成されている再充電可能電池と、
    電磁場とインターフェースしたときに前記再充電可能電池を充電するように構成されている無線充電機能と、
    前記第1のコントローラに通信可能に接続され、Bluetoothトランシーバ及びWiFiトランシーバからなる群から選択される1つ以上の無線通信インターフェースを含む、第1の無線通信インターフェースと、
    前記第1のコントローラに通信可能に接続された1つ以上の振動センサと、
    1つ以上の近接センサであって、前記近接センサの各々が、前記第1のコントローラに通信可能に接続され、前記第1の面が下向きに向けられているときに、前記第1の面と前記近接センサの下に位置する物体との間の距離を測定するように構成されている、1つ以上の近接センサと、を更に含む、システム。
  19. 請求項1~18のいずれか一項に記載のシステムであって、前記システムは前記半導体処理ツールを更に備え、前記半導体処理ツールは、
    ウェハーハンドリングロボットと、
    1つ以上のウェハーステーションと、
    第2のコントローラと、を含み、
    前記ウェハーステーションの各々が、1つ以上の対応するウェハー支持体を含み、
    前記ウェハーハンドリングロボットと前記第2のコントローラとは通信可能に接続され、
    前記第2のコントローラ及び前記第1のコントローラは全体として、
    a)1つ以上の前記ウェハーステーションのうちの第1のウェハーステーションの、1つ以上の前記ウェハー支持体のうちの第1のウェハー支持体を選択し、
    b)前記ウェハーハンドリングロボットに、前記自動較正ウェハーを前記第1のウェハーステーションの上に位置決めさせ、
    c)前記自動較正ウェハーが前記第1のウェハー支持体上に位置決めされている間に、前記第1の画像センサの各々に、前記第1のウェハー支持体の基準部分の対応する第1の画像を取得させる、
    ように構成されている、システム。
  20. 請求項19に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、前記第1の画像に基づいて前記第1のウェハー支持体の中心点の場所情報を決定するように更に構成されている、システム。
  21. 請求項19に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    d)前記ウェハーハンドリングロボットに較正ウェハーを取り出させ、
    e)垂直軸に沿って見たときに、前記較正ウェハーの中心点が、名目上は前記第1のウェハー支持体の中心点にセンタリングされるように、前記ウェハーハンドリングロボットに、前記較正ウェハーを前記第1のウェハー支持体に移送させる、
    ように更に構成されている、システム。
  22. 請求項21に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    f)前記ウェハーハンドリングロボットに、前記自動較正ウェハーを前記第1のウェハー支持体及び前記較正ウェハーの上に位置決めさせ、
    g)前記自動較正ウェハーが、前記第1のウェハー支持体及び前記較正ウェハーの上に位置決めされている間に、前記第1の画像センサの各々に、前記第1のウェハー支持体の基準部分及び前記較正ウェハーの基準部分の、対応する第2の画像を取得させ、
    h)前記第2の画像における、前記第1のウェハー支持体及び前記較正ウェハーの、前記基準部分間のギャップサイズに基づき、前記較正ウェハーの前記中心点と前記第1のウェハー支持体の前記中心点との間のウェハー/ウェハー支持体水平オフセットを決定する、
    ように更に構成されている、システム。
  23. 請求項22に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    i)前記ウェハー/ウェハー支持体水平オフセットを閾値ウェハー/ウェハー支持体水平オフセットと比較し、
    j)前記ウェハー/ウェハー支持体水平オフセットが前記閾値ウェハー/ウェハー支持体水平オフセットを超えているとの判断に応答して、前記ウェハーハンドリングロボットに、前記較正ウェハーを前記第1のウェハー支持体に対して再配置させて前記ウェハー/ウェハー支持体水平オフセットを低減させる、
    ように更に構成されている、システム。
  24. 請求項23に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、(f)から(j)を、N回繰り返す、又は前記ウェハー/ウェハー支持体水平オフセットが前記閾値ウェハー/ウェハー支持体水平オフセット以下になるまで繰り返す、のうちの先に生じる方を実施するように更に構成されている、システム。
  25. 請求項19に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    d)前記ウェハーハンドリングロボットに第1のエッジリングを取り出させ、
    e)垂直軸に沿って見たときに、前記第1のエッジリングの中心点が、名目上は前記第1のウェハー支持体の中心点にセンタリングされるように、前記ウェハーハンドリングロボットに、前記第1のエッジリングを前記第1のウェハー支持体に移送させる、
    ように更に構成されている、システム。
  26. 請求項25に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    f)前記ウェハーハンドリングロボットに、前記自動較正ウェハーを前記第1のウェハー支持体及び前記第1のエッジリングの上に位置決めさせ、
    g)前記自動較正ウェハーが、前記第1のウェハー支持体及び前記第1のエッジリングの上に位置決めされている間に、前記第1の画像センサの各々に、前記第1のウェハー支持体の基準部分及び前記第1のエッジリングの基準部分の、対応する第2の画像を取得させ、
    h)前記第2の画像における、前記第1のウェハー支持体及び前記第1のエッジリングの、前記基準部分間のギャップサイズに基づき、前記第1のエッジリングの前記中心点と前記第1のウェハー支持体の前記中心点との間のエッジリング/ウェハー支持体水平オフセットを決定する、
    ように更に構成されている、システム。
  27. 請求項26に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    i)前記エッジリング/ウェハー支持体水平オフセットを閾値エッジリング/ウェハー支持体水平オフセットと比較し、
    j)前記エッジリング/ウェハー支持体水平オフセットが前記閾値エッジリング/ウェハー支持体水平オフセットを超えているとの判断に応答して、前記ウェハーハンドリングロボットに、前記第1のエッジリングを前記第1のウェハー支持体に対して再配置させて前記エッジリング/ウェハー支持体水平オフセットを低減させる、
    ように更に構成されている、システム。
  28. 請求項27に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、(f)から(j)を、N回繰り返す、又は前記エッジリング/ウェハー支持体水平オフセットが前記閾値エッジリング/ウェハー支持体水平オフセット以下になるまで繰り返す、のうちの先に生じる方を実施するように更に構成されている、システム。
  29. 請求項25に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    f)前記ウェハーハンドリングロボットに較正ウェハーを取り出させ、
    g)垂直軸に沿って見たときに、前記較正ウェハーの中心点が、名目上は前記第1のエッジリングの前記中心点にセンタリングされるように、前記ウェハーハンドリングロボットに、前記較正ウェハーを前記第1のウェハー支持体に移送させる、
    ように更に構成されている、システム。
  30. 請求項29に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    h)前記ウェハーハンドリングロボットに、前記自動較正ウェハーを前記第1のウェハー支持体、前記第1のエッジリング、及び前記較正ウェハーの上に位置決めさせ、
    i)前記自動較正ウェハーが前記第1のウェハー支持体、前記較正ウェハー、及び前記第1のエッジリングの上に位置決めされている間に、前記第1の画像センサの各々に、前記較正ウェハーの基準部分及び前記第1のエッジリングの基準部分の、対応する第2の画像を取得させ、
    j)前記第2の画像における、前記較正ウェハー及び前記第1のエッジリングの、前記基準部分間のギャップサイズに基づき、前記第1のエッジリングの前記中心点と前記較正ウェハーの前記中心点との間のエッジリング/ウェハー水平オフセットを決定する、
    ように更に構成されている、システム。
  31. 請求項30に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    k)前記エッジリング/ウェハー水平オフセットを閾値エッジリング/ウェハー水平オフセットと比較し、
    l)前記エッジリング/ウェハー水平オフセットが前記閾値エッジリング/ウェハー水平オフセットを超えているとの判断に応答して、前記ウェハーハンドリングロボットに、前記較正ウェハーを前記第1のエッジリングに対して再配置させて前記エッジリング/ウェハー水平オフセットを低減させる、
    ように更に構成されている、システム。
  32. 請求項31に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、(h)から(l)を、M回繰り返す、又は前記エッジリング/ウェハー水平オフセットが前記閾値エッジリング/ウェハー水平オフセット以下になるまで繰り返す、のうちの先に生じる方を実施するように更に構成されている、システム。
  33. 請求項32に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    前記ウェハーハンドリングロボットに、前記自動較正ウェハーを前記第1のウェハー支持体、前記第1のエッジリング、及び前記較正ウェハーの上に再配置させ、
    前記自動較正ウェハーが、前記第1のウェハー支持体、前記較正ウェハー、及び前記第1のエッジリングの上に位置決めされている間に、前記第1の画像センサの各々に、前記較正ウェハーの前記基準部分及び前記第1のウェハー支持体の基準部分の、対応する第3の画像を取得させ、
    前記第3の画像における、前記較正ウェハー及び前記第1のウェハー支持体の、前記基準部分間のギャップサイズに基づき、前記第1のウェハー支持体の前記中心点と前記較正ウェハーの前記中心点との間のウェハー支持体/ウェハー水平オフセットを決定する、ように更に構成されている、システム。
  34. 請求項32に記載のシステムであって、前記第2のコントローラ及び前記第1のコントローラは全体として、
    前記ウェハー支持体/ウェハー水平オフセットを閾値ウェハー支持体/ウェハー水平オフセットと比較し、
    前記ウェハー支持体/ウェハー水平オフセットが前記閾値ウェハー支持体/ウェハー水平オフセットを超えているとの判断に応答して、前記ウェハーハンドリングロボットに、前記較正ウェハー及び前記エッジリングからなる群から選択される少なくとも1つの物品を前記第1のウェハー支持体に対して再配置させる、ように更に構成されている、システム。
  35. 請求項34に記載のシステムであって、
    前記半導体処理ツールは半導体処理チャンバを含み、
    前記第1のウェハーステーションは前記半導体処理チャンバ内にあり、
    前記第1のウェハー支持体は、前記半導体処理チャンバ内にペデスタルを含む、システム。
  36. 請求項34に記載のシステムであって、
    前記半導体処理ツールは、異なる圧力環境間でウェハーを移送するためのロードロックを含み、
    前記第1のウェハーステーションは前記ロードロック内にあり、
    前記第1のウェハー支持体は、前記ロードロック内の構造体である、システム。
  37. 請求項34に記載のシステムであって、
    前記半導体処理ツールは、処理作業の前、後、又は最中に1つ以上のウェハーを格納するためのバッファを含み、
    前記第1のウェハーステーションは前記バッファ内にあり、
    前記第1のウェハー支持体は、前記バッファ内の複数のウェハー支持体レッジのうちの1つである、システム。
  38. 請求項34に記載のシステムであって、
    前記半導体処理ツールは、異なる圧力環境間でウェハーを移送するためのロードロックを含み、
    前記第1のウェハーステーションは前記ロードロック内にあり、
    前記第1のウェハー支持体は、前記ロードロック内の構造体である、システム。
  39. 請求項12又は13に記載のシステムであって、前記システムは前記半導体処理ツールを更に備え、前記半導体処理ツールは、
    ウェハーハンドリングロボットと、
    1つ以上のウェハーステーションと、
    第2のコントローラと、を含み、
    前記ウェハーステーションの各々が、1つ以上の対応するウェハー支持体を含み、
    前記ウェハーハンドリングロボットと前記第2のコントローラとは通信可能に接続され、
    前記第2のコントローラ及び前記第1のコントローラは全体として、
    a)前記1つ以上のウェハーステーションのうちの第1のウェハーステーションの、前記1つ以上のウェハー支持体のうちの第1のウェハー支持体を選択し、
    b)前記ウェハーハンドリングロボットに前記自動較正ウェハーを前記第1のウェハーステーション上に移送させ、
    c)前記1つ以上の方位センサに前記基板の傾斜測定値を取得させる、
    ように構成されている、システム。
  40. 請求項39に記載のシステムであって、前記第2のコントローラは、(b)を実行する前に、前記第1のウェハー支持体からエッジリングを除去するように構成されている、システム。
  41. 請求項14又は15に記載のシステムであって、前記システムは前記半導体処理ツールを更に備え、前記半導体処理ツールは、
    ウェハーハンドリングロボットと、
    1つ以上のウェハーステーションと、

    第2のコントローラと、を含み、
    前記ウェハーステーションの各々が、1つ以上の対応するウェハー支持体を含み、
    前記ウェハーハンドリングロボットと前記第2のコントローラとは通信可能に接続され、
    前記第2のコントローラ及び前記第1のコントローラは全体として、
    a)前記1つ以上のウェハーステーションのうちの第1のウェハーステーションの、前記1つ以上のウェハー支持体のうちの第1のウェハー支持体であって、複数のリフトピンを含む、第1のウェハー支持体、を選択し、
    b)前記リフトピンが前記第1のウェハー支持体から突出するように、前記リフトピンと前記第1のウェハー支持体との間で相対的並進移動を生じさせ、
    c)前記ウェハーハンドリングロボットに前記自動較正ウェハーを前記リフトピンに移送させ、
    d)前記自動較正ウェハーが前記リフトピンにより支持されている間に、前記リフトピンと前記第1のウェハー支持体との間で更なる相対的並進移動を生じさせ、
    e)(d)の間に前記1つ以上の振動センサから振動データを取得し、
    f)前記振動データが所定の閾値を超える振動を示すか否かを判断するために前記振動データを評価し、
    g)前記振動データが前記所定の閾値を超えたときに通知を提供する、システム。
  42. 請求項41に記載のシステムであって、前記第2のコントローラは、(d)の一部として、前記リフトピンが前記第1のウェハー支持体からもはや突出することなく前記自動較正ウェハーが前記第1のウェハー支持体の上面に載置されるように、前記リフトピンと前記第1のウェハー支持体との間の更なる相対的並進移動を生じさせるように構成されている、システム。
  43. 請求項16又は17に記載のシステムであって、前記システムは前記半導体処理ツールを更に備え、前記半導体処理ツールは、
    ウェハーハンドリングロボットと、
    1つ以上のウェハーステーションと、
    第2のコントローラと、を含み、
    前記ウェハーハンドリングロボットと前記第2のコントローラとは通信可能に接続され、
    前記第2のコントローラ及び前記第1のコントローラは全体として、
    a)前記第1のウェハー支持体によりエッジリングが支持されているという指標に少なくとも部分的に基づき、前記1つ以上のウェハーステーションのうちの第1のウェハーステーションの、前記1つ以上のウェハー支持体のうちの第1のウェハー支持体を選択し、
    b)前記自動較正ウェハーを前記エッジリング上に配置させ、
    c)前記近接センサの各々に前記第1のウェハー支持体と前記自動較正ウェハーとの間の距離を測定させ、
    d)前記1つ以上の距離に基づいて、前記エッジリングに関連付けられた1つ以上の高さ測定値を決定し、
    e)前記1つ以上の高さ測定値を評価して、前記エッジリングに関連付けられた高さが所定の閾値を超えているか否かを判断し、
    f)前記エッジリングに関連付けられた前記高さが前記所定の閾値を超えたときに通知を提供する、
    ように構成されている、システム。
JP2022505392A 2019-07-26 2020-07-21 自動ウェハーハンドリングロボットの教育及びヘルスチェックのための統合化された適応型位置決めシステム及びルーチン Pending JP2022541346A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962879278P 2019-07-26 2019-07-26
US62/879,278 2019-07-26
PCT/US2020/070300 WO2021022291A1 (en) 2019-07-26 2020-07-21 Integrated adaptive positioning systems and routines for automated wafer-handling robot teach and health check

Publications (1)

Publication Number Publication Date
JP2022541346A true JP2022541346A (ja) 2022-09-22

Family

ID=74230585

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022505392A Pending JP2022541346A (ja) 2019-07-26 2020-07-21 自動ウェハーハンドリングロボットの教育及びヘルスチェックのための統合化された適応型位置決めシステム及びルーチン

Country Status (6)

Country Link
US (1) US20220254666A1 (ja)
JP (1) JP2022541346A (ja)
KR (1) KR20220041171A (ja)
CN (1) CN114466728A (ja)
TW (1) TW202121565A (ja)
WO (1) WO2021022291A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US11370114B2 (en) * 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP7454959B2 (ja) * 2020-03-03 2024-03-25 東京エレクトロン株式会社 基板搬送システムおよび大気搬送モジュール
US11589474B2 (en) 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11924972B2 (en) 2020-06-02 2024-03-05 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
KR102624577B1 (ko) * 2020-10-28 2024-01-15 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7474688B2 (ja) * 2020-12-03 2024-04-25 東京エレクトロン株式会社 補正方法及び基板搬送装置
KR102650610B1 (ko) 2021-05-31 2024-03-26 세메스 주식회사 기판 처리 장치 및 기판 처리 시스템
WO2022268433A1 (en) * 2021-06-23 2022-12-29 Asml Netherlands B.V. Training a machine learning model for determining burl issues
US20230008072A1 (en) * 2021-07-08 2023-01-12 Applied Materials, Inc. Method and mechanism for contact-free process chamber characterization
WO2023069463A1 (en) * 2021-10-20 2023-04-27 Lam Research Corporation Adaptive positioning systems and routines using an autocalibration wafer and a calibration wafer with cutouts
US20230238266A1 (en) * 2022-01-25 2023-07-27 Applied Materials, Inc. Integrated substrate measurement system
WO2024006326A1 (en) * 2022-07-01 2024-01-04 Lam Research Corporation Systems and methods for wafer temperature measurement
WO2024010707A1 (en) * 2022-07-08 2024-01-11 Lam Research Corporation Mobile sensor devices for semiconductor fabrication equipment

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990030721A (ko) * 1997-10-04 1999-05-06 윤종용 웨이퍼의 수평 상태를 감지하는 센서를 구비한 웨이퍼 회전장치
JP2002280282A (ja) * 2001-03-16 2002-09-27 Union Optical Co Ltd ウェハのキャリブレーション方法及び装置
US6889568B2 (en) * 2002-01-24 2005-05-10 Sensarray Corporation Process condition sensing wafer and data analysis system
KR20050072349A (ko) * 2004-01-06 2005-07-11 매그나칩 반도체 유한회사 툴 인듀스드 포커스 드리프트 보정장치
US7720631B2 (en) * 2005-01-20 2010-05-18 Revera, Incorporated Semiconductor substrate processing method and apparatus
KR100701080B1 (ko) * 2005-10-07 2007-03-29 세메스 주식회사 티칭 검사 장치와 그 방법, 티칭 검사 장치를 구비하는기판 이송 시스템 및 그의 티칭 방법
KR100931857B1 (ko) * 2007-11-02 2009-12-15 세메스 주식회사 웨이퍼 이송 로봇을 구비하는 반도체 제조 설비 및 그의자동 티칭 방법
US20100101729A1 (en) * 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
DE102009016811A1 (de) * 2009-04-09 2010-10-14 Aes Motomation Gmbh Verfahren zur automatischen Vermessung und zum Einlernen von Lagepositionen von Objekten innerhalb eines Substratprozessiersystems mittels Sensorträger und zugehöriger Sensorträger
CN105762089B (zh) * 2014-12-18 2019-02-19 北京北方华创微电子装备有限公司 晶片位置偏差的检测和调整方法以及半导体加工设备
KR20160144727A (ko) * 2015-06-09 2016-12-19 주식회사 메이저 웨이퍼 이송 장비의 위치 및 자세 교정용 웨이퍼 타입 비전 시스템
US10014198B2 (en) * 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
CN106773542B (zh) * 2016-12-26 2019-02-19 南通通富微电子有限公司 一种光刻机的晶圆保护模块的位置调整方法及光刻机
US10989652B2 (en) * 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
KR102283220B1 (ko) * 2017-10-12 2021-07-30 주식회사 원익아이피에스 웨이퍼 위치 보정 기능을 갖는 웨이퍼 처리 시스템 및 그것의 티칭 방법

Also Published As

Publication number Publication date
US20220254666A1 (en) 2022-08-11
TW202121565A (zh) 2021-06-01
WO2021022291A1 (en) 2021-02-04
KR20220041171A (ko) 2022-03-31
CN114466728A (zh) 2022-05-10

Similar Documents

Publication Publication Date Title
JP2022541346A (ja) 自動ウェハーハンドリングロボットの教育及びヘルスチェックのための統合化された適応型位置決めシステム及びルーチン
US9123582B2 (en) Methods of in-situ measurements of wafer bow
US9352466B2 (en) Robot positioning system for semiconductor tools
JP4465518B2 (ja) 長方形ウエーハの整合システム
US11913777B2 (en) Detector for process kit ring wear
TWI739093B (zh) 用於半導體加工的無線基板狀教學感測器
US10537997B2 (en) Sensor based auto-calibration wafer
KR20210074397A (ko) 향상된 자동 웨이퍼 센터링 시스템 및 이를 위한 기법들
CN113906546A (zh) 转位式多站处理室中的晶片放置修正
KR20070114117A (ko) 웨이퍼의 위치결정 방법
CN111742399A (zh) 接触精度保证方法、接触精度保证机构和检查装置
CN111564396B (zh) 半导体加工设备的机械手校准方法及半导体设备
Brandstätter et al. High-speed ultra-accurate direct C2W bonding
CN112444210A (zh) 平面相对位置检测工具及其检测方法
WO2023069463A1 (en) Adaptive positioning systems and routines using an autocalibration wafer and a calibration wafer with cutouts
CN210375009U (zh) 平面相对位置检测工具
TWI835911B (zh) 用於晶圓搬運的設備、方法、和非暫態電腦可讀媒體
TWI836042B (zh) 半導體處理設備
JP2023078807A (ja) アライメント装置、基板搬送システム、アライメント方法、及びプログラム

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220407

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230719