KR20210074397A - 향상된 자동 웨이퍼 센터링 시스템 및 이를 위한 기법들 - Google Patents

향상된 자동 웨이퍼 센터링 시스템 및 이를 위한 기법들 Download PDF

Info

Publication number
KR20210074397A
KR20210074397A KR1020217017305A KR20217017305A KR20210074397A KR 20210074397 A KR20210074397 A KR 20210074397A KR 1020217017305 A KR1020217017305 A KR 1020217017305A KR 20217017305 A KR20217017305 A KR 20217017305A KR 20210074397 A KR20210074397 A KR 20210074397A
Authority
KR
South Korea
Prior art keywords
wafer
wafers
edge
handling robot
wafer handling
Prior art date
Application number
KR1020217017305A
Other languages
English (en)
Inventor
피터 에스. 타울라드
브렛 엠. 허지그
리처드 엠. 블랭크
벤자민 더블유. 무어링
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210074397A publication Critical patent/KR20210074397A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

웨이퍼 핸들링 로봇에 의해 이송된 웨이퍼들의 스택에서 웨이퍼 간 오정렬들을 결정하고 수정하기 위한 시스템들 및 기법들이 논의된다. 나중에 웨이퍼들의 스택이 다양한 프로세스 요건들을 충족하는지 여부 및/또는 센터링 보정이 웨이퍼들을 수용 스테이션 좌표 프레임과 보다 잘 정렬시키도록 이루어질 수 있는지를 결정하는데 사용될 수도 있는, 웨이퍼들의 스택과 연관된 가장 작은 원을 결정하도록 사용될 수도 있는 향상된 자동 웨이퍼 센터링 시스템이 제공된다.

Description

향상된 자동 웨이퍼 센터링 시스템 및 이를 위한 기법들
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
반도체 프로세싱 툴들은 통상적으로 반도체 프로세싱 툴의 스테이션들 또는 장비 사이에서 원형 웨이퍼들을 이동시키기 위해 웨이퍼-핸들링 로봇들을 사용한다 (이런 웨이퍼들은 공칭 (nominally) 원형 형상을 갖지만 회전 인덱싱이 행해질 수 있도록 또는 회전 위치가 결정되게 하도록 하나 이상의 위치들에 노치들 또는 플랫들을 가질 수도 있다). 일부 웨이퍼-핸들링 로봇들은 주걱과 같이 아래로부터 웨이퍼들을 들어 올리고 지지하도록 설계된 "블레이드" 타입 엔드 이펙터들을 구비한다. 이러한 블레이드-타입 엔드 이펙터들에 의해 지지된 웨이퍼들은 통상적으로 마찰에 의해 제자리에 홀딩되고, 예를 들어, 다른 물체와의 충돌로 인해 또는 관성 효과들을 통해 웨이퍼 상에 가해질 수도 있는 바와 같이, 웨이퍼에 충분한 측방향 힘의 인가를 통해 엔드 이펙터에 대해 이탈되거나 시프팅될 수도 있다. 예를 들어, 엔드 이펙터가 제자리에 웨이퍼를 홀딩하는 마찰력이 극복되게 하는 가속도를 겪으면, 웨이퍼와 엔드 이펙터 사이에 슬립 (slippage) 이 있을 수도 있다. 웨이퍼들은 또한 때때로 엔드 이펙터 상에 잘못 배치될 수도 있고, 예를 들어 웨이퍼가 적절히 센터링되지 않은 최초 "슬립" 효과를 발생시킨다.
본 명세서에 기술된 주제의 하나 이상의 구현예들의 세부사항들은 첨부된 도면들 및 이하의 기술 (description) 에 제시된다. 다른 특징들, 양태들, 및 이점들은 기술, 도면들, 및 청구항으로부터 명백해질 것이다.
일부 구현 예들에서, 공칭 (nominal) 직경 D 1의 하나 이상의 웨이퍼들을 핸들링하기 위한 장치가 제공될 수도 있다. 장치는 공칭 직경 D 1의 하나 이상의 웨이퍼들이 상부에 배치될 때 공칭 직경 D 1의 하나 이상의 웨이퍼들을 지지하도록 구성된 웨이퍼 핸들링 로봇; 제 1 에지 검출 시스템; 및 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함하는 제어기를 포함할 수도 있다. 하나 이상의 프로세서들, 하나 이상의 메모리 디바이스들, 웨이퍼 핸들링 로봇, 및 제 1 에지-검출 시스템은 서로 동작 가능하게 연결될 수도 있고, 그리고 하나 이상의 메모리 디바이스들은: a) 웨이퍼 핸들링 로봇의 제 1 기준 지점에 관한 정보를 획득하고; b) 하나 이상의 웨이퍼들의 제 1 세트가 웨이퍼 핸들링 로봇에 의해 지지된다고 결정하고―하나 이상의 웨이퍼들의 제 1 세트는 수직 축을 따라 볼 때 수평 평면의 실루엣 에지를 규정함―; c) 제 1 에지-검출 시스템으로 하여금 제 1 기준 지점에 대해 하나 이상의 웨이퍼들의 제 1 세트의 실루엣 에지를 따라 적어도 5 지점들의 제 1 수평 좌표들을 나타내는 정보를 획득하게 하고; d) 하나 이상의 웨이퍼들의 제 1 세트에 대해, 수직 축을 따라 볼 때, (c) 에서 결정된 적어도 5 개의 지점들의 제 1 수평 좌표들을 둘러싸는 가장 작은 원을 결정하고; e) 하나 이상의 웨이퍼들의 제 1 세트에 대해, 하나 이상의 웨이퍼들의 제 1 세트에 대해 가장 작은 원의 중심으로부터 제 1 기준 지점으로 연장하는 제 1 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 1 중심 이탈 (deviation) 을 결정하고; f) 가장 작은 원의 직경과 D 1 사이의 차에 기초하여 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량 (slip amount) 을 결정하고; 그리고 g) 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양을 초과하는지 여부를 결정하도록 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예에서, 제 1 에지-검출 시스템은 3 개의 제 1 투과-빔 (through-beam) 광 센서들을 포함할 수도 있고, 제 1 투과-빔 광 센서 각각은, 활성화될 때 대응하는 수직으로 배향된 광 빔을 방출하도록 구성되고, 제 1 투과-빔 광 센서들이 활성화될 때 임의의 광 빔들 사이의 가장 먼 수평 거리가 D 1 미만이도록 위치되고, 그리고 제 1 투과-빔 광 센서가 활성화될 때, 방출된 광 빔이 객체의 에지와 교차할 때를 등록하도록 구성될 수도 있다.
장치의 일부 구현 예들에서, 제 1 에지-검출 시스템은 머신-비전 시스템 (machine-vision system) 을 포함할 수도 있고, 머신-비전 시스템은 제 1 기준 지점에 대한 하나 이상의 웨이퍼들의 제 1 세트의 실루엣 에지를 따라 적어도 5 개의 지점들의 제 1 수평 좌표들을 나타내는 정보를 획득하도록 구성될 수도 있다.
장치의 일부 구현 예들에서, 제 1 에지-검출 시스템은 3 개 이상의 직접 투과-빔 광 센서들의 세트, 3 개 이상의 반사형 투과-빔 광 센서들의 세트, 머신 비전 측정 시스템, 또는 3 개 이상의 용량성 센서들의 세트 중 하나 이상을 포함한다.
장치의 일부 구현 예들에서, 웨이퍼 핸들링 로봇은 N 개의 블레이드를 갖는 엔드 이펙터를 포함할 수도 있고, 하나 이상의 웨이퍼들의 제 1 세트는 N 개 이하의 웨이퍼들을 포함할 수도 있고, 블레이드 각각은 공칭 직경 D 1의 웨이퍼들 중 하나를 지지하도록 구성될 수도 있고, 그리고 N은 1보다 클 수도 있다.
장치의 일부 구현 예들에서, N 개의 블레이드들은 서로에 대해 고정된 N-1 개의 블레이드들의 제 1 세트를 포함할 수도 있고, N-1 개의 블레이드들의 제 1 세트는 N-1 개의 블레이드들의 제 1 세트가 부착되는 웨이퍼 핸들링 로봇의 일부에 대해 유닛으로서 이동하도록 구성될 수도 있고, 그리고 N-1 개의 블레이드들의 제 1 세트 내에 있지 않은 엔드 이펙터의 블레이드는 N-1 개의 블레이드들의 제 1 세트가 N-1 개의 블레이드들의 제 1 세트와 독립적으로 부착되는 웨이퍼 핸들링 로봇의 부분에 대해 이동 가능하도록 구성될 수도 있다. 장치의 일부 구현 예들에서, N은 5일 수도 있다.
장치의 일부 구현 예들에서, 웨이퍼 핸들링 로봇은 정확히 하나의 블레이드를 갖는 엔드 이펙터를 포함할 수도 있고 하나 이상의 웨이퍼들의 제 1 세트는 정확히 하나의 웨이퍼를 포함할 수도 있다.
장치의 일부 구현 예들에서, 장치는 하나 이상의 웨이퍼들의 제 1 세트를 수용하도록 구성된 하나 이상의 제 1 웨이퍼 지지부들을 포함하는 제 1 웨이퍼 리셉터클 (receptacle) 을 더 포함할 수도 있다. 이러한 구현 예들에서, 하나 이상의 제 1 웨이퍼 지지부들은 각각 그 위에 그리고 제 1 웨이퍼 리셉터클과 연관된 한계 영역 엔벨로프 내에 배치된 웨이퍼를 지지하도록 구성될 수도 있고, D 2의 최소 수평 치수를 갖고, D 2는 적어도 제 1 문턱 값 양보다 크다.
장치의 일부 구현 예들에서, 제 1 웨이퍼 리셉터클은, 제 2 에지-검출 시스템이 활성화될 때, 객체의 에지가 제 2 에지-검출 시스템에 의해 모니터링된 하나 이상의 제 2 수평 위치들과 교차할 때를 등록하도록 구성된 제 2 에지-검출 시스템을 더 포함할 수도 있다.
장치의 일부 구현 예들에서, 하나 이상의 메모리 디바이스들은, 적어도 부분적으로, 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양보다 작다는 결정에 응답하여, 웨이퍼 핸들링 로봇으로 하여금 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들 중 적어도 일부를 제 1 웨이퍼 리셉터클 내로 배치하게 하도록 하나 이상의 프로세서들을 더 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 더 저장할 수도 있다.
장치의 일부 추가 구현 예들에서, 하나 이상의 메모리 디바이스들은, 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 기준 라인 세그먼트의 길이 및 배향을 나타내는 정보에 기초하여 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 웨이퍼 오프셋을 결정하고; 그리고 하나 이상의 웨이퍼들의 제 1 세트가 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 웨이퍼 핸들링 로봇으로 하여금 하나 이상의 웨이퍼들의 제 1 세트의 적어도 일부 웨이퍼들을 제 1 웨이퍼 오프셋에 따라 제 1 웨이퍼 리셉터클 내로 배치하게 하도록 하나 이상의 프로세서들을 더 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 더 저장할 수도 있다.
장치의 일부 구현 예들에서, 하나 이상의 메모리 디바이스들은, 적어도 부분적으로, 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양보다 크다는 결정에 응답하여, 웨이퍼 핸들링 로봇으로 하여금 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들을 제 2 웨이퍼 리셉터클 내로 배치하게 하고, 그 후 그리고 제 2 시간 기간 동안, 웨이퍼 핸들링 로봇으로 하여금 웨이퍼들의 제 1 세트의 웨이퍼 각각에 대해: 제 2 웨이퍼 리셉터클로부터 웨이퍼를 회수하고, 웨이퍼가 웨이퍼 핸들링 로봇에 의해 지지되는 동안, 제 1 에지-검출 시스템으로 하여금 제 1 기준 지점에 대해 웨이퍼의 실루엣 에지를 따라 적어도 3 개의 제 2 수평 좌표들을 나타내는 정보를 획득하게 하고, 적어도 3 개의 제 2 수평 좌표들을 사용하여 제 1 기준 지점에 대해 웨이퍼의 추정된 중심 지점을 결정하고, 웨이퍼의 추정된 중심 지점으로부터 제 1 기준 지점으로 연장하는 제 2 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 2 중심 이탈을 결정하고, 웨이퍼에 대한 제 2 기준 라인 세그먼트의 길이 및 배향을 나타내는 정보에 기초하여 웨이퍼에 대한 제 2 웨이퍼 오프셋을 결정하고, 그리고 웨이퍼가 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 웨이퍼 핸들링 로봇으로 하여금 제 2 웨이퍼 오프셋에 따라 제 1 웨이퍼 리셉터클 내로 웨이퍼를 배치하게 하도록 하나 이상의 프로세서들을 더 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 더 저장할 수도 있다.
일부 구현 예들에서, 공칭 직경 D 1의 하나 이상의 웨이퍼들을 핸들링하는 방법이 제공될 수도 있다. 방법은 a) 하나 이상의 웨이퍼들의 제 1 세트가 상부에 배치될 때, 하나 이상의 웨이퍼들의 제 1 세트를 지지하도록 구성된 웨이퍼 핸들링 로봇을 사용하여 공칭 직경 D 1의 하나 이상의 웨이퍼들의 제 1 세트를 회수하는 단계로서, 하나 이상의 웨이퍼들의 제 1 세트는 수직 축을 따라 볼 때 수평 평면에서 실루엣 에지를 규정하는, 웨이퍼들의 제 1 세트를 회수하는 단계; b) 제 1 에지 검출 시스템을 사용하여 웨이퍼 핸들링 로봇의 제 1 기준 지점에 관한 정보를 획득하는 단계; c) 제 1 에지-검출 시스템을 사용하여 제 1 기준 지점에 대해 하나 이상의 웨이퍼들의 제 1 세트의 실루엣 에지를 따라 적어도 5 개의 지점들의 제 1 수평 좌표들을 나타내는 정보를 획득하는 단계; d) 하나 이상의 웨이퍼들의 제 1 세트에 대해, 수직 축을 따라 볼 때, 단계 (c) 에서 결정된 적어도 5 개의 지점들의 제 1 수평 좌표들을 둘러싸는 가장 작은 원을 결정하는 단계; e) 하나 이상의 웨이퍼들의 제 1 세트에 대해, 하나 이상의 웨이퍼들의 제 1 세트에 대해 가장 작은 원의 중심으로부터 제 1 기준 지점으로 연장하는 제 1 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 1 중심 이탈을 결정하는 단계; f) 가장 작은 원의 직경 사이의 차에 기초하여 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량을 결정하는 단계; 및 g) 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양을 초과하는지 여부를 결정하는 단계를 포함할 수도 있다.
방법의 일부 구현 예들에서, 제 1 에지 검출 시스템은 3 개의 제 1 투과-빔 광 센서들을 포함할 수도 있고; 제 1 투과-빔 광 센서 각각은: 활성화될 때 대응하는 수직으로 배향된 광 빔을 방출하도록 구성되고, 제 1 투과-빔 광 센서들이 활성화될 때 임의의 광 빔들 사이의 가장 먼 수평 거리가 D 1 미만이도록 위치되고, 그리고 제 1 투과-빔 광 센서가 활성화될 때, 방출된 광 빔이 객체의 에지와 교차할 때를 등록하도록 구성될 수도 있다. 이러한 구현 예들에서, 단계 (c) 는 하나 이상의 웨이퍼들의 제 1 세트의 실루엣 에지가 제 1 투과-빔 광 센서들 중 하나에 의해 방출된 광 빔들 중 하나와 교차하는 경우 각각에 대한 수평 좌표들을 획득함으로써 수행될 수도 있다.
방법의 일부 구현 예들에서, 방법은 제 1 에지-검출 시스템은 제 1 기준 지점에 대한 하나 이상의 웨이퍼들의 제 1 세트의 실루엣 에지를 따라 적어도 5 개의 지점들의 제 1 수평 좌표들을 나타내는 정보를 획득하도록 구성된 머신-비전 시스템을 포함할 수도 있고, 단계 (c) 는 적어도 5 개의 지점들의 수평 좌표들을 획득하기 위해 머신-비전 시스템을 사용하여 수행될 수도 있다.
방법의 일부 구현 예들에서, 제 1 에지-검출 시스템은 3 개 이상의 직접 투과-빔 광 센서들의 세트, 3 개 이상의 반사형 투과-빔 광 센서들의 세트, 머신 비전 측정 시스템, 및 3 개 이상의 용량성 센서들의 세트로 구성된 그룹으로부터 선택된 하나 이상의 아이템들을 포함할 수도 있다.
방법의 일부 구현 예들에서, 웨이퍼 핸들링 로봇은 N 개의 블레이드를 갖는 엔드 이펙터를 포함할 수도 있고, 하나 이상의 웨이퍼들의 제 1 세트는 N 개 이하의 웨이퍼들을 포함할 수도 있고, 블레이드 각각은 공칭 직경 D 1의 웨이퍼들 중 하나를 지지하도록 구성될 수도 있고, 그리고 N은 1보다 클 수도 있다.
방법의 일부 추가 구현 예들에서, N 개의 블레이드들은 서로에 대해 고정된 N-1 개의 블레이드들의 제 1 세트를 포함할 수도 있고, N-1 개의 블레이드들의 제 1 세트는 N-1 개의 블레이드들의 제 1 세트가 부착되는 웨이퍼 핸들링 로봇의 일부에 대해 유닛으로서 이동하도록 구성될 수도 있고, 그리고 N-1 개의 블레이드들의 제 1 세트 내에 있지 않은 엔드 이펙터의 블레이드는 N-1 개의 블레이드들의 제 1 세트가 N-1 개의 블레이드들의 제 1 세트와 독립적으로 부착되는 웨이퍼 핸들링 로봇의 부분에 대해 이동 가능하도록 구성될 수도 있다. 방법의 일부 이러한 구현 예들에서, N은 5일 수도 있다.
방법의 일부 구현 예들에서, 웨이퍼 핸들링 로봇은 정확히 하나의 블레이드를 갖는 엔드 이펙터를 포함할 수도 있고 하나 이상의 웨이퍼들의 제 1 세트는 정확히 하나의 웨이퍼를 포함할 수도 있다.
방법의 일부 구현 예들에서, D 1는 적어도 제 1 문턱 값 양만큼 D 2보다 작을 수도 있고, D 2는 하나 이상의 웨이퍼들의 제 1 세트를 수용하도록 구성된 하나 이상의 제 1 웨이퍼 지지부들을 포함하는 제 1 웨이퍼 리셉터클과 연관된 한계 영역 엔벨로프의 최소 수평 치수일 수도 있고, 그리고 하나 이상의 제 1 웨이퍼 지지부들은 각각 그 위에 그리고 한계 영역 엔벨로프 내에 배치된 웨이퍼를 지지하도록 구성될 수도 있다. 일부 이러한 구현 예들에서, 제 1 웨이퍼 리셉터클은 제 2 에지-검출 시스템이 활성화될 때, 객체의 에지가 제 2 에지-검출 시스템에 의해 모니터링된 하나 이상의 제 2 수평 위치들과 교차할 때를 등록하도록 구성된 제 2 에지-검출 시스템을 더 포함할 수도 있다.
방법의 일부 구현 예들에서, 방법은 단계 (g) 에서, 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양보다 작다는 것을 결정하는 단계, 및 h) 적어도 부분적으로, 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양보다 작다는 결정에 응답하여, 웨이퍼 핸들링 로봇으로 하여금 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들 중 적어도 일부를 제 1 웨이퍼 리셉터클 내로 배치하게 하는 단계를 더 포함할 수도 있다.
방법의 일부 이러한 구현 예들에서, 방법은 i) 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 기준 라인 세그먼트의 길이 및 배향을 나타내는 정보에 기초하여 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 웨이퍼 오프셋을 결정하는 단계; 및 j) 하나 이상의 웨이퍼들의 제 1 세트가 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 웨이퍼 핸들링 로봇으로 하여금 하나 이상의 웨이퍼들의 제 1 세트의 적어도 일부 웨이퍼들을 제 1 웨이퍼 오프셋에 따라 제 1 웨이퍼 리셉터클 내로 배치하게 하는 단계를 더 포함할 수도 있다.
방법의 일부 구현 예들에서, 방법은 h) 적어도 부분적으로, 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양보다 크다는 결정에 응답하여, 웨이퍼 핸들링 로봇으로 하여금 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들을 제 2 웨이퍼 리셉터클 내로 배치하게 하는 단계; 및 i) 단계 (h) 후 그리고 제 2 시간 기간 동안, 웨이퍼 핸들링 로봇으로 하여금 웨이퍼들의 제 1 세트의 웨이퍼 각각에 대해: 제 2 웨이퍼 리셉터클로부터 웨이퍼를 회수하고, 웨이퍼가 웨이퍼 핸들링 로봇에 의해 지지되는 동안, 제 1 에지-검출 시스템으로 하여금 제 1 기준 지점에 대해 웨이퍼의 실루엣 에지를 따라 적어도 3 개의 제 2 수평 좌표들을 나타내는 정보를 획득하게 하고, 적어도 3 개의 제 2 수평 좌표들을 사용하여 제 1 기준 지점에 대해 웨이퍼의 추정된 중심 지점을 결정하고, 웨이퍼의 추정된 중심 지점으로부터 제 1 기준 지점으로 연장하는 제 2 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 2 중심 이탈을 결정하고, 웨이퍼에 대한 제 2 기준 라인 세그먼트의 길이 및 배향을 나타내는 정보에 기초하여 웨이퍼에 대한 제 2 웨이퍼 오프셋을 결정하고, 그리고 웨이퍼가 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 웨이퍼 핸들링 로봇으로 하여금 제 2 웨이퍼 오프셋에 따라 제 1 웨이퍼 리셉터클 내로 웨이퍼를 배치하게 하는 단계를 더 포함할 수도 있다.
일부 구현 예들에서, a) 하나 이상의 웨이퍼들의 제 1 세트가 상부에 배치될 때, 하나 이상의 웨이퍼들의 제 1 세트를 지지하도록 구성된 웨이퍼 핸들링 로봇을 사용하여 공칭 직경 D 1의 하나 이상의 웨이퍼들의 제 1 세트를 회수하게 하고―하나 이상의 웨이퍼들의 제 1 세트는 수직 축을 따라 볼 때 수평 평면에서 실루엣 에지를 규정함―; b) 제 1 에지 검출 시스템을 사용하여 웨이퍼 핸들링 로봇의 제 1 기준 지점에 관한 정보를 획득하고; c) 제 1 에지-검출 시스템을 사용하여 제 1 기준 지점에 대해 하나 이상의 웨이퍼들의 제 1 세트의 실루엣 에지를 따라 적어도 5 개의 지점들의 제 1 수평 좌표들을 나타내는 정보를 획득하고; d) 하나 이상의 웨이퍼들의 제 1 세트에 대해, 수직 축을 따라 볼 때, 단계 (c) 에서 결정된 적어도 5 개의 지점들의 제 1 수평 좌표들을 둘러싸는 가장 작은 원을 결정하고; e) 하나 이상의 웨이퍼들의 제 1 세트에 대해, 하나 이상의 웨이퍼들의 제 1 세트에 대해 가장 작은 원의 중심으로부터 제 1 기준 지점으로 연장하는 제 1 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 1 중심 이탈을 결정하고; f) 가장 작은 원의 직경 사이의 차에 기초하여 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량을 결정하고; 그리고 g) 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양을 초과하는지 여부를 결정하도록, 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는 비 일시적, 컴퓨터-판독 가능 매체가 제공될 수도 있다.
비 일시적, 컴퓨터-판독 가능 매체의 일부 구현 예들에서, 제 1 에지 검출 시스템은 3 개의 제 1 투과-빔 광 센서들을 포함할 수도 있고; 제 1 투과-빔 광 센서 각각은: 활성화될 때 대응하는 수직으로 배향된 광 빔을 방출하도록 구성되고, 제 1 투과-빔 광 센서들이 활성화될 때 임의의 광 빔들 사이의 가장 먼 수평 거리가 D 1 미만이도록 위치되고, 그리고 제 1 투과-빔 광 센서가 활성화될 때, 방출된 광 빔이 객체의 에지와 교차할 때를 등록하도록 구성된다. 이러한 구현 예들에서, 비 일시적, 컴퓨터-판독가능 매체는 (c) 의 수평 좌표들이 하나 이상의 웨이퍼들의 제 1 세트의 실루엣 에지가 제 1 투과-빔 광 센서들 중 하나에 의해 방출된 광 빔들 중 하나와 교차하는 경우 각각에 대해 획득되게 하도록 3 개의 제 1 투과-빔 광 센서들을 동작시키도록 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 저장할 수도 있다.
비 일시적, 컴퓨터-판독 가능 매체의 일부 구현 예들에서, 제 1 에지-검출 시스템은 머신-비전 시스템을 포함할 수도 있고, 머신-비전 시스템은 제 1 기준 지점에 대한 하나 이상의 웨이퍼들의 제 1 세트의 실루엣 에지를 따라 적어도 5 개의 지점들의 제 1 수평 좌표들을 나타내는 정보를 획득하도록 구성되고, 그리고 비 일시적, 컴퓨터-판독가능 매체는 머신-비전 시스템과 인터페이싱하고 (c) 의 수평 좌표들이 머신-비전 시스템을 사용하여 획득되게 하도록 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 저장할 수도 있다.
비 일시적, 컴퓨터-판독가능 매체의 일부 구현 예들에서, 제 1 에지-검출 시스템은 3 개 이상의 직접 투과-빔 광 센서들의 세트, 3 개 이상의 반사형 투과-빔 광 센서들의 세트, 머신 비전 측정 시스템, 또는 3 개 이상의 용량성 센서들의 세트 중 하나 이상을 포함할 수도 있고, 비 일시적, 컴퓨터-판독가능 매체는 하나 이상의 프로세서들로 하여금 3 개 이상의 직접 투과-빔 광 센서들의 세트, 3 개 이상의 반사형 투과-빔 광 센서들의 세트, 머신 비전 측정 시스템, 또는 3 개 이상의 용량성 센서들의 세트 중 하나 이상과 인터페이싱하고 통신하게 하기 위한 인스트럭션들을 더 저장할 수도 있다.
비 일시적, 컴퓨터-판독가능 매체의 일부 구현 예들에서, D 1는 적어도 제 1 문턱 값 양만큼 D 2보다 작을 수도 있고, D 2는 하나 이상의 웨이퍼들의 제 1 세트를 수용하도록 구성된 하나 이상의 제 1 웨이퍼 지지부들을 포함하는 제 1 웨이퍼 리셉터클과 연관된 한계 영역 엔벨로프의 최소 수평 치수일 수도 있고, 그리고 하나 이상의 제 1 웨이퍼 지지부들은 각각 그 위에 그리고 한계 영역 엔벨로프 내에 배치된 웨이퍼를 지지하도록 구성될 수도 있다.
비 일시적, 컴퓨터-판독가능 매체의 일부 구현 예들에서, 비 일시적, 컴퓨터-판독가능 매체는, (g) 에서, 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양보다 작다는 것을 결정하고, 그리고 h) 적어도 부분적으로, 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양보다 작다는 결정에 응답하여, 웨이퍼 핸들링 로봇으로 하여금 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들 중 적어도 일부를 제 1 웨이퍼 리셉터클 내로 배치하도록 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 저장할 수도 있다.
비 일시적, 컴퓨터-판독가능 매체의 일부 이러한 구현 예들에서, 비 일시적, 컴퓨터-판독가능 매체는, i) 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 기준 라인 세그먼트의 길이 및 배향을 나타내는 정보에 기초하여 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 웨이퍼 오프셋을 결정하고; 그리고 j) 하나 이상의 웨이퍼들의 제 1 세트가 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 웨이퍼 핸들링 로봇으로 하여금 하나 이상의 웨이퍼들의 제 1 세트의 적어도 일부 웨이퍼들을 제 1 웨이퍼 오프셋에 따라 제 1 웨이퍼 리셉터클 내로 배치하도록 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 저장할 수도 있다.
비 일시적, 컴퓨터-판독가능 매체의 일부 구현 예들에서, 비 일시적, 컴퓨터-판독가능 매체는 h) 적어도 부분적으로, 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량이 제 1 문턱 값 양보다 크다는 결정에 응답하여, 웨이퍼 핸들링 로봇으로 하여금 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들을 제 2 웨이퍼 리셉터클 내로 배치하게 하고; 그리고 i) (h) 후 그리고 제 2 시간 기간 동안, 웨이퍼 핸들링 로봇으로 하여금 웨이퍼들의 제 1 세트의 웨이퍼 각각에 대해: 제 2 웨이퍼 리셉터클로부터 웨이퍼를 회수하고, 웨이퍼가 웨이퍼 핸들링 로봇에 의해 지지되는 동안, 제 1 에지-검출 시스템으로 하여금 제 1 기준 지점에 대해 웨이퍼의 실루엣 에지를 따라 적어도 3 개의 제 2 수평 좌표들을 나타내는 정보를 획득하게 하고, 적어도 3 개의 제 2 수평 좌표들을 사용하여 제 1 기준 지점에 대해 웨이퍼의 추정된 중심 지점을 결정하고, 웨이퍼의 추정된 중심 지점으로부터 제 1 기준 지점으로 연장하는 제 2 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 2 중심 이탈을 결정하고, 웨이퍼에 대한 제 2 기준 라인 세그먼트의 길이 및 배향을 나타내는 정보에 기초하여 웨이퍼에 대한 제 2 웨이퍼 오프셋을 결정하고, 그리고 웨이퍼가 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 웨이퍼 핸들링 로봇으로 하여금 제 2 웨이퍼 오프셋에 따라 제 1 웨이퍼 리셉터클 내로 웨이퍼를 배치하게 하도록 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 저장할 수도 있다.
본 명세서에 개시된 다양한 구현예들은 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는 첨부된 도면들의 도면들에, 제한이 아니라 예로서 예시된다.
도 1a 및 도 1b는 웨이퍼 간 오정렬을 겪은 웨이퍼들의 스택을 도시한다.
도 2a 내지 도 2g는 향상된 AWC 시스템의 일부인 3 개의 투과-빔 광 센서들의 광 빔들을 통해 병진되는 (translate) 캘리브레이션 웨이퍼의 도면들을 도시한다.
도 2h는 캘리브레이션 측정들에 기초하여 투과-빔 광 센서들의 잠재적인 위치들을 도시한다.
도 3은 향상된 AWC 시스템을 사용하기 위한 기법의 흐름도를 도시한다.
도 4 내지 도 13은 다양한 동작 상태들의 향상된 AWC 시스템을 포함하는 반도체 프로세싱 툴의 예시적인 부분들을 도시한다.
도 14a 내지 도 14g는 엔드 이펙터 상에 배치되고 3 개의 투과-빔 광 센서들의 세트를 통해 병진되는 웨이퍼들의 스택의 평면도 (top-down view) 의 도면들을 도시한다.
도 15는 표 1 및 표 2를 도시한다.
도 16은 멀티-웨이퍼 실루엣 에지에 대한 예시적인 가장 작은 원을 도시한다.
도 17은 실루엣 에지가 제거된, 도 16의 가장 작은 원을 도시한다.
본 명세서의 도면들은 일반적으로 축척대로 도시되지 않지만, 예를 들어 이하에 논의된 바와 같이, 도면들의 다양한 양태들은 축척대로 도시될 수도 있다.
웨이퍼 핸들링 로봇들은 통상적으로 예를 들어, 로봇 암 조인트 각각의 회전량을 모니터링하는 매우 정밀한 포지셔닝 센서들을 구비한다. 이러한 회전들을 측정하고 로봇 암의 링크 각각의 회전 중심들 사이의 거리를 아는 것을 통해, 웨이퍼 핸들링 로봇은 로봇 암 베이스 및 세계 좌표계 (world coordinate system) 에 대해 매우 정밀하게 엔드 이펙터를 포함하여, 로봇 암 상의 임의의 지점의 위치를 추적할 수 있다.
그러나, 웨이퍼 핸들링 로봇들은 반도체 프로세싱 툴의 다른 객체들, 예를 들어, 로드 록들, FOUP들 (front-opening unified pods), 웨이퍼 버퍼들, 등과 상호 작용해야 한다. 이러한 부가적인 컴포넌트들은 다양한 위치들에서 반도체 프로세싱 툴에 장착될 수도 있고, 각각은 어셈블리 허용 오차들 및 다른 인자들로 인해 다른 컴포넌트들 및/또는 웨이퍼 핸들링 로봇에 대해 장착되는 방법에 있어서 약간의 변동들을 가질 수도 있다. 일단 웨이퍼 핸들링 로봇이 툴 내에 설치되면 (그리고 그 후 주기적으로, 예를 들어, 서비스를 위해 장비가 제거되고 재설치되거나 교체된 후), 웨이퍼 핸들링 로봇은 각각의 잠재적인 웨이퍼 픽-업 및 드롭-오프 위치 (또는 "스테이션") 의 정확한 위치들을 "학습"하도록 훈련될 수도 있다.
이러한 훈련은, 예를 들어, 로봇 암이 로봇 암 링크들에 대한 외력의 인가를 통해 자유롭게 재포지셔닝될 수도 있는 자유 이동 모드로 로봇 암을 배치하고 이어서 웨이퍼 스테이션 각각에 대한 목표된 위치에 로봇 암의 엔드 이펙터를 포지셔닝함으로써 수행될 수도 있다. 대부분의 적용 예들에서, 이는 엔드 이펙터의 공칭 중심 지점과 정렬하는 것을 수반할 수도 있고, 즉, 엔드 이펙터에 의해 최적으로 지지되는 것으로 간주되는 웨이퍼의 중심선과 일치하는 엔드 이펙터의 위치 (예를 들어, 엔드 이펙터의 웨이퍼 지지 패드들 사이에 센터링되거나 엔드 이펙터의 센터 라인을 따라 센터링됨) 는 스테이션 상에 완벽하게 배치된 웨이퍼의 중심과 이상적으로 교차하는 스테이션의 축과 정렬될 것이다. 웨이퍼 스테이션 각각에 대한 엔드 이펙터의 이러한 포지셔닝은 웨이퍼 스테이션 및/또는 엔드 이펙터에 대해 제자리에 고정될 수도 있고 엔드 이펙터로 하여금 정확하게 포지셔닝되게 하도록 엔지니어링되는 픽스처 (fixture) 의 사용을 통해 달성될 수도 있다. 일단 이러한 상대적인 포지셔닝이 설정되면, 웨이퍼 핸들링 로봇은 스테이션 위치를 "학습"하게 할 수도 있고, 예를 들어, 웨이퍼 핸들링 로봇은 웨이퍼 핸들링 로봇 포지셔닝 센서들로부터의 피드백 및 다른 정보, 예를 들어, 로봇 암 조인트들의 회전 중심들 사이의 거리에 기초하여 스테이션 위치의 위치를 결정할 수도 있다. 일단 웨이퍼 핸들링 로봇이 모든 스테이션 위치들을 학습하면, 로봇은 학습된 위치 정보에 기초하여 나중에 임의의 학습된 스테이션 위치로 리턴되게 할 수도 있다.
로봇 암이 포지셔닝 센서들에 의해 동작 동안 다양한 학습된 스테이션 위치들 사이를 정밀하고 반복적으로 네비게이팅하게 할 수도 있지만, 웨이퍼와 엔드 이펙터 사이에 슬립이 있다면, 웨이퍼는 스페이션에 배치될 때, 이 스테이션의 이상적인 위치 또는 타깃 위치에 대해 중심을 벗어날 것이다. 이러한 잠재적인 오정렬을 보정하기 위해, 자동 웨이퍼 센터링 (automatic wafer centering) 으로 불리는 기술이 사용될 수도 있다.
AWC (automatic wafer centering) 를 갖는 장비에서, 정밀한 웨이퍼 배치가 요구되는 스테이션 각각은 한 쌍의 투과-빔 광 센서들을 구비할 수도 있다; 투과-빔 광 센서 각각은 수직으로 배향된 광 빔을 제공하도록 구성될 수도 있다. 이러한 센서들은 보통 웨이퍼 핸들링 로봇에 의한 스테이션으로의 입구를 통해 병진함에 따라, 웨이퍼 에지가 수직으로 배향된 광 빔 각각과 2 회 (1 회는 웨이퍼의 선단 에지 (leading edge) 와, 1 회는 웨이퍼의 후미 에지 (trailing edge) 와) 교차하도록 스테이션의 입구에 포지셔닝된다. 투과-빔 광 센서들은 웨이퍼 에지가 광 빔들 중 하나와 교차할 때마다 로봇 암 제어기로 신호를 전송할 수도 있다. 투과-빔 광 센서가 대응하는 광 빔과 에지 교차를 검출할 때 투과-빔 광 센서들의 XY 위치들 및 엔드 이펙터의 공칭 중심 지점의 XY 위치가 공지된다면, 공칭 중심 지점에 대한 에지 교차점의 XY 위치가 결정될 수도 있다.
AWC 시스템의 정상 동작 전에, 캘리브레이션 웨이퍼 (calibration wafer) 는 캘리브레이션 웨이퍼가 측방향으로 슬립되는 것을 방지하기 위해 반복 가능하고 안전한 방식으로 엔드 이펙터에 대해 제자리에 캘리브레이션 웨이퍼를 고정하기 위한 하나 이상의 핀들 또는 다른 고정 디바이스들을 사용하여 엔드 이펙터에 대해 특정한 위치, 예를 들어, 앞서 논의된 공칭 중심 지점에 센터링되도록 미리 설정된 위치에서 엔드 이펙터에 부착될 수도 있다. 캘리브레이션 웨이퍼는 공지의 직경, 예를 들어, 300 ㎜를 갖는 정밀-머시닝된 원형 디스크일 수도 있다. 이어서 캘리브레이션 웨이퍼는 AWC 시스템의 투과-빔 광 센서 쌍을 통해 선형으로 병진될 수도 있어서, 투과-빔 광 센서 각각이 캘리브레이션 웨이퍼의 에지를 2 회 검출하고 (캘리브레이션 웨이퍼가 광 빔에 진입할 때 1 회 그리고 캘리브레이션 웨이퍼가 광 빔을 나갈 때 2 회) 엔드 이펙터의 공칭 중심 지점의 XY 좌표들은 투과-빔 광 센서 각각의 광 빔과 캘리브레이션 웨이퍼 에지의 교차 지점 각각에 대해 결정될 수도 있다. 투과-빔 광 센서 각각의 XY 좌표들은 투과-빔 광 센서에 의해 검출된 2 개의 에지 교차점들과 연관된 공칭 중심 지점 좌표들 및 캘리브레이션 웨이퍼의 공지된 반경을 사용함으로써 결정될 수도 있다. 예를 들어, 투과-빔 광학 센서의 위치 및 2 개의 공칭 중심 지점 좌표들은 2 개의 공칭 중심 지점 좌표들 사이에서 연장하는 일 측면 (이의 길이는 이들 2 개의 좌표 사이의 XY 거리에 기초하여 계산될 수도 있음) 과 캘리브레이션 웨이퍼의 반경과 동일한 길이를 갖는 나머지 2 개의 측면들을 갖는 삼각형을 형성할 수도 있다. 이러한 정보에 기초하여, 투과-빔 광학 센서의 2 개의 가능한 위치들이 식별될 수도 있다 (공칭 중심 지점의 양측에 하나). 이어서 2 개의 잠재적인 위치들 중 가장 가능성이 높은 후보가 선택될 수도 있다 (예를 들어, 투과-빔 광 센서의 위치가 예상될 수도 있는 미리 규정된 공간적 엔벨로프가 있을 수도 있고; 하나는 엔벨로프 내에 있을 수도 있고 다른 하나는 외부에 있을 수도 있다-내부에 있는 위치는 실제 위치로 선택될 수도 있다).
투과-빔 광 센서들의 위치들의 캘리브레이션 및 결정 후에, AWC 시스템은 엔드 이펙터에 대해 슬립을 경험할 수도 있는 정상 웨이퍼들을 스캔하도록 사용될 수도 있다. 정상 웨이퍼, 예를 들어, 반도체 프로세싱 툴에서 프로세싱될 웨이퍼가 엔드 이펙터 상에 배치되고 이어서 AWC 시스템을 통해 이동하게 될 때, XY 위치는 웨이퍼 에지가 투과-빔 광 센서들 중 하나의 광 빔과 교차하는 위치 (사실상, 에지 교차점을 검출한 투과-빔 광 센서의 XY 위치) 각각에 대해 획득될 수도 있다. 동시에 엔드 이펙터의 공칭 중심 지점의 연관된 XY 좌표들 및 이러한 교차 지점 각각에 대한 XY 좌표들에 기초하여, 공칭 중심 지점에 대한 에지 교차 지점의 XY 위치가 결정될 수도 있다. 4 개의 에지 교차 지점 모두가 공지된 직경의 원형 웨이퍼의 에지를 따라 놓이기 때문에, 엔드 이펙터의 공칭 중심 지점에 대한 웨이퍼 중심의 위치는 4 개의 좌표들 중 임의의 3 개의 좌표들을 사용하여 결정될 수도 있다. 통상적인 시스템에서, 동일한 중심 지점을 공유하는 (모두 동일한 원형 웨이퍼를 측정하기 때문에) 일반적으로 동일한 사이즈의 원들을 결정하기 위해 3 개의 지점들의 4 개의 세트들이 평가될 수도 있다; 결정된 원들 중 하나가 너무 작다면, 사용된 에지 교차점들 중 하나가 원형 에지 상에 있지 않은 위치, 예를 들어, 원형 에지의 인덱싱 노치의 웨이퍼 에지와 교차하는 경우일 가능성이 높기 때문에, 그 원 결정은 무시될 수도 있다. 완벽하게 센터링된 웨이퍼에서, 웨이퍼의 중심 지점과 엔드 이펙터의 공칭 중심 지점은 XY 평면에서 서로 일치할 것이다. 그러나, 둘 사이에 오정렬이 있다면, 공칭 중심 지점과 웨이퍼 중심 지점들 사이의 X-오프셋 및 Y-오프셋이 결정될 수도 있고 이어서 웨이퍼로 하여금 타깃 위치 상에 재센터링되게 하도록 스테이션의 타깃 위치에서 웨이퍼의 최종 배치를 조정하기 위해 로봇 암 제어기에 의해 사용될 수도 있다.
본 발명자들은 전통적인 AWC 기법들이 고용량 엔드 이펙터들, 즉 스택된 배열로 동시에 복수의 웨이퍼들을 이송할 수도 있는 엔드 이펙터들에 대해 실행 가능하지 않을 것이라고 결정하였다. 예를 들어, 일부 엔드 이펙터들은 수직 스택으로 배열된 복수의, 예를 들어, 5 개의 블레이드들을 포함할 수도 있고, 블레이드 각각은 아래로부터 웨이퍼를 지지하도록 구성된다. 이러한 고용량 엔드 이펙터들을 구비한 웨이퍼 핸들링 로봇들의 이동 동안, 이에 따라 지지된 웨이퍼 각각은 콘택트 패드 마모 가변성, 웨이퍼 가변성, 및 다른 파라미터들과 같은 인자들에 따라 상이한 정도로 (심지어 상이한 방향들로) 슬립될 수도 있다. 이러한 오정렬된 웨이퍼 스택의 예는 도 1에 도시된다; 알 수 있는 바와 같이, 스택 (104) 내에 배치된 5 개의 웨이퍼들 (102) 이 있다. 웨이퍼들은 분리되어 도시되지만, 실제로는 이러한 스택된 배열, 예를 들어, FOUP와 같은 웨이퍼 리셉터클 또는 엔드 이펙터의 일부 다른 구조체에 의해 지지될 것이다. 이러한 오정렬의 결과로서, 엔드 이펙터에 의해 지지된 웨이퍼들의 스택은 XY 평면에서 볼 때 원형 실루엣 에지 (silhouette edge) 를 갖지 않을 수도 있다.
본 명세서에 사용된 바와 같이, 용어 "실루엣 에지"는 축을 따라 그리고 축에 수직인 평면 상으로 직교 투영될 때 객체들의 집합의 최외곽 에지(들)에 의해 규정된 프로파일을 지칭한다. 예를 들어, 2 개의 3" 정사각형들이 정사각형 에지들 중 하나에 평행한 축을 따라 서로 1"의 거리만큼 오프셋된 중심들을 사용하여 서로의 상단에 스택된다면, 정사각형의 평면에 평행한 평면에서 이러한 배열의 실루엣 에지는 3"x 4"의 직사각형이 될 것이다.
그 결과, 전통적인 AWC 기법들은 이들이 스택된 웨이퍼들과 함께 사용될 수 있도록 충분히 정확한 결과들을 제공하지 않는다. 본 발명자들은 통상적으로 사용되는 2 개의 투과-빔 광 센서들에 더하여 적어도 제 3 투과-빔 광 센서가 있는 AWC 시스템을 구상하였다. 이 향상된 AWC 시스템에서, 투과-빔 광 센서들을 통한 웨이퍼의 이동 각각은 통상적인 4 개의 XY 좌표들 대신에 적어도 6 개의 XY 좌표들을 발생시킬 것이다. 이어서 이들 6 개 이상의 좌표들은 통상적인 AWC 시스템의 4 개의 좌표들과 상이한 방식으로 향상된 AWC 시스템에 의해 처음으로 사용된다. 예를 들어, 통상적인 AWC 시스템에서, 웨이퍼가 미리 결정된 직경을 갖는 라운드 실루엣 에지를 갖는다는 가정이 이루어진다. 이하에 보다 상세히 기술된 향상된 AWC 시스템에서, 웨이퍼(들)의 직경 및, 웨이퍼들의 스택이 프로세싱되는 예들에서, 실루엣 에지의 원형도에 대해 단정적인 (categorical) 가정들이 이루어지지 않는다. 대신, 6 개 (또는 훨씬 보다 많은 투과-빔 광 센서들이 사용된다면, 보다 많은) 의 좌표들을 둘러싸는 (circumscribe) 가장 작은 직경 원 (또한 본 명세서에서 단순히 "가장 작은 원"으로 지칭됨) 에 대한 결정이 이루어진다. 이어서 이 가장 작은 원은 웨이퍼 스택의 경계들의 근사치로서 사용된다.
상기 논의들 및 본 명세서의 다른 곳에서 논의된 예들은 투과-빔 광 센서 시스템들에 초점을 맞추지만, 본 명세서에서 논의된 기법들은 다양한 미리 규정된 위치들을 갖는 객체, 예를 들어, 반도체 웨이퍼의 에지의 교차점과 연관된 수평 좌표들을 나타내는 정보를 획득하도록 구성된 임의의 적합한 에지-검출 시스템을 사용하여 구현될 수도 있다. 이러한 에지-검출 시스템들은 예를 들어, 이러한 측정들을 획득하도록 구성된 하나 이상의 센서들을 포함할 수도 있다. 일부 에지-검출 시스템들은 예를 들어, 투과-빔 광 센서들, 예를 들어, 광 빔을 방출하도록 구성된 광 빔 방출기 및 방출된 광 빔을 수용하도록 위치된 광 검출기를 포함하는 센서들과 같은 센서들을 활용할 수도 있다; 객체가 광 빔과 교차하고 이를 차단할 때, 이는 (광 빔과 일치하는) 미리 규정된 위치를 갖는 객체의 에지의 교차점으로서 처리될 수도 있다. 다른 에지-검출 시스템들은 다른 타입들의 센서들, 예를 들어, 용량성 센서들, 초음파 센서들, 또는 미리 규정된 위치들과 객체의 에지의 교차점들과 연관된 수평 좌표들을 나타내는 정보를 획득할 수 있는 다른 타입들의 센서들을 사용할 수도 있다. 일부 구현 예들에서, 에지-검출 시스템은 단일 센서, 예를 들어, 이미징 센서를 사용할 수도 있다. 이러한 이미징 센서-기반 에지-검출 시스템들에서, 머신 비전 알고리즘들 (machine vision algorithms) 은 분류된 에지-검출 위치들을 모니터링하도록 사용될 수도 있다.
본 명세서에 사용된 바와 같이, 용어 "둘러싸다"는 기하학적 도형들 (figure) 에 관한 정상적인 의미로, 즉 절단하지 않고 둘러싸는 도형을 기술하기 위해 사용된다. 지점들의 집합의 경우에, 지점들의 집합을 둘러싸는 기하학적 도형은 지점들의 집합 내의 지점 각각에 대해, a) 지점과 일치하거나 접촉하거나 b) 도형 내의 지점을 포함할 것이다, 즉, 지점들의 집합 내의 지점들 (이들 중 일부 또는 전부가 도형의 외부 경계를 따라 놓일 수도 있지만) 중 어느 것도 도형 외부에 위치되지 않을 것이다.
일단 가장 작은 원이 상기 기술된 바와 같이 결정되면, 공칭 중심 지점에 대한 가장 작은 원의 중심 이탈 (deviation) 은 엔드 이펙터의 공칭 중심 지점에 대한 가장 작은 원의 중심의 XY 좌표들을 결정함으로써 획득될 수도 있다. 이 중심 이탈은 통상적인 자동 웨이퍼 센터링 시스템들에 관하여 상기 기술된 바와 같이 사용될 수도 있다.
일부 구현 예들에서, 향상된 AWC 시스템들은 또한 가장 작은 원의 직경을 나타내는 정보에 관한 결정을 할 수도 있고, 이어서 예를 들어, 실린더형 스테이-아웃 존 (stay-out zone) 의 대응하는 문턱 값 양에 대해 이 정보를 비교할 수도 있다. 이러한 비교가 가장 작은 원이 스테이-아웃 존보다 크다는 것을 나타낸다면, 웨이퍼 스택이 충분히 오정렬되어 중심 이탈에 기초한 보정이 프로세스 요건들을 충족시키기에 충분하지 않다는 것을 나타내는 에러 조건이 인에이블될 수도 있다. 이러한 에러 조건은 이슈를 해결하기 위해 사용될 수도 있는 부가적인 동작들을 트리거할 수도 있다.
본 명세서에 기술된 바와 같이 향상된 AWC 시스템들의 동작을 이해할 필요는 없지만, 도 2a 내지 도 2g는 향상된 AWC 시스템의 일부인 3 개의 투과-빔 광 센서들의 광 빔들을 통해 병진되는 (translate) 캘리브레이션 웨이퍼의 도면들을 도시한다. 이러한 동작은 로봇 암 제어 시스템으로 하여금 향상된 AWC 시스템 각각에서 사용되는 3 개 이상의 투과-빔 광 센서들의 위치들을 결정하게 하도록 사용될 수도 있다.
도 2a에서, 반경 R, 예를 들어, 300 ㎜ 웨이퍼 시스템에 대해 150 ㎜인 캘리브레이션 웨이퍼 (206) 는 엔드 이펙터 (미도시) 의 블레이드 (208) 에 의해 지지되고 핀들 (210) 또는 다른 고정 엘리먼트들에 의해 블레이드 (208) 에 대해 제자리에 피닝된다 (pinned). 캘리브레이션 웨이퍼 (206) 는 블레이드 (208) 의 공칭 중심 지점 (214) (그리고 블레이드 (208) 가 일부인 엔드 이펙트) 과 정렬하는 중심 지점을 갖도록 피닝된다. 3 개 이상의 (이 예는 3 개의) 투과-빔 광 센서들 (212) 이 수직으로 배향된 방향 (도면들의 맥락에서 페이지에 수직) 으로 이동하는 광 빔들과 상이한 간격들로 이격된다. 이 예에서, (블레이드 (208) 의 일반적인 이동 방향이 주어지면) 투과-빔 광 센서들 (212) 은 일반적으로 한번에 하나의 투과-빔 광 센서 (212) 만이 캘리브레이션 웨이퍼 (206) 의 에지와 교차하도록 고르지 않게 이격되고, 따라서 단일 채널로 하여금 투과-빔 광 센서들 (212) 로부터 신호들을 수신하도록 사용되게 할 수도 있는 시분할 멀티플렉싱의 형태를 제공한다. 다른 구현 예들에서, 투과-빔 광 센서들 (212) 각각은 투과-빔 광 센서 각각의 출력이 시분할 멀티플렉싱에 의존하지 않고 독립적으로 모니터링될 수도 있도록 자신의 전용 채널에 연결될 수도 있다. 투과-빔 광 센서들 (212) 은 위치 정보의 보다 정확한 결정을 허용하도록 넓게 이격된 측정 지점들을 제공하기 위해, 일반적으로 웨이퍼(들)의 대부분, 예를 들어, 웨이퍼 직경의 80 % 내지 90 % 이상에 걸친 측정 지점들의 분포를 발생시키는 방식으로 분포될 수도 있다. 본 명세서에 논의된 예들에서, 예를 들어, 향상된 AWC 시스템을 통과할 때 이를 따라 엔드 이펙터의 공칭 중심 지점이 병진하는 축과 대응하는 기준 축으로부터 -132 ㎜, 60 ㎜, 및 142 ㎜에 위치된 3 개의 투과-빔 광 센서들이 있다. 물론, 주어진 반도체 프로세싱 툴의 특정한 제약들에 기초하여 다른 간격들 (및 심지어 부가적인 투과-빔 광 센서들) 이 또한 사용될 수도 있다는 것이 이해될 것이다. 예를 들어, 엔드 이펙터의 블레이드가 130 ㎜ 폭이면, 상기 논의된 기준 프레임으로부터 60 ㎜에 포지셔닝된 투과-빔 광 센서는 웨이퍼의 선단 에지만을 검출할 수 있을 것이다; 후미 에지는 블레이드에 의해 센서의 관점으로부터 차단될 것이다 (그러나 이는 웨이퍼 에지가 광 빔과 교차할 것으로 예상되는 근방의 엔드 이펙터 내에 컷 아웃 또는 다른 개구부를 포함함으로써 방지될 수도 있다). 이러한 투과-빔 광 센서를 외측으로 10 또는 15 ㎜ 시프팅하는 것은, 예를 들어, 이러한 차단이 방지되게 할 것이다.
도 2b 내지 도 2g는 이를 따라 투과-빔 광 센서들 (212) 이 배치되는 라인에 수직인 방향으로 캘리브레이션 웨이퍼 (206) 의 병진 동안 캘리브레이션 웨이퍼 (206) 를 도시하지만, 캘리브레이션 웨이퍼는 또한 유사한 효과를 위해 투과-빔 광 센서들 (212) 의 광 빔들에 의해 규정된 평면에 직교할 필요는 없는 다른 방향들을 따라 투과-빔 광 센서들 (212) 을 통해 병진될 수도 있다는 것이 이해될 것이다. 도 2b 내지 도 2g에서, 캘리브레이션 웨이퍼 (206) 및 블레이드 (208) 는 고정된 것으로 도시되지만, 3 개의 투과-빔 광 센서들 (212) 이 병진 축을 따라 병진되지만, 이는 단순히 도면들을 보다 적은 페이지들에 피팅하는 것을 용이하게 하기 위한 관례이다-실제로, 투과-빔 광 센서들 (212) 은 고정될 것이고 캘리브레이션 웨이퍼 (206) 및 블레이드 (208) 는 이동될 것이다.
캘리브레이션 웨이퍼 (206) 가 투과-빔 광 센서들 (212) 의 광 빔들을 통해 병진될 때, 공칭 중심 지점 (214) (또는 엔드 이펙터에 대해 고정된 일부 다른 기준 지점) 의 위치는 캘리브레이션 웨이퍼의 에지가 투과-빔 광 센서들 중 하나와 교차하는 순간 각각에 식별될 수도 있다. 따라서, 도 2a에서, 중간 투과-빔 광 센서 (212) 가 캘리브레이션 웨이퍼 (206) 의 에지가 지점 A에서 투과-빔 센서 (212) 의 광 빔과 교차했다는 것을 등록할 때, 공칭 중심 지점 (214) 의 위치가 (원형 점선 십자선 A로 나타낸 바와 같이) 기록될 (log) 수도 있다. 유사한 공칭 웨이퍼 중심 위치들이, 예를 들어 도 2c 내지 도 2g에서 교차점들/공칭 중심 지점 (214) 위치들 (B, C, D, E 및 F) 에 대해 도시된 바와 같이, 투과-빔 광 센서 (212) 중 하나와 캘리브레이션 웨이퍼 (206) 에지의 다른 교차점들에 대해 기록될 수도 있다.
이러한 데이터 캡처 후, 캡처된 공칭 중심 지점 위치들 및 캘리브레이션 웨이퍼 (206) 의 반경 R은 투과-빔 광 센서들 (212) 의 실제 위치들을 결정하도록 사용될 수도 있다. 예를 들어, 공칭 중심 지점 (214) 위치들 (A 및 F) 각각은 도 2h에 도시된 바와 같이 중간 투과-빔 광 센서로부터 거리 R이어야 한다; 즉, 반경 R의 원들이 위치 A 및 위치 F 각각에 센터링된다면, 이들 2 개의 원들은 서로 두 번 교차할 것이다―어느 교차 지점이든 연관된 투과-빔 광 센서 (212) 의 가능한 위치일 수 있다 (도 2h에서, 길이 R의 라인들은 위치 A와 위치 F, 위치 B와 위치 E, 그리고 위치 C와 위치 D는 각각의 잠재적인 투과-빔 광 센서 위치들과 연결된다―실선들은 "실제" 위치들을 나타내도록 사용되고 점선들은 "가상" 위치를 나타낸다). 일단 이들 2 개의 위치들이 결정되면, 예를 들어, 엔지니어링 도면들에 규정된 바와 같이, 투과-빔 광 센서 (212) 위치의 이론적 위치에 가장 가까운 것은 실제 투과-빔 광 센서 (212) 위치인 것으로 간주될 수도 있다 (투과-빔 광 센서들 (212) 의 실제 위치들은 제조 허용 오차들, 어셈블리 오정렬, 열 팽창, 등과 같은 요인들로 인해 이들 이론적 위치들로부터 벗어날 것이다―이러한 변동은 웨이퍼 정렬에 대해 중요하지만, 투과-빔 광 센서들로 하여금 잠재적으로 "진짜" 위치보다 "가상" 위치에 보다 가깝게 이동하게 하지 않아야 한다).
투과-빔 광 센서들 (212) 의 실제 위치들이 획득되면, 향상된 AWC 시스템은 사용을 위해 준비될 수도 있다. 투과-빔 광 센서들 (212) 의 실제 위치들을 결정하기 위한 상기 논의된 예는 단지 예이다; 다른 기법들이 또한 적합할 수도 있다. 더욱이, 투과-빔 광 센서들 (212) 의 실제 위치들은, 예를 들어, 미리 결정된 시간 기간 후 또는 장비 정렬 또는 위치의 변화들을 유발할 수도 있는 유지 보수 동작들이 수행된 후 주기적으로 재평가될 수도 있다.
도 3은 향상된 AWC 시스템을 사용하기 위한 예시적인 기법의 흐름도를 도시한다. 블록 302에서, 웨이퍼 핸들링 로봇의 엔드 이펙터의 공칭 중심 지점뿐만 아니라 3 개 이상의 투과-빔 광 센서들의 위치들이 예를 들어, 캘리브레이션 웨이퍼의 사용을 통해 그리고 이전에 기술된 바와 같이 결정될 수도 있다.
도 3의 논의 동안 다양한 지점들에서, 다양한 동작 상태들의 향상된 AWC 시스템을 포함하는 반도체 프로세싱 툴의 예시적인 부분들을 도시하는, 도 4 내지 도 13 중 임의의 것에 대한 참조가 이루어질 수도 있다. 도 3의 예시적인 기법의 논의에 참여하기 전에, 도 4의 다양한 양태들이 논의될 것이다.
도 4는 반도체 프로세싱 툴의 부분들-구체적으로, 웨이퍼 핸들링과 관련된 부분들의 등각도를 도시한다. 도 4에 도시된 바와 같이, 베이스 (422), 복수의 링크들 (420), 및 엔드 이펙터 (424) 를 포함하는 웨이퍼 핸들링 로봇 (418) 이 제공된다. 이 예에서, 엔드 이펙터 (424) 는 실제로 2 개의 엔드 이펙터들: 스택 엔드 이펙터 (426) 및 단일 엔드 이펙터 (428) 로 구성된다. 이 예에서 스택 엔드 이펙터 (426) 는 4 개의 블레이드들 (408) 을 포함하고; 단일 엔드 이펙터 (428) 는 단일 블레이드 (408) 를 포함한다. 나중에 알 수 있는 바와 같이, 스택 엔드 이펙터 (426) 및 단일 엔드 이펙터 (428) 는 단일 엔드 이펙터 (428) 가 한번에 단일 웨이퍼 (402) 를 이동시키도록 분리되어 사용될 수도 있도록 독립적으로 이동 가능할 수도 있다. 스택 엔드 이펙터 (426) 및 단일 엔드 이펙터 (428) 가 서로 정렬될 때, 웨이퍼들 (402) 의 전체 스택 (404) 이 일제히 지지되고 홀딩될 수도 있다. 엔드 이펙터 (424) 는 일반적으로 웨이퍼들 (402) 의 중심 지점들과 일치하는 공칭 중심 지점 (414) 을 갖는다 (물론, 웨이퍼들 (402) 은 상기 논의된 바와 같이, 공칭 중심 지점 (414) 으로부터 오정렬을 경험할 수도 있다).
또한 복수의 지지 선반들 (432) (동등한 구조체들은 본 명세서에서 단순히 "웨이퍼 지지부들"로 지칭될 수도 있음) 을 갖는 제 1 웨이퍼 리셉터클 (430A) 이 도 4에 도시된다; 이러한 제 1 웨이퍼 리셉터클 (430A) 은 예를 들어, 복수의 웨이퍼들을 저장하기 위한 버퍼 스테이션, 진공 환경 내로 또는 진공 환경으로부터 웨이퍼들을 이송하기 위한 로드 록, 또는 임의의 수의 다른 타입들의 장비를 나타낼 수도 있다. 제 2 웨이퍼 리셉터클 (430B) 이 또한 도시되고 제 1 웨이퍼 리셉터클 (430A) 과 일반적으로 유사한 방식으로, 예를 들어, 복수의 지지 선반들 (432) 을 갖고 동시에 복수의 웨이퍼들을 수용하도록 구성될 수도 있다. 제 2 웨이퍼 리셉터클 (430B) 은 예를 들어, FOUP 또는 다른 타입의 웨이퍼 수용 디바이스일 수도 있다. 제 1 웨이퍼 리셉터클 (430A) 및 제 2 웨이퍼 리셉터클 (430B) (및 다른 웨이퍼 수용-구조체들) 내의 지지 선반들 (432) 은 각각 도시된 장비가 일부인 반도체 프로세싱 툴 내의 스테이션들 중 하나로서 기능할 수도 있다. 이 예에서, 제 1 웨이퍼 리셉터클 (430A) 은 수직으로 배향된 광 빔들 (436) 을 방출하는 3 개 이상의 투과-빔 광 센서들 (412) 을 포함하는 향상된 AWC 시스템 (434) 을 구비할 수도 있다. 이 예에서 제 2 웨이퍼 리셉터클 (430B) 은 향상된 AWC 시스템 (434) 을 포함하지 않지만, 일부 구현 예들에서, 이러한 향상된 AWC 시스템은 제 1 웨이퍼 리셉터클 (430A) 에 대해 상기 논의된 방식과 유사한 방식으로 포함될 수도 있다.
또한 도 4에서 하나 이상의 프로세서들 (452) 및 하나 이상의 메모리 디바이스들 (454) 을 가질 수도 있는 제어기 (450) 를 볼 수 있다. 제어기 (450) 는 웨이퍼 핸들링 로봇 (418) 의 동작을 제어할 수도 있고 향상된 AWC 시스템 (434) 으로부터 데이터를 수신할 수도 있도록 웨이퍼 핸들링 로봇 (418) 및 향상된 AWC 시스템 (434) 과 동작 가능하게 연결될 수도 있다. 웨이퍼 핸들링 로봇 (418) 은 예를 들어, 링크들 (420) 및 엔드 이펙터 (424) 의 위치에 대한 피드백을 제어기 (450) 에 제공할 수도 있는 하나 이상의 위치 센서들 (448) 을 포함할 수도 있다. 이러한 피드백은, 예를 들어, 베이스 (422) 에 대한 그리고 서로에 대한 링크들 (420) 및 엔드 이펙터 (424) 의 각도 배향들을 결정하도록 사용될 수도 있고, 이는 웨이퍼 핸들링 로봇 (418) 은 언제든지 웨이퍼 핸들링 로봇 (418) 상의 임의의 지점, 예를 들어, 공칭 중심 지점 (414) 의 위치를 결정하도록 다양한 회전 조인트들에 대한 회전 중심들 사이의 거리들과 함께 사용될 수도 있다. 제어기 (450) 는 또한 일부 구현 예들에서, 향상된 AWC 시스템 (434) 에서 사용된 것들과 유사하게 수직으로 배향된 투과-빔 광 센서들일 수도 있는 복수의 돌출부 센서들 (440) 과 동작 가능하게 연결될 수도 있다. 이 단락에서 논의된 다양한 시스템들 및 컴포넌트들 중 다수는 도 5 내지 도 13에 도시되거나 호출되지 않는다.
논의는 이제 도 3으로 돌아간다. 3 개 이상의 투과-빔 광 센서들 (212) 의 위치들이 결정된 후, 이 기법은 블록 304로 진행될 수도 있고, 블록 304로 진행될 수도 있고, 여기서 하나 이상의 웨이퍼들의 제 1 세트가 엔드 이펙터에 의해 지지되고 (또는 현재 웨이퍼 핸들링 스테이지가 주어지면 엔드 이펙터 상에 있어야 함), 하나 이상의 웨이퍼들의 제 1 세트는 엔드 이펙터 (424) 에 대한 포지셔닝 및 정렬에 대해 체크되어야 한다는 결정이 이루어질 수도 있다. 이 논의의 목적들을 위해, 도 4 내지 도 13에 도시된 바와 같이, 하나 이상의 웨이퍼들의 제 1 세트가 5 개의 웨이퍼들의 세트라고 가정될 것이다. 그러나, 하나 이상의 웨이퍼들의 제 1 서브 세트는 상이한 수의 웨이퍼들을 포함할 수도 있다는 것이 이해될 것이다. 일부 극단적인 경우들에서, 하나 이상의 웨이퍼들의 제 1 서브 세트는 단일 웨이퍼만을 포함할 수도 있다. 이러한 예들에서, 단지 하나의 웨이퍼가 존재하기 때문에 웨이퍼 간 슬립이 없을 것이다. 표준 AWC 시스템들이 이러한 경우들에서 사용될 수도 있지만, 본 명세서에 논의된 향상된 AWC 기법들은 예를 들어, 캘리브레이션 웨이퍼들의 사이즈로부터 가변하는 단일 웨이퍼들의 보다 정확한 배치를 제공하도록 사용될 수도 있다. 이러한 시스템들은 상이한 물리적 상태들, 예를 들어, 상온 또는 일부 다른 저온에 있을 때와 비교할 때 상승된 온도에 있을 때 동일한 웨이퍼 (402) 가 상이한 직경을 가질 수도 있는 동안, 동일한 공칭 사이즈의 웨이퍼들 (402) 이 웨이퍼 핸들링 로봇 (418) 에 의해 핸들링될 수도 있을 때 사용될 수도 있다. 예를 들어, 웨이퍼 (402) 가 프로세싱 후 프로세싱 챔버로부터 제거될 때, 예를 들어, 웨이퍼 핸들링 로봇 (418) 에 의해 가장 최근에 핸들링될 때 20 ℃까지와 비교하여 온도가 수백 ℃일 수도 있다. 예를 들어, 표준 300 ㎜ 반도체 웨이퍼는 실온과 비교하여 400 ℃의 온도에 있을 때 사이즈가 거의 0.3 ㎜ 증가할 수도 있다. 이러한 사이즈의 증가는 매우 작은 것으로 간주될 수도 있지만, 300 ㎜ 웨이퍼들에 대한 웨이퍼 직경에 대한 표준 허용 오차들은 보통 단지 ± 0.5 ㎜이고, 따라서 이러한 열 팽창은 예상된 허용 오차들의 관점에서 상당할 것이다.
블록 306에서, 웨이퍼들 (402) 의 스택 (404) 은 도 5에 도시된 바와 같이, 제 1 시간 기간 동안 향상된 AWC 시스템 (434) 을 통해 병진될 수도 있다. 스택 (404) 이 광 빔들 (436) 을 통해 병진함에 따라, 광 빔 (436) 각각과 연관된 투과-빔 광 센서 (412) 는 웨이퍼들 (402) 의 스택 (404) 의 실루엣 에지가 광 빔 (436) 각각과 교차할 때를 등록할 수도 있다. 블록 308에서, 이러한 교차점 각각의 좌표들에 대한 결정이 이루어질 수도 있다; 이러한 좌표들은 앞서 논의된 바와 같이 엔드 이펙터 (424) 의 공칭 중심 지점 (414) 에 대해 결정될 수도 있다.
도 14a 내지 도 14g는 엔드 이펙터 (1408) 상에 배치된 웨이퍼들이 이러한 좌표들을 획득할 목적으로 3 개의 투과-빔 광학 센서들의 세트를 통해 병진될 때 웨이퍼들 (1402) 의 스택의 평면도의 도면들을 도시하고, 이 논의의 목적들을 위해, 도 14a 내지 도 14g에 도시된 엘리먼트들은 도 4 및 도 5의 대응하는 엘리먼트들과 유사하다고 가정될 수도 있다. 두 도면들의 세트들에서 유사한 엘리먼트들은 동일한 호출 번호들의 마지막 두 자리들을 갖는다.
도 14a에 도시된 바와 같이, 3 개의 투과-빔 광 센서들 (1412) 이 블레이드들 (1408) 을 지지하는 엔드 이펙터의 이동 경로에 걸쳐 다양한 간격으로 제공되고, 이는 결국 웨이퍼들 (1402) 의 스택을 지지한다. 이 예에서, 웨이퍼들 (1402) 은 그들의 중심들 (1403) 이 엔드 이펙터/블레이드 (1408) 의 공칭 중심 지점 (1414) 주위에 작은 클라우드로 클러스터링되도록 식별 가능한 오정렬을 경험하였다. 이 경우에 5 개의 웨이퍼들 (1402) 모두의 윤곽들이 그려지고, 웨이퍼들 (1402) 의 스택의 실루엣 에지 (1438) 는 예시를 돕도록 두꺼운 점선으로 나타내었다. 도 14b 내지 도 14g에서, 개별 웨이퍼들 (1402) 은 도시되지 않고, 대신 실루엣 에지 (1438) 만이 도시된다.
도 14b에서, 웨이퍼들 (1402) 의 스택은 최우측 투과-빔 광 센서 (1412) 를 통해 병진되어, 로봇 암 제어기로 하여금 최우측 투과-빔 광 센서 (1412) 로부터 실루엣 에지 (1438) 가 투과-빔 광 센서 (1412) 의 광 빔과 교차한다는 것을 나타내는 신호를 수신하게 한다. 제어기는 이어서 이러한 신호의 수신에 응답하여, 공칭 중심 지점 (1414) 에 대한 교차 지점 (x1, y1) 의 XY 위치를 결정하고 저장할 수도 있다. 이는 예를 들어, 단순한 좌표 변환 및 감산을 통해 이루어질 수도 있다. 예를 들어, 세계 좌표계에서 투과-빔 광 센서 위치의 XY 위치 및 동일한 좌표계에서 공칭 중심 지점 (1414) 의 XY 위치는 둘 다 결정될 수도 있고 이어서 발생하는 공칭 중심 지점 (1414) 에 대한 교차 지점 (x1, y1) 의 위치는 교차 지점 (x1, y1) 의 대응하는 세계 좌표로부터 공칭 중심 지점 (1414) 의 세계 좌표 각각을 감산함으로써 결정될 수도 있다. 어떤 지점에서, 공칭 중심 지점 (1414) 에 대한 교차 지점 (x1, y1) 의 좌표들로 하여금 엔드 이펙터 (또는 다른 기준 프레임) 에 대해 고정된 좌표계에 대해 규정되게 하는 변환이 이루어질 수도 있다. 참조의 용이함을 위해, 공칭 중심 지점 (1414) 에 대한 교차 지점 (x1, y1) 의 예시적인 좌표들은 도 14b에서 2 개의 직교하는 쇄선들 상에 도시된 값들로 나타낸다. 따라서, 이 예에서 공칭 중심 지점 (1414) 에 대한 교차 지점 (x1, y1) 의 좌표들은 (60, 143.4) ㎜일 것이다.
이 프로세스는 예를 들어, 도 14c, 도 14d, 도 14e, 도 14f, 및 도 14g 각각의 지점들 (x2, y2); (x3, y3); (x4, y4); (x5, y5); 및 (x6, y6) 에 대해 도시된 바와 같이, 후속하는 교차 이벤트 각각에 대해 반복될 수도 있다. 일단 6 개의 좌표들이 모두 획득되면, 획득된 좌표들 모두를 둘러싸는 가장 작은 원의 결정이 블록 310에서 이루어질 수도 있다. 이러한 결정을 내리기 위한 임의의 적합한 기법이 사용될 수도 있다. 예를 들어, 적어도 일부 구현 예들에서, 좌표들의 모든 잠재적인 삼중항 조합들이 삼중항 내의 모든 좌표들을 통과하는 파라미터들의 원을 결정하도록 평가되는 "무차별 (brute force)" 접근법이 구현될 수도 있다. 이어서 발생되는 원들은 a) 원 사이즈 및 b) 원 상에 있지 않거나 원에 의해 둘러싸이지 않은 임의의 좌표들이 있는지 여부를 결정하도록 평가될 수도 있다. 모든 좌표 지점들을 둘러싸는 가장 작은 원들이 이어서 가장 작은 원으로서 식별되고 선택될 수도 있다.
예를 들어, 각각의 좌표에 대해 삼중항 (x1, y1); (x2, y2); 및 (x3, y3) (아래 첨자는 삼중항 내에서 구별하기 위해 단순히 사용되고 6 개의 예시적인 좌표 지점들에 대해 상기 아래 첨자와 반드시 상관되는 것은 아님), 좌표 삼중항에 의해 규정되는 원의 중심 좌표들 (xc, yc) 및 반경 r은 다음에 따라 결정될 수도 있다:
Figure pct00001
Figure pct00002
Figure pct00003
r에 대한 마지막 방정식에서, 삼중항의 다른 2 개의 좌표 지점들 중 하나는 물론 (x1, y1) 로 대체될 수도 있다.
도 15는 표 1 및 표 2를 도시한다. 표 1은 상기 예에 대한 (x, y) 좌표 데이터를 요약한다; 공칭 중심 지점 (1414) 에 대한 교차 지점 각각의 좌표들이 열거된다. 표 2는 표 1로부터 6 개의 좌표들의 모든 20 개의 가능한 삼중항 조합들을 열거한다. 표 1과 표 2는 밀리미터 단위의 데이터를 제공한다. 따라서, 예를 들어, 표 2의 데이터의 네 번째 행은 좌표 1 (60, 143.4), 좌표 2, (-132, 80.2), 및 좌표 6 (60, -144.9) 에 대한 것이다. 제 1 열은 행 각각에 대한 관련 좌표 삼중항을 나타내고, 제 2 열 내지 제 7 열은 그 삼중항에 대한 XY 좌표 데이터를 열거하고, 제 8 열 내지 제 10 열은 계산된 중심 좌표 및 행 각각에 대한 좌표 삼중항에 맞는 원의 반경을 열거한다. 마지막으로, 마지막 열은 표 1로부터의 모든 6 개의 좌표들이 행 각각의 파라미터들을 갖는 원으로 둘러싸이는지 여부를 나타낸다. 알 수 있는 바와 같이, 그러나 20 개의 좌표 삼중항들 중 네 개만이 표 1로부터의 모든 좌표들을 둘러싸는 원을 규정한다. 이들 4 개 중, 표 2의 데이터의 제 6 행에 열거된 제 6 원은 가장 작은 값을 갖고 상기 논의된 바와 같이 가장 작은 원을 나타낸다. 표 2에 열거된 원 직경/중심 지점 위치 값들은 반올림되었고 따라서 1,3,5 삼중항 원 및 3,5,6 삼중항 원이 동일한 사이즈라는 인상을 줄 수도 있다는 것이 이해될 것이다, 즉, 모든 지점들을 포함하는 두 개의 가장 작은 원들이 있지만, 실제로 이들 중 하나만이 실제로 가장 작은 원이다 (이 경우, 1,3,5 삼중항 원은 156.402 ㎜의 반경을 규정하는 반면, 3,5,6 삼중항 원은 156.428 ㎜의 반경을 규정한다). 도 16은 상기 논의된 예시적인 가장 작은 원을 그래픽으로 도시한다. 도 16에서, 웨이퍼 스택의 실루엣 에지 (1638) 는 표 2의 행 6에 의해 규정된 바와 같이 가장 작은 원 (1642) 으로부터 쉽게 식별되게 하도록 내부에 대각선으로 해칭된 것으로 도시된다 (도 16은 상대적으로 표 1 및 표 2에 열거된 치수들에 대해 1:1 스케일로 도시되지 않지만, 약 55 % 축척으로 비례하여 도시됨). 표 1로부터의 모든 6 개의 좌표들이 도시된다. 알 수 있는 바와 같이, 좌표 각각은 (지점 1, 3, 및 5와 같은) 가장 작은 원 (1642) 상에 놓이거나 가장 작은 원 (1642) 내에 놓인다. 본 예가 6 개의 좌표 측정 값들을 사용하지만, 5 개만큼 적은 (물론, 정확도를 상승시키기 위해 6 개보다 많은 좌표 측정 값들이 또한 사용될 수도 있다) 좌표 측정 값들의 세트를 사용하여 이루어진 가장 작은 원 결정들로 만족스러운 정확도가 획득될 수도 있다는 것이 이해될 것이다.
따라서 가장 작은 원은 웨이퍼 스택 전체에 대한 대용물로서 작용한다. 가장 작은 원은 100 % 정확하지 않다는 것을 주의해야 한다, 즉, 웨이퍼 스택 실루엣 에지를 따라 모든 측정된 좌표 지점들을 둘러싸지만 실제로 웨이퍼들의 전체 스택을 둘러싸지 않는 가장 작은 원을 규정하는 것이 가능하다. 도 17은 이러한 예를 예시한다. 도 17은 실루엣 에지 (1638) 가 제거된 도 16의 가장 작은 원을 도시한다. 부가적으로, 웨이퍼 (1602) 가 도면에 추가되었다- 알 수 있는 바와 같이, 이 웨이퍼는 좌표들 (x1, y1) 및 (x2, y2) 와 교차하는 최외곽 에지를 갖는다. 따라서 표 1에 열거된 좌표 측정 값을 변경하지 않는 위치에 있다. 그러나, 관찰될 수 있는 바와 같이, 웨이퍼 (1602) 의 외측 주변부는 가장 작은 원을 약간 지나서 연장한다. 그러나, 이 돌출부는 경미하고 나중의 동작들에서 적절한 허용 오차 또는 문턱 값의 사용을 통해 수용될 수 있다. 시뮬레이션들에서, 6 개의 좌표 측정치들로부터 대략 발생된 삼중항들을 사용하여 결합된 슬립량 (가장 작은 원 반경 마이너스 공칭 웨이퍼 반경으로 표현됨) 및 중심 이탈 (방향과 무관하게 변위 거리로 표현됨) 의 최대 오차는 예를 들어, 100 개의 좌표 측정 값들로 수행된 동일한 평가와 비교하여 약 ± 10 %였다. 이러한 에러는 이러한 잠재적인 에러들을 허용하는 문턱 값 양들을 선택함으로써 쉽게 조정될 수도 있다.
일단 가장 작은 원이 블록 310에서 결정되면, 하나 이상의 웨이퍼들의 제 1 세트에 대한 슬립량이 얼마인지에 대한 결정이 블록 312에서 이루어질 수도 있다. 슬립량은 하나 이상의 웨이퍼들의 세트에서 다양한 웨이퍼들 사이의 상대적인 슬립의 크기의 지표이다 (물론, 단일 웨이퍼의 경우, 단 하나의 웨이퍼가 있기 때문에 어떠한 상대적인 슬립도 없을 것이다; 그러나, 이 파라미터는 원한다면, 다른 정보, 예를 들어, 열 팽창 또는 수축으로 인해 웨이퍼의 사이즈가 얼마나 변화되었는지의 지표를 제공하도록 여전히 계산될 수도 있다). 0의 슬립량은 스택 내의 웨이퍼들이 일반적으로 완벽한 정렬 상태에 있다는 것을 나타낼 것이다. 0이 아닌 슬립량은 적어도 일부 웨이퍼들이 정렬되지 않는다는 것을 나타낼 것이다. 일부 예들에서, 어느 정도의 오정렬은, 초과된다면 에러 조건을 생성하거나 교정 조치가 발생하게 할 문턱 값 슬립량이 통상적으로 있을 것이지만, 반도체 프로세싱 툴에서 주어진 스테이션에 대한 배치 요건들에 따라 허용될 수도 있다.
슬립량은 공칭 웨이퍼 직경, 예를 들어, 300 ㎜와 가장 작은 원의 직경 사이의 사이즈의 차들에 기초하는 메트릭일 수도 있다. 예를 들어, 슬립량은 공칭 웨이퍼 직경과 가장 작은 원 직경 사이의 직경 차 (또는 원한다면, 2 개의 직경들 또는 반경들 사이의 비 또는 반경의 차) 에 기초하여 평가될 수도 있다.
블록 314에 나타낸 바와 같이, 일단 가장 작은 원이 결정되면 획득될 수도 있는 또 다른 파라미터는 엔드 이펙터의 공칭 중심 지점과 가장 작은 원의 중심 지점 사이의 편차를 지칭하는 중심 이탈이다. 도 16 및 도 17에서, 중심 이탈은 X-오프셋 (1644) (이 예에서 -0.2 ㎜) 및 Y-오프셋 (1646) (이 예에서 -0.9 ㎜) 에 의해 규정된다.
미리 결정된 웨이퍼 스택에 대한 중심 이탈 및 슬립량 중 하나 또는 모두는 로봇 암 제어기에 의해 평가될 수도 있고 이어서 웨이퍼들의 핸들링에 영향을 주도록 사용될 수도 있다. 예를 들어, 블록 316에서, 슬립량이 미리 결정된 문턱 값보다 큰지 여부에 대한 결정이 이루어질 수도 있다. 이러한 양은 예를 들어, 향상된 AWC 시스템을 구비한 장비의 피스 (piece) 의 사양들에 기초하여 미리 선택될 수도 있다. 예를 들어, 버퍼 스테이션은 내부에 증착된 모든 300 ㎜ 웨이퍼들이 305 ㎜의 실린더형 한계 영역 엔벨로프 내에 피팅될 (fit) 것을 요구할 수도 있다. 실제 실시에서, 이러한 요건은 임의의 웨이퍼들이 이러한 위치들과 교차하는지 보기 위해 실린더형 한계 영역 엔벨로프 둘레의 몇몇 미리 결정된 위치들을 체크함으로써 평가될 수도 있다. 예를 들어, 버퍼 스테이션은 (돌출 센서들이 원형 주변부-다른 주변 형상들이 사용될 수도 있음-를 따라 배치될 필요는 없고, 한계 영역 엔벨로프는 실린더 형상일 필요조차 없다-다른 형상들이 또한 적절하게 사용될 수도 있다-는 것이 이해될 것이지만) 적어도 버퍼 스테이션이 홀딩하도록 의도된 웨이퍼들의 웨이퍼 직경들보다 큰 원형 주변부를 따라 상이한 위치들에 배치되는 도 4로부터의 돌출부 센서들 (440) 과 같은, 3 개, 4 개 또는 그 이상의 수직으로 배향된 투과-빔 광 센서들을 가질 수도 있다 (향상된 AWC 시스템에서 사용된 투과-빔 광 센서들로부터 분리되고; 명료성을 위해, 본 명세서에서 향상된 AWC 시스템의 일부인 "제 1 투과-빔 광 센서들" 및 웨이퍼 리셉터클 내의 돌출부 센서들로서 사용될 수도 있는 "제 2 투과-빔 광 센서"에 대한 참조가 이루어질 수도 있다). 웨이퍼가 이들 투과-빔 광 센서들 중 임의의 광 센서들의 광 빔과 교차한다면, 웨이퍼는 고장 상태를 유발할 수도 있다. 이어서 투과-빔 광 센서들의 광 빔들 중 어느 것도 웨이퍼와 교차하지 않도록 스테이션 내에서 웨이퍼 스택을 조작하려고 시도하는 것이 가능할 수도 있지만, 슬립량이 너무 크면, 이러한 목표 (완전히 제거하지 않음) 를 달성하기 위해 스택 전체를 이동시키는 것이 가능하지 않을 것이다. 슬립량 및 적절히 설정된 문턱 값을 사용함으로써, 웨이퍼 스택이 고장 상태를 유발하지 않고 이러한 스테이션 내에 배치될 수 있는지 여부에 대한 결정이 향상된 AWC 시스템을 사용한 웨이퍼 스택 측정이 완료되자마자 이루어질 수도 있다.
슬립량이 문턱 값보다 작다면, 즉, 스테이션 내에 웨이퍼 스택을 위치시키고 용인 가능한 동작 허용 오차들 내로 유지하는 것이 가능하다면, 기법은 웨이퍼 센터링 보정이 이루어질 수도 있는 블록 318로 진행될 수도 있다. 예를 들어, 웨이퍼 핸들링 로봇 (418) 은 향상된 AWC 시스템과 연관된 스테이션, 예를 들어, 이 예에서 제 1 웨이퍼 리셉터클 (430A) 의 중심에서 공칭 중심 지점 (414) 에 대한 타깃 위치로 이동시키도록 제어될 수도 있다. 중심 이탈이 있다면, 웨이퍼 핸들링 로봇 (418) 은 중심 이탈을 효과적으로 상쇄할 양만큼 웨이퍼의 배치를 조정하도록, 예를 들어 앞서 논의된 X-오프셋 및 Y-오프셋만큼 타깃 목적지에 대해 웨이퍼의 배치를 조정하도록 제어될 수도 있다. 이러한 조정은 제 1 웨이퍼 리셉터클 (430A) 내의 타깃 위치로 하여금 엔드 이펙터의 공칭 중심 지점 (414) 대신 웨이퍼들 (402) 의 스택 (404) 에 대한 가장 작은 원의 중심과 정렬되게 할 것이다. 그 결과, 웨이퍼들 (402) 의 스택 (404) 은 일반적으로 이전에 논의된 실린더형 엔벨로프 내에 센터링될 것이고, 따라서 웨이퍼가 실린더형 엔벨로프의 경계들을 넘어서 이탈한 것으로 검출될 가능성을 감소시킨다.
일단 웨이퍼들 (402) 의 스택 (404) 이 제 1 웨이퍼 리셉터클 (430A) 의 타깃 지점 상에 가장 작은 원을 센터링하도록 조정되면, 웨이퍼 핸들링 로봇 (418) 은 블록 320에서 추가 XY 병진을 유발하지 않고 웨이퍼들 (402) 의 스택 (404) 을 지지 선반들 (432) 상으로 하강시킬 수도 있다. 블록 322에서, 웨이퍼 이송 동작이 완료될 수도 있고 웨이퍼 핸들링 로봇 (418) 은 필요에 따라 다른 동작들을 수행하도록 진행할 수도 있다.
슬립량이 블록 316에서 문턱 값보다 크다고 결정되면, 기법은 웨이퍼들이 제 1 웨이퍼 리셉터클 (430A) 로부터 인출될 수도 있고, 웨이퍼 핸들링 로봇 (418) 이 제 1 시간 기간 후 제 2 시간 기간 동안 웨이퍼들 (402) 을 제 1 웨이퍼 리셉터클 (430A) 로 개별적으로 이송하는 동안 임시 저장 위치, 예를 들어, FOUP, 버퍼, 또는 웨이퍼들을 지지하도록 구성된 일부 다른 리셉터클에 배치될 수도 있는 블록 324로 진행할 수도 있다. 이 예에서, 임시 저장 위치는 제 2 웨이퍼 리셉터클 (430B) 이다. 웨이퍼 리셉터클들 (430A 및 430B) 이 본 명세서에서 일반적으로 구조적으로 동일한 것으로 도시되지만, 웨이퍼 리셉터클들 (430A 및 430B) 은 실제 실시에서 구조적으로 그리고/또는 기능적으로 상이할 수도 있다는 것이 이해될 것이다. 도 6은 웨이퍼들 (402) 이 제 1 웨이퍼 리셉터클 (430A) 로부터 인출된 후 그리고 제 2 웨이퍼 리셉터클 (430B) 내로 웨이퍼들 (402) 의 삽입 직전에 웨이퍼 핸들링 로봇 (418) 및 웨이퍼들 (402) 을 도시한다. 도 7에서, 웨이퍼 핸들링 로봇 (418) 은 제 2 웨이퍼 리셉터클 (430B) 내로 웨이퍼들 (402) 을 배치하였다.
블록 326에서, 웨이퍼 핸들링 로봇 (418) 은 제 2 웨이퍼 리셉터클 (430B) 로부터 하나 이상의 웨이퍼들의 제 1 세트의 단일 웨이퍼를 회수하게 될 수도 있다. 그렇게 하기 위해, 일부 구현 예들에서, 웨이퍼 핸들링 로봇 (418) 의 엔드 이펙터 (424) 를 재구성 (또는 한번에 하나의 웨이퍼만을 픽업하도록 구성된 엔드 이펙터를 갖는 상이한 로봇 암을 사용) 해야 할 수도 있다. 이 예에서, 엔드 이펙터 (424) 는 앞서 논의된 바와 같이, 2 개의 부분들: 단일 엔드 이펙터 (428) 및 스택 엔드 이펙터 (426) 를 갖는다. 단일 엔드 이펙터 (428) 는 단일 블레이드 (408) 만을 포함할 수도 있고 한번에 단일 웨이퍼 (402) 만을 리프팅하도록 구성될 수도 있다. 스택 엔드 이펙터 (426) 는 N-1 개의 블레이드들을 포함할 수도 있고, 여기서 N은 하나 이상의 웨이퍼들의 제 1 세트 내의 웨이퍼들의 수이다. 이 예에서, 하나 이상의 웨이퍼들 (402) 의 제 1 세트 내에 5 개의 웨이퍼들 (402) 이 있고, 그래서 스택 엔드 이펙터 (426) 의 일부인 N-1 개의 블레이드들 (408) 이 있다. 단일 엔드 이펙터 (428) 및 스택 엔드 이펙터 (426) 는 서로에 대해 이동 가능할 수도 있고, 예를 들어, 스택 엔드 이펙터 (426) 는 스택 엔드 이펙터 (426) 의 블레이드들 (408) 로 하여금 단일 엔드 이펙터 (428) 가 하나 이상의 웨이퍼들 (402) 의 제 1 세트의 웨이퍼 (402) 를 회수하도록 사용될 때, 하나 이상의 웨이퍼들 (402) 의 제 1 세트의 웨이퍼들 (402) 과 인게이지하지 않게 할 수 있도록 회전될 수도 있다.
이는 도 8 및 도 9에 도시된다. 도 8에서, 웨이퍼 핸들링 로봇 (418) 은 제 2 웨이퍼 리셉터클 (430B) 로부터 인출되게 되고, 웨이퍼들 (402) 은 제 2 웨이퍼 리셉터클 (430B) 내에 일시적으로 남겨진다. 일단 제 2 웨이퍼 리셉터클 (430B) 에서 분리되면 (clear), 스택 엔드 이펙터 (426) 는 도 9에 도시된 바와 같이, 단일 엔드 이펙터 (428) 에 대해 도시된 위치에 대해, 예를 들어 180 ° 이위상 (out-of-phase) 으로 회전하게 될 수도 있다. 스택 엔드 이펙터 (426) 를 단일 엔드 이펙터 (428) 로부터 빼내기 위한 다른 메커니즘들 및 기법들이 또한 사용될 수도 있고 또는 도시된 구현 예를 대신하여 사용될 수도 있다.
일단 웨이퍼 핸들링 로봇 (418) 이 단일-웨이퍼 회수/핸들링을 위해 재구성되면, 웨이퍼 핸들링 로봇은 블록 328로 진행하기 전에 도 10 및 도 11에 도시된 바와 같이, 단일 웨이퍼 (402) 를 회수하도록 블록 326에서 제어될 수도 있고, 여기서 웨이퍼 핸들링 로봇 (418) 은 도 12 및 도 13에 도시된 바와 같이, 단일 웨이퍼 (402) 로 하여금 제 1 웨이퍼 리셉터클 (430A) 로 리턴되고 다시 향상된 AWC 시스템 (434) 을 통과하게 하도록 제어될 수도 있다. 도 12 및 도 13에 도시된 위치들 사이의 전이 동안, 투과-빔 광 센서들 (412) 은 웨이퍼 (402) 의 에지가 투과-빔 광 센서들 (412) 에 의해 방출된 광 빔들 (436) 과 교차할 때의 지표들을 획득하도록 사용될 수도 있다. 이러한 교차점 각각에서 공칭 중심 지점 (414) 의 XY 좌표들은 블록 330에서 결정될 수도 있고, 공칭 중심 지점에 상대적인 에지 교차 지점들의 위치들을 결정하기 위해 투과-빔 광 센서들 (412) 의 XY 위치들과 함께 사용될 수도 있다. 이 프로세스는 가장 작은 원을 결정하도록 이루어진 이전의 XY 결정들과 유사하다. 동일한 수의 좌표들이 가장 작은 원 결정에 대해 결정될 수도 있지만, 단일 웨이퍼 만이 존재하기 때문에, 이 기법은 또한 2 개만큼 적은 투과-빔 광 센서들 (412) 로 수행될 수도 있다. 단일 웨이퍼만이 존재하기 때문에, 슬립량을 결정할 필요가 없지만, 그럼에도 불구하고, 가장 작은 원 기법은 원한다면 웨이퍼 (402) 의 중심을 보다 정확하게 위치시키도록, 예를 들어, 웨이퍼 직경이 예상된 웨이퍼 직경과 다른 경우 (예를 들어, 열적 효과로 인해) 에 수행될 수도 있다.
일단 공칭 중심 지점 (414) 에 대한 웨이퍼 (402) 의 에지 위치들이 결정되면, 엔드 이펙터의 공칭 중심 지점 (414) 에 대한 웨이퍼 (402) 의 중심의 위치의 결정이 블록 332에서 이루어질 수도 있다. 상기 언급된 바와 같이, 이러한 결정은 가장 작은 원 결정에 대해 앞서 논의된 기법들을 사용하여 이루어질 수도 있다. 원한다면, 웨이퍼 (402) 가 적절한 직경을 갖고 임의의 3 개의 상대적인 좌표들이 웨이퍼를 나타내는 것으로 가정되고 웨이퍼 (402) 상에 센터링되는 원을 결정하도록 사용될 수 있다고 가정하는 보다 단순한 기법이 사용될 수도 있다. 계산된 웨이퍼 중심과 공칭 중심 지점 (414) 사이의 X-오프셋 및 Y-오프셋은 단일 웨이퍼 (402) 의 중심 이탈을 결정하도록 사용될 수도 있고, 이어서 웨이퍼 (402) 가 제 1 웨이퍼 리셉터클 (430A) 내에 배치될 때, 웨이퍼 (402) 의 중심이 제 1 웨이퍼 리셉터클 (430A) 내의 타깃 위치와 정렬되도록 웨이퍼 (402) 의 배치를 조정하도록 사용될 수도 있다.
블록 336에서, 하나 이상의 웨이퍼들의 제 1 세트의 추가 웨이퍼들이 제 2 웨이퍼 리셉터클 (430B) 내에 남아 있는지 여부가 결정될 수도 있다. 그렇다면, 이 기법은 블록 326으로 돌아갈 수도 있고, 프로세스는 하나 이상의 웨이퍼들의 제 1 세트 내의 모든 웨이퍼들이 제 1 웨이퍼 리셉터클 (430A) 로 이송될 때까지 반복될 수도 있다. 웨이퍼들 (402) 이 모두 개별적으로 제 1 웨이퍼 리셉터클 (420A) 로 이송되기 때문에, 이는 웨이퍼 (402) 각각의 배치를 독립적으로 보정할 기회를 제공하여, 웨이퍼들의 스택에서 웨이퍼 간 변위들이 제거되게 한다 (또는 적어도 크게 감소된다). 그러나, 웨이퍼들의 일대일 이송은 동시에 복수의 웨이퍼들의 벌크 이송보다 상당히 오래 걸릴 것이다.
일단 하나 이상의 웨이퍼들 (402) 의 제 1 세트의 모든 웨이퍼들 (402) 이 제 1 웨이퍼 리셉터클 (430A) 로 이송되었다는 것이 결정되면, 이 기법은 블록 338로 진행할 수도 있고, 이 지점에서 웨이퍼 핸들링 로봇이 다른 동작들을 수행하도록 사용될 수도 있다.
상기 주지된 바와 같이, 일부 구현 예들에서, 제어기는 상기 기술된 시스템들의 일부로서 포함될 수도 있고 또는 상기 기법들의 일부 또는 전부가 수행되게 하도록 사용될 수도 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 및 본 명세서에 구체적으로 논의되지 않은 다른 아이템들을 포함하는, 반도체 프로세싱 장비를 포함할 수도 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 이들 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 프로세싱 요건들 및/또는 시스템의 타입에 따라, 제어기는 예를 들어, 본 명세서에 논의된 개념들에 따라 웨이퍼 이송 동작들을 수행하도록 웨이퍼 핸들링 로봇을 제어하고, 향상된 AWC 시스템들에 의한 웨이퍼들의 스캐닝, 그리고 이어서 잠재적으로 후속 수정 액션들, 예를 들어, 웨이퍼 리셉터클 내로 웨이퍼들의 개별적인 배치 및/또는 웨이퍼들의 배치 전에 배치된 웨이퍼들의 재-센터링이 취해지게 하는 것을 포함하여, 본 명세서에 개시된 임의의 기법들로 하여금 수행되게 하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 다양한 동작가능하게 연결된 장비의 피스들의 동작을 제어하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼에 대한 특정 웨이퍼 핸들링 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 전달된 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 공칭 웨이퍼 사이즈, 로봇 암 파라미터들, 배치 엔벨로프들, 등과 같은 양태들을 포함할 수도 있다.
제어기는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱 시스템의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템에 대한 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들, 예를 들어, 웨이퍼 이송 동작들을 통제하는 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 웨이퍼 이송 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 웨이퍼 핸들링 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 반도체 프로세싱 툴의 하나 이상의 집적 회로들일 것이다.
제한없이, 본 명세서에 기술된 바와 같이 향상된 AWC 시스템들 및 웨이퍼 핸들링 로봇들을 갖는 예시적인 반도체 프로세싱 툴들은 하나 이상의 플라즈마 에칭 챔버들 또는 모듈들, 증착 챔버들 또는 모듈들, 스핀-린스 챔버들 또는 모듈들, 금속 도금 챔버들 또는 모듈들, 세정 챔버들 또는 모듈들, 베벨 에지 에칭 챔버들 또는 모듈들, PVD (physical vapor deposition) 챔버들 또는 모듈들, CVD (chemical vapor deposition) 챔버들 또는 모듈들, ALD (atomic layer deposition) 챔버들 또는 모듈들, ALE (atomic layer etch) 챔버들 또는 모듈들, 이온 주입 챔버들 또는 모듈들, 트랙 챔버들 또는 모듈들, 또는 반도체 웨이퍼들의 제조 및/또는 제작시 연관되거나 사용될 수도 있는 임의의 다른 반도체 프로세싱 시스템들과 같은 부가적인 컴포넌트들을 포함할 수도 있다.
툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 사용된다면, "하나 이상의 <아이템들>의 <아이템> 각각에 대한"이라는 문구는 단일 아이템 그룹 및 복수의 아이템 그룹들 모두를 포함하는 것으로 이해되어야 한다, 즉, 아이템들의 집단이 참조되는 모든 아이템의 각각을 참조하기 위해 프로그래밍 언어들에서 사용된다는 의미에서 문구 "~각각에 대해"가 사용된다. 예를 들어, 참조된 아이템들의 집단이 단일 아이템이면, "각각 (each)"은 ("각각"의 사전 정의들이 "둘 이상의 것들 매 하나"를 지칭한다는 사실에도 불구하고) 그 단일 아이템만을 지칭하고 이 아이템들 중 적어도 2 개가 있어야 한다는 것을 암시하지 않는다.
본 명세서에 사용된 바와 같이, 용어 "스택" 또는 "스택된 배열"은 복수의 아이템들의 배열들뿐만 아니라 단일 아이템을 포함한다는 것이 더 이해되어야 한다. 따라서, 예를 들어, "하나 이상의 아이템들의 스택"은 이러한 단일 아이템 (하나의 "스택") 뿐만 아니라 이러한 아이템의 복수의 인스턴스들의 스택을 포함할 것이다. 유사하게, "스택된 배열로 배치된 하나 이상의 아이템들"은 단일 아이템뿐만 아니라 예를 들어, 서로의 상단에 스택된 복수의 이러한 아이템들을 포함할 것이다. "하나 이상의 아이템들"에 대한 참조는 일반적으로 단수 경우, 예를 들어, 단일의 이러한 아이템의 사용에 대한 참조, 또는 복수의 경우, 예를 들어, 복수의 이러한 아이템들에 대한 참조를 모두 포함한다는 것이 더 이해되어야 한다.
용어 "광 빔 (optical beam)"은 광원 또는 이미터로부터 방출될 수도 있는 광을 지칭하도록 본 명세서에서 사용된다; 광원은 상이한 방향들로 동시에 복수의 광 빔들을 방출할 수도 있고, 예를 들어, 전방향성 광원은 모든 방향들 또는 거의 모든 방향들로 동시에 광 빔들을 방출할 수도 있다. 이러한 광원에서, 일반적으로 상향 및 하향으로 방출되는 광 빔들은 수직으로 배향된 광 빔들로 특성화될 수도 있는 한편, 수평으로 방출된 광 빔들은 수평으로 배향된 광 빔들로 특성화될 수도 있다. 시준된 광을 방출하는 광 이미터들 또는 소스들에 대해, 제한된 수의 광 빔들이 방출될 수도 있다-그러나, 이러한 이미터들 또는 광원들에 대해 방출되는 광 에너지의 대부분은 단일 광 빔 (또는 예를 들어, 레이저들 또는 유사한 광원들에 대한 경우일 수도 있는, 일반적으로 모두 매우 제한된 각도 범위 내에 있는 광 빔들의 클러스터) 에 집중될 수도 있다. 따라서, 수직 축을 따라 빔을 방출하는 레이저 및 수직 축을 따라 적어도 일부 광을 방출하는 전방향성 광은 모두 수직으로 배향된 광 빔들을 방출하는 것으로 기술될 것이다.
본 명세서에 사용된 바와 같이, 용어 "웨이퍼"는 반도체 웨이퍼들 또는 기판들 또는 다른 유사한 타입들의 웨이퍼들 또는 기판들을 지칭할 수도 있다.
본 명세서에서 순서 지표들, 예를 들어, (a), (b), (c), …, 의 사용은 단지 조직적인 목적을 위한 것이고, 임의의 특정한 순서 또는 중요성을 순서 지표 각각과 연관된 아이템들에 전달하도록 의도되지 않는다는 것이 또한 이해되어야 한다. 예를 들어, "(a) 속도에 관한 정보 획득 및 (b) 위치에 관한 정보 획득"은 속도에 관한 정보를 획득하기 전에 위치에 관한 정보를 획득하는 것, 위치에 관한 정보를 획득하기 전에 속도에 관한 정보를 획득하는 것, 및 위치에 관한 정보를 속도에 관한 정보를 획득하는 것과 동시에 획득하는 것을 포함한다. 그럼에도 불구하고, 순서 지표들과 연관된 일부 아이템들이 본질적으로, 예를 들어, "(a) 속도에 관한 정보를 획득하고, (b) 속도에 관한 정보에 기초하여 제 1 가속도를 결정하고, 그리고 (c) 위치에 관한 정보를 획득하는" 특정한 시퀀스를 필요로 할 수도 있다; 이 예에서, (a) 는 (b) 가 (a) 및 (c) 에서 획득된 정보에 의존하기 때문에 (b) 전에 수행되어야 하지만, (a) 또는 (b) 중 어느 하나 전 또는 후에 수행될 수 있다.
본 개시에 기술된 구현 예들에 대한 다양한 수정들은 당업자들에게 용이하게 명백할 수도 있고, 본 명세서에 규정된 일반적인 원리들은 본 개시의 정신 또는 범위로부터 벗어나지 않고 다른 구현 예들에 적용될 수도 있다. 따라서, 청구항들은 본 명세서에 도시된 구현 예들로 제한되도록 의도되지 않고, 본 개시, 본 명세서에 개시된 원리들 및 신규한 피처들과 일치하는 가장 넓은 범위에 따른다.
별도의 구현 예들의 맥락에서 본 명세서에 기술된 특정한 피처들은 또한 단일 구현 예에서 조합하여 구현될 수 있다. 반대로, 단일 구현의 맥락에서 기술된 다양한 특징들은 또한 복수의 구현 예들에서 개별적으로 또는 임의의 적합한 서브-조합으로 구현될 수 있다. 더욱이, 피처들이 특정한 조합들로 작용하는 것으로 상기 기술될 수도 있고 심지어 처음에 그렇게 주장될 수도 있지만, 청구된 조합으로부터 하나 이상의 피처들은 일부 경우들에서 조합으로부터 절제될 수 있고, 청구된 조합은 서브-조합 또는 서브-조합의 변형으로 지향될 수도 있다.
유사하게, 동작들이 특정한 순서로 도면들에 도시되지만, 이는 바람직한 결과들을 달성하기 위해 이들 동작들이 도시된 특정한 순서로 또는 순차적인 순서로 수행되거나 모든 예시된 동작들이 수행될 것을 요구하는 것으로 이해되어야 한다. 또한, 도면들은 흐름도의 형태로 하나 이상의 예시적인 프로세스들을 개략적으로 도시할 수도 있다. 그러나, 도시되지 않은 다른 동작들이 개략적으로 예시된 예시적인 프로세스들에 포함될 수 있다. 예를 들어, 하나 이상의 부가적인 동작들이 예시된 동작들 전, 후, 동시에 또는 임의의 예시된 동작들 사이에 수행될 수 있다. 특정한 상황들에서, 멀티 태스킹 및 병렬 프로세싱이 유리할 수도 있다. 더욱이, 상기 기술된 구현 예들에서 다양한 시스템 컴포넌트들의 분리는 모든 구현 예들에서 이러한 분리를 필요로 하는 것으로 이해되어야 하고, 기술된 프로그램 컴포넌트들 및 시스템들은 일반적으로 단일 소프트웨어 제품으로 함께 통합되거나 또는 복수의 소프트웨어 제품들로 패키징될 수 있다는 것이 이해되어야 한다. 부가적으로, 다른 구현 예들은 이하의 청구항들의 범위 내에 있다. 일부 경우들에서, 청구항들에 인용된 동작들은 상이한 순서로 수행될 수 있고 여전히 바람직한 결과들을 달성한다.

Claims (34)

  1. 공칭 (nominal) 직경 D 1의 하나 이상의 웨이퍼들을 핸들링하기 위한 장치에 있어서,
    공칭 직경 D 1의 하나 이상의 웨이퍼들이 상부에 배치될 때 상기 공칭 직경 D 1의 상기 하나 이상의 웨이퍼들을 지지하도록 구성된 웨이퍼 핸들링 로봇;
    제 1 에지 검출 시스템; 및
    하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함하는 제어기로서,
    상기 하나 이상의 프로세서들, 상기 하나 이상의 메모리 디바이스들, 상기 웨이퍼 핸들링 로봇, 및 상기 제 1 에지-검출 시스템은 서로 동작 가능하게 연결되고, 그리고
    상기 하나 이상의 메모리 디바이스들은:
    a) 상기 웨이퍼 핸들링 로봇의 제 1 기준 지점에 관한 정보를 획득하고;
    b) 하나 이상의 웨이퍼들의 제 1 세트가 상기 웨이퍼 핸들링 로봇에 의해 지지된다고 결정하고―상기 하나 이상의 웨이퍼들의 제 1 세트는 수직 축을 따라 볼 때 수평 평면의 실루엣 에지를 규정함―;
    c) 상기 제 1 에지-검출 시스템으로 하여금 상기 제 1 기준 지점에 대해 상기 하나 이상의 웨이퍼들의 제 1 세트의 상기 실루엣 에지를 따라 적어도 5 지점들의 제 1 수평 좌표들을 나타내는 정보를 획득하게 하고;
    d) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대해, 수직 축을 따라 볼 때, 상기 (c) 에서 결정된 상기 적어도 5 개의 지점들의 상기 제 1 수평 좌표들을 둘러싸는 가장 작은 원을 결정하고;
    e) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대해, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대해 상기 가장 작은 원의 중심으로부터 제 1 기준 지점으로 연장하는 제 1 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 1 중심 이탈 (deviation) 을 결정하고;
    f) 상기 가장 작은 원의 상기 직경과 D 1 사이의 차에 기초하여 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량 (slip amount) 을 결정하고; 그리고
    g) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 제 1 문턱 값 양을 초과하는지 여부를 결정하도록 상기 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 상기 제어기를 포함하는, 웨이퍼 핸들링 장치.
  2. 제 1 항에 있어서,
    상기 제 1 에지-검출 시스템은 3 개의 제 1 투과-빔 (through-beam) 광 센서들을 포함하고, 제 1 투과-빔 광 센서 각각은,
    활성화될 때 대응하는 수직으로 배향된 광 빔을 방출하도록 구성되고,
    상기 제 1 투과-빔 광 센서들이 활성화될 때 임의의 광 빔들 사이의 가장 먼 수평 거리가 D 1 미만이도록 위치되고, 그리고
    상기 제 1 투과-빔 광 센서가 활성화될 때, 상기 방출된 광 빔이 객체의 에지와 교차할 때를 등록하도록 구성되는, 웨이퍼 핸들링 장치.
  3. 제 1 항에 있어서,
    상기 제 1 에지-검출 시스템은 머신-비전 시스템 (machine-vision system) 을 포함하고, 상기 머신-비전 시스템은 상기 제 1 기준 지점에 대한 상기 하나 이상의 웨이퍼들의 제 1 세트의 상기 실루엣 에지를 따라 상기 적어도 5 개의 지점들의 상기 제 1 수평 좌표들을 나타내는 정보를 획득하도록 구성되는, 웨이퍼 핸들링 장치.
  4. 제 1 항에 있어서,
    상기 제 1 에지-검출 시스템은 3 개 이상의 직접 투과-빔 광 센서들의 세트, 3 개 이상의 반사형 투과-빔 광 센서들의 세트, 머신 비전 측정 시스템, 및 3 개 이상의 용량성 센서들의 세트로 구성된 그룹으로부터 선택된 하나 이상의 아이템들을 포함하는, 웨이퍼 핸들링 장치.
  5. 제 1 항에 있어서,
    상기 웨이퍼 핸들링 로봇은 N 개의 블레이드를 갖는 엔드 이펙터를 포함하고,
    상기 하나 이상의 웨이퍼들의 제 1 세트는 N 개 이하의 웨이퍼들을 포함하고,
    블레이드 각각은 공칭 직경 D 1의 상기 웨이퍼들 중 하나를 지지하도록 구성되고, 그리고
    N > 1인, 웨이퍼 핸들링 장치.
  6. 제 5 항에 있어서,
    상기 N 개의 블레이드들은 서로에 대해 고정된 N-1 개의 블레이드들의 제 1 세트를 포함하고,
    상기 N-1 개의 블레이드들의 상기 제 1 세트는 상기 N-1 개의 블레이드들의 상기 제 1 세트가 부착되는 상기 웨이퍼 핸들링 로봇의 일부에 대해 유닛으로서 이동하도록 구성되고, 그리고
    상기 N-1 개의 블레이드들의 상기 제 1 세트 내에 있지 않은 상기 엔드 이펙터의 상기 블레이드는 상기 N-1 개의 블레이드들의 상기 제 1 세트가 상기 N-1 개의 블레이드들의 상기 제 1 세트와 독립적으로 부착되는 상기 웨이퍼 핸들링 로봇의 부분에 대해 이동 가능하도록 구성되는, 웨이퍼 핸들링 장치.
  7. 제 6 항에 있어서,
    N = 5인, 웨이퍼 핸들링 장치.
  8. 제 1 항에 있어서,
    상기 웨이퍼 핸들링 로봇은 정확히 하나의 블레이드를 갖는 엔드 이펙터를 포함하고 상기 하나 이상의 웨이퍼들의 제 1 세트는 정확히 하나의 웨이퍼를 포함하는, 웨이퍼 핸들링 장치.
  9. 제 1 항에 있어서,
    상기 하나 이상의 웨이퍼들의 제 1 세트를 수용하도록 구성된 하나 이상의 제 1 웨이퍼 지지부들을 포함하는 제 1 웨이퍼 리셉터클 (receptacle) 을 더 포함하고, 상기 하나 이상의 제 1 웨이퍼 지지부들은 각각 그 위에 그리고 상기 제 1 웨이퍼 리셉터클과 연관된 한계 영역 엔벨로프 내에 배치된 웨이퍼를 지지하도록 구성되고, D 2의 최소 수평 치수를 갖고, 상기 D 2는 적어도 제 1 문턱 값 양보다 큰, 웨이퍼 핸들링 장치.
  10. 제 9 항에 있어서,
    상기 제 1 웨이퍼 리셉터클은, 제 2 에지-검출 시스템이 활성화될 때, 객체의 에지가 상기 제 2 에지-검출 시스템에 의해 모니터링된 하나 이상의 제 2 수평 위치들과 교차할 때를 등록하도록 구성된 상기 제 2 에지-검출 시스템을 더 포함하는, 웨이퍼 핸들링 장치.
  11. 제 9 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은,
    h) 적어도 부분적으로, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 상기 제 1 문턱 값 양보다 작다는 결정에 응답하여, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들 중 적어도 일부를 상기 제 1 웨이퍼 리셉터클 내로 배치하게 하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 더 저장하는, 웨이퍼 핸들링 장치.
  12. 제 11 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은,
    i) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 기준 라인 세그먼트의 상기 길이 및 배향을 나타내는 상기 정보에 기초하여 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 웨이퍼 오프셋을 결정하고; 그리고
    j) 상기 하나 이상의 웨이퍼들의 제 1 세트가 상기 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 하나 이상의 웨이퍼들의 제 1 세트의 적어도 일부 웨이퍼들을 상기 제 1 웨이퍼 오프셋에 따라 상기 제 1 웨이퍼 리셉터클 내로 배치하게 하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 더 저장하는, 웨이퍼 핸들링 장치.
  13. 제 9 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은,
    h) 적어도 부분적으로, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 상기 제 1 문턱 값 양보다 크다는 결정에 응답하여, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들을 제 2 웨이퍼 리셉터클 내로 배치하게 하고, 그리고
    i) 상기 (h) 후 그리고 제 2 시간 기간 동안, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 웨이퍼들의 제 1 세트의 웨이퍼 각각에 대해:
    상기 제 2 웨이퍼 리셉터클로부터 상기 웨이퍼를 회수하고,
    상기 웨이퍼가 상기 웨이퍼 핸들링 로봇에 의해 지지되는 동안, 상기 제 1 에지-검출 시스템으로 하여금 상기 제 1 기준 지점에 대해 상기 웨이퍼의 상기 실루엣 에지를 따라 적어도 3 개의 제 2 수평 좌표들을 나타내는 정보를 획득하게 하고,
    상기 적어도 3 개의 제 2 수평 좌표들을 사용하여 상기 제 1 기준 지점에 대해 상기 웨이퍼의 추정된 중심 지점을 결정하고,
    상기 웨이퍼의 상기 추정된 중심 지점으로부터 상기 제 1 기준 지점으로 연장하는 제 2 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 2 중심 이탈을 결정하고,
    상기 웨이퍼에 대한 상기 제 2 기준 라인 세그먼트의 상기 길이 및 배향을 나타내는 상기 정보에 기초하여 상기 웨이퍼에 대한 제 2 웨이퍼 오프셋을 결정하고, 그리고
    상기 웨이퍼가 상기 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 제 2 웨이퍼 오프셋에 따라 상기 제 1 웨이퍼 리셉터클 내로 상기 웨이퍼를 배치하게 하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 더 저장하는, 웨이퍼 핸들링 장치.
  14. 공칭 직경 D 1의 하나 이상의 웨이퍼들을 핸들링하는 방법에 있어서,
    a) 하나 이상의 웨이퍼들의 제 1 세트가 상부에 배치될 때, 상기 하나 이상의 웨이퍼들의 제 1 세트를 지지하도록 구성된 웨이퍼 핸들링 로봇을 사용하여 공칭 직경 D 1의 상기 하나 이상의 웨이퍼들의 제 1 세트를 회수하는 단계로서, 상기 하나 이상의 웨이퍼들의 제 1 세트는 수직 축을 따라 볼 때 수평 평면에서 실루엣 에지를 규정하는, 상기 웨이퍼들의 제 1 세트를 회수하는 단계;
    b) 제 1 에지 검출 시스템을 사용하여 상기 웨이퍼 핸들링 로봇의 제 1 기준 지점에 관한 정보를 획득하는 단계;
    c) 상기 제 1 에지-검출 시스템을 사용하여 상기 제 1 기준 지점에 대해 상기 하나 이상의 웨이퍼들의 제 1 세트의 상기 실루엣 에지를 따라 적어도 5 개의 지점들의 제 1 수평 좌표들을 나타내는 정보를 획득하는 단계;
    d) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대해, 수직 축을 따라 볼 때, 상기 단계 (c) 에서 결정된 상기 적어도 5 개의 지점들의 상기 제 1 수평 좌표들을 둘러싸는 가장 작은 원을 결정하는 단계;
    e) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대해, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대해 상기 가장 작은 원의 중심으로부터 제 1 기준 지점으로 연장하는 제 1 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 1 중심 이탈을 결정하는 단계;
    f) 상기 가장 작은 원의 상기 직경 사이의 차에 기초하여 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량을 결정하는 단계; 및
    g) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 제 1 문턱 값 양을 초과하는지 여부를 결정하는 단계를 포함하는, 웨이퍼 핸들링 방법.
  15. 제 14 항에 있어서,
    상기 제 1 에지 검출 시스템은 3 개의 제 1 투과-빔 광 센서들을 포함하고;
    제 1 투과-빔 광 센서 각각은:
    활성화될 때 대응하는 수직으로 배향된 광 빔을 방출하도록 구성되고,
    상기 제 1 투과-빔 광 센서들이 활성화될 때 임의의 광 빔들 사이의 가장 먼 수평 거리가 D 1 미만이도록 위치되고, 그리고
    상기 제 1 투과-빔 광 센서가 활성화될 때, 상기 방출된 광 빔이 객체의 에지와 교차할 때를 등록하도록 구성되고, 그리고
    상기 단계 (c) 는 상기 하나 이상의 웨이퍼들의 제 1 세트의 상기 실루엣 에지가 상기 제 1 투과-빔 광 센서들 중 하나에 의해 방출된 광 빔들 중 하나와 교차하는 경우 각각에 대한 수평 좌표들을 획득함으로써 수행되는, 웨이퍼 핸들링 방법.
  16. 제 14 항에 있어서,
    상기 제 1 에지-검출 시스템은 머신-비전 시스템을 포함하고, 상기 머신-비전 시스템은 상기 제 1 기준 지점에 대한 상기 하나 이상의 웨이퍼들의 제 1 세트의 상기 실루엣 에지를 따라 상기 적어도 5 개의 지점들의 상기 제 1 수평 좌표들을 나타내는 정보를 획득하도록 구성되고, 그리고
    상기 단계 (c) 는 상기 적어도 5 개의 지점들의 상기 수평 좌표들을 획득하기 위해 상기 머신-비전 시스템을 사용하여 수행되는, 웨이퍼 핸들링 방법.
  17. 제 14 항에 있어서,
    상기 제 1 에지-검출 시스템은 3 개 이상의 직접 투과-빔 광 센서들의 세트, 3 개 이상의 반사형 투과-빔 광 센서들의 세트, 머신 비전 측정 시스템, 및 3 개 이상의 용량성 센서들의 세트로 구성된 그룹으로부터 선택된 하나 이상의 아이템들을 포함하는, 웨이퍼 핸들링 방법.
  18. 제 14 항에 있어서,
    상기 웨이퍼 핸들링 로봇은 N 개의 블레이드를 갖는 엔드 이펙터를 포함하고,
    상기 하나 이상의 웨이퍼들의 제 1 세트는 N 개 이하의 웨이퍼들을 포함하고,
    블레이드 각각은 공칭 직경 D 1의 상기 웨이퍼들 중 하나를 지지하도록 구성되고, 그리고
    N > 1인, 웨이퍼 핸들링 방법.
  19. 제 18 항에 있어서,
    상기 N 개의 블레이드들은 서로에 대해 고정된 N-1 개의 블레이드들의 제 1 세트를 포함하고,
    상기 N-1 개의 블레이드들의 제 1 세트는 상기 N-1 개의 블레이드들의 제 1 세트가 부착되는 상기 웨이퍼 핸들링 로봇의 일부에 대해 유닛으로서 이동하도록 구성되고, 그리고
    상기 N-1 개의 블레이드들의 제 1 세트 내에 있지 않은 상기 엔드 이펙터의 상기 블레이드는 상기 N-1 개의 블레이드들의 제 1 세트가 상기 N-1 개의 블레이드들의 제 1 세트와 독립적으로 부착되는 상기 웨이퍼 핸들링 로봇의 부분에 대해 이동 가능하도록 구성되는, 웨이퍼 핸들링 방법.
  20. 제 19 항에 있어서,
    N = 5인, 웨이퍼 핸들링 방법.
  21. 제 14 항에 있어서,
    상기 웨이퍼 핸들링 로봇은 정확히 하나의 블레이드를 갖는 엔드 이펙터를 포함하고 상기 하나 이상의 웨이퍼들의 제 1 세트는 정확히 하나의 웨이퍼를 포함하는, 웨이퍼 핸들링 방법.
  22. 제 14 항에 있어서,
    D 1는 적어도 제 1 문턱 값 양만큼 D 2보다 작고,
    상기 D 2는 상기 하나 이상의 웨이퍼들의 제 1 세트를 수용하도록 구성된 하나 이상의 제 1 웨이퍼 지지부들을 포함하는 제 1 웨이퍼 리셉터클과 연관된 한계 영역 엔벨로프의 최소 수평 치수이고, 그리고
    상기 하나 이상의 제 1 웨이퍼 지지부들은 각각 그 위에 그리고 상기 한계 영역 엔벨로프 내에 배치된 웨이퍼를 지지하도록 구성되는, 웨이퍼 핸들링 방법.
  23. 제 22 항에 있어서,
    상기 제 1 웨이퍼 리셉터클은, 상기 제 2 에지-검출 시스템이 활성화될 때, 객체의 에지가 상기 제 2 에지-검출 시스템에 의해 모니터링된 하나 이상의 제 2 수평 위치들과 교차할 때를 등록하도록 구성된 상기 제 2 에지-검출 시스템을 더 포함하는, 웨이퍼 핸들링 방법.
  24. 제 22 항에 있어서,
    상기 단계 (g) 에서, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 제 1 문턱 값 양보다 작다는 것을 결정하는 단계, 및
    h) 적어도 부분적으로, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 상기 제 1 문턱 값 양보다 작다는 결정에 응답하여, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들 중 적어도 일부를 상기 제 1 웨이퍼 리셉터클 내로 배치하게 하는 단계를 더 포함하는, 웨이퍼 핸들링 방법.
  25. 제 22 항에 있어서,
    i) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 기준 라인 세그먼트의 상기 길이 및 배향을 나타내는 상기 정보에 기초하여 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 웨이퍼 오프셋을 결정하는 단계; 및
    j) 상기 하나 이상의 웨이퍼들의 제 1 세트가 상기 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 하나 이상의 웨이퍼들의 제 1 세트의 적어도 일부 웨이퍼들을 상기 제 1 웨이퍼 오프셋에 따라 상기 제 1 웨이퍼 리셉터클 내로 배치하게 하는 단계를 더 포함하는, 웨이퍼 핸들링 방법.
  26. 제 22 항에 있어서,
    h) 적어도 부분적으로, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 상기 제 1 문턱 값 양보다 크다는 결정에 응답하여, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들을 제 2 웨이퍼 리셉터클 내로 배치하게 하는 단계; 및
    i) 상기 단계 (h) 후 그리고 제 2 시간 기간 동안, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 웨이퍼들의 제 1 세트의 웨이퍼 각각에 대해:
    상기 제 2 웨이퍼 리셉터클로부터 상기 웨이퍼를 회수하고,
    상기 웨이퍼가 상기 웨이퍼 핸들링 로봇에 의해 지지되는 동안, 상기 제 1 에지-검출 시스템으로 하여금 상기 제 1 기준 지점에 대해 상기 웨이퍼의 상기 실루엣 에지를 따라 적어도 3 개의 제 2 수평 좌표들을 나타내는 정보를 획득하게 하고,
    상기 적어도 3 개의 제 2 수평 좌표들을 사용하여 상기 제 1 기준 지점에 대해 상기 웨이퍼의 추정된 중심 지점을 결정하고,
    상기 웨이퍼의 상기 추정된 중심 지점으로부터 상기 제 1 기준 지점으로 연장하는 제 2 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 2 중심 이탈을 결정하고,
    상기 웨이퍼에 대한 상기 제 2 기준 라인 세그먼트의 상기 길이 및 배향을 나타내는 상기 정보에 기초하여 상기 웨이퍼에 대한 제 2 웨이퍼 오프셋을 결정하고, 그리고
    상기 웨이퍼가 상기 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 제 2 웨이퍼 오프셋에 따라 상기 제 1 웨이퍼 리셉터클 내로 상기 웨이퍼를 배치하게 하는 단계를 더 포함하는, 웨이퍼 핸들링 방법.
  27. a) 하나 이상의 웨이퍼들의 제 1 세트가 상부에 배치될 때, 상기 하나 이상의 웨이퍼들의 제 1 세트를 지지하도록 구성된 웨이퍼 핸들링 로봇을 사용하여 공칭 직경 D 1의 상기 하나 이상의 웨이퍼들의 제 1 세트를 회수하게 하고-상기 하나 이상의 웨이퍼들의 제 1 세트는 수직 축을 따라 볼 때 수평 평면에서 실루엣 에지를 규정함-;
    b) 제 1 에지 검출 시스템을 사용하여 상기 웨이퍼 핸들링 로봇의 제 1 기준 지점에 관한 정보를 획득하고;
    c) 상기 제 1 에지-검출 시스템을 사용하여 상기 제 1 기준 지점에 대해 상기 하나 이상의 웨이퍼들의 제 1 세트의 상기 실루엣 에지를 따라 적어도 5 개의 지점들의 제 1 수평 좌표들을 나타내는 정보를 획득하고;
    d) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대해, 수직 축을 따라 볼 때, 상기 단계 (c) 에서 결정된 상기 적어도 5 개의 지점들의 상기 제 1 수평 좌표들을 둘러싸는 가장 작은 원을 결정하고;
    e) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대해, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대해 상기 가장 작은 원의 중심으로부터 제 1 기준 지점으로 연장하는 제 1 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 1 중심 이탈을 결정하고;
    f) 상기 가장 작은 원의 상기 직경 사이의 차에 기초하여 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 슬립량을 결정하고; 그리고
    g) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 제 1 문턱 값 양을 초과하는지 여부를 결정하도록, 하나 이상의 프로세서들 을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는 비 일시적, 컴퓨터-판독 가능 매체.
  28. 제 27 항에 있어서,
    상기 제 1 에지 검출 시스템은 3 개의 제 1 투과-빔 광 센서들을 포함하고;
    제 1 투과-빔 광 센서 각각은:
    활성화될 때 대응하는 수직으로 배향된 광 빔을 방출하도록 구성되고,
    상기 제 1 투과-빔 광 센서들이 활성화될 때 임의의 광 빔들 사이의 가장 먼 수평 거리가 D 1 미만이도록 위치되고, 그리고
    상기 제 1 투과-빔 광 센서가 활성화될 때, 상기 방출된 광 빔이 객체의 에지와 교차할 때를 등록하도록 구성되고, 그리고
    상기 비 일시적, 컴퓨터-판독가능 매체는 상기 (c) 의 수평 좌표들이 상기 하나 이상의 웨이퍼들의 제 1 세트의 상기 실루엣 에지가 상기 제 1 투과-빔 광 센서들 중 하나에 의해 방출된 광 빔들 중 하나와 교차하는 경우 각각에 대해 획득되게 하도록 상기 3 개의 제 1 투과-빔 광 센서들을 동작시키도록 상기 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 저장하는, 비 일시적, 컴퓨터-판독가능 매체.
  29. 제 27 항에 있어서,
    상기 제 1 에지-검출 시스템은 머신-비전 시스템을 포함하고, 상기 머신-비전 시스템은 상기 제 1 기준 지점에 대한 상기 하나 이상의 웨이퍼들의 제 1 세트의 상기 실루엣 에지를 따라 상기 적어도 5 개의 지점들의 상기 제 1 수평 좌표들을 나타내는 정보를 획득하도록 구성되고, 그리고
    상기 비 일시적, 컴퓨터-판독가능 매체는 상기 머신-비전 시스템과 인터페이싱하고 상기 (c) 의 수평 좌표들이 상기 머신-비전 시스템을 사용하여 획득되게 하도록 상기 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 저장하는, 비 일시적, 컴퓨터-판독가능 매체.
  30. 제 27 항에 있어서,
    상기 제 1 에지-검출 시스템은 3 개 이상의 직접 투과-빔 광 센서들의 세트, 3 개 이상의 반사형 투과-빔 광 센서들의 세트, 머신 비전 측정 시스템, 및 3 개 이상의 용량성 센서들의 세트로 구성된 그룹으로부터 선택된 하나 이상의 아이템들을 포함하고, 상기 비 일시적, 컴퓨터-판독가능 매체는 상기 하나 이상의 프로세서들로 하여금 3 개 이상의 직접 투과-빔 광 센서들의 세트, 3 개 이상의 반사형 투과-빔 광 센서들의 세트, 머신 비전 측정 시스템, 및 3 개 이상의 용량성 센서들의 세트로 구성된 그룹으로부터 선택된 하나 이상의 아이템들과 인터페이싱하고 통신하게 하기 위한 인스트럭션들을 더 저장하는, 비 일시적, 컴퓨터-판독가능 매체.
  31. 제 27 항에 있어서,
    D 1는 적어도 제 1 문턱 값 양만큼 D 2보다 작고,
    상기 D 2는 상기 하나 이상의 웨이퍼들의 제 1 세트를 수용하도록 구성된 하나 이상의 제 1 웨이퍼 지지부들을 포함하는 제 1 웨이퍼 리셉터클과 연관된 한계 영역 엔벨로프의 최소 수평 치수이고, 그리고
    상기 하나 이상의 제 1 웨이퍼 지지부들은 각각 그 위에 그리고 한계 영역 엔벨로프 내에 배치된 웨이퍼를 지지하도록 구성되는, 비 일시적, 컴퓨터-판독가능 매체.
  32. 제 31 항에 있어서,
    상기 비 일시적, 컴퓨터-판독가능 매체는,
    상기 (g) 에서, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 제 1 문턱 값 양보다 작다는 것을 결정하고, 그리고
    h) 적어도 부분적으로, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 상기 제 1 문턱 값 양보다 작다는 결정에 응답하여, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들 중 적어도 일부를 상기 제 1 웨이퍼 리셉터클 내로 배치하도록 상기 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 저장하는, 비 일시적, 컴퓨터-판독가능 매체.
  33. 제 32 항에 있어서,
    상기 비 일시적, 컴퓨터-판독가능 매체는,
    i) 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 기준 라인 세그먼트의 상기 길이 및 배향을 나타내는 상기 정보에 기초하여 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 제 1 웨이퍼 오프셋을 결정하고; 그리고
    j) 상기 하나 이상의 웨이퍼들의 제 1 세트가 상기 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 하나 이상의 웨이퍼들의 제 1 세트의 적어도 일부 웨이퍼들을 상기 제 1 웨이퍼 오프셋에 따라 상기 제 1 웨이퍼 리셉터클 내로 배치하도록 상기 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 저장하는, 비 일시적, 컴퓨터-판독가능 매체.
  34. 제 31 항에 있어서,
    h) 적어도 부분적으로, 상기 하나 이상의 웨이퍼들의 제 1 세트에 대한 상기 제 1 슬립량이 상기 제 1 문턱 값 양보다 크다는 결정에 응답하여, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 하나 이상의 웨이퍼들의 제 1 세트의 웨이퍼들을 제 2 웨이퍼 리셉터클 내로 배치하게 하고; 그리고
    i) 상기 (h) 후 그리고 제 2 시간 기간 동안, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 웨이퍼들의 제 1 세트의 웨이퍼 각각에 대해:
    상기 제 2 웨이퍼 리셉터클로부터 상기 웨이퍼를 회수하고,
    상기 웨이퍼가 상기 웨이퍼 핸들링 로봇에 의해 지지되는 동안, 상기 제 1 에지-검출 시스템으로 하여금 상기 제 1 기준 지점에 대해 상기 웨이퍼의 상기 실루엣 에지를 따라 적어도 3 개의 제 2 수평 좌표들을 나타내는 정보를 획득하게 하고,
    상기 적어도 3 개의 제 2 수평 좌표들을 사용하여 상기 제 1 기준 지점에 대해 상기 웨이퍼의 추정된 중심 지점을 결정하고,
    상기 웨이퍼의 상기 추정된 중심 지점으로부터 상기 제 1 기준 지점으로 연장하는 제 2 기준 라인 세그먼트의 길이 및 방향을 나타내는 정보를 결정함으로써 제 2 중심 이탈을 결정하고,
    상기 웨이퍼에 대한 상기 제 2 기준 라인 세그먼트의 상기 길이 및 배향을 나타내는 상기 정보에 기초하여 상기 웨이퍼에 대한 제 2 웨이퍼 오프셋을 결정하고, 그리고
    상기 웨이퍼가 상기 웨이퍼 핸들링 로봇에 의해 지지되는 하나 이상의 동작들 동안, 상기 웨이퍼 핸들링 로봇으로 하여금 상기 제 2 웨이퍼 오프셋에 따라 상기 제 1 웨이퍼 리셉터클 내로 상기 웨이퍼를 배치하게 하도록 상기 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 저장하는, 비 일시적, 컴퓨터-판독가능 매체.
KR1020217017305A 2018-11-05 2019-10-31 향상된 자동 웨이퍼 센터링 시스템 및 이를 위한 기법들 KR20210074397A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/180,691 2018-11-05
US16/180,691 US10796940B2 (en) 2018-11-05 2018-11-05 Enhanced automatic wafer centering system and techniques for same
PCT/US2019/059261 WO2020096864A1 (en) 2018-11-05 2019-10-31 Enhanced automatic wafer centering system and techniques for same

Publications (1)

Publication Number Publication Date
KR20210074397A true KR20210074397A (ko) 2021-06-21

Family

ID=70458951

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217017305A KR20210074397A (ko) 2018-11-05 2019-10-31 향상된 자동 웨이퍼 센터링 시스템 및 이를 위한 기법들

Country Status (4)

Country Link
US (2) US10796940B2 (ko)
KR (1) KR20210074397A (ko)
CN (1) CN113272947A (ko)
WO (1) WO2020096864A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10943805B2 (en) 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
KR102020533B1 (ko) * 2019-02-22 2019-09-10 임진희 엔드 이펙터 측정모듈 및 이를 이용한 엔드 이펙터 모니터링 장치
KR20210096748A (ko) * 2020-01-29 2021-08-06 세메스 주식회사 공정 제어 장치 및 방법
US20220084854A1 (en) * 2020-09-16 2022-03-17 Changxin Memory Technologies, Inc. Wafer transferring device
JP7433180B2 (ja) * 2020-09-23 2024-02-19 東京エレクトロン株式会社 搬送装置およびロボットアームのティーチング方法
CN114823441B (zh) * 2022-06-28 2022-09-02 深圳市星国华先进装备科技有限公司 一种针测机传输机构晶圆防滑出保护装置

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5001327A (en) 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
EP0597637B1 (en) 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
JP2969034B2 (ja) 1993-06-18 1999-11-02 東京エレクトロン株式会社 搬送方法および搬送装置
US5563798A (en) 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US6366830B2 (en) 1995-07-10 2002-04-02 Newport Corporation Self-teaching robot arm position method to compensate for support structure component alignment offset
US5855465A (en) 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US5706201A (en) 1996-05-07 1998-01-06 Fortrend Engineering Corporation Software to determine the position of the center of a wafer
US6921467B2 (en) 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
JP4109733B2 (ja) 1997-06-06 2008-07-02 キヤノンアネルバ株式会社 基板搬送システム及び半導体製造装置
JP2002504744A (ja) 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド 真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法
US6198976B1 (en) 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6146504A (en) 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
JP3560823B2 (ja) 1998-08-18 2004-09-02 リンテック株式会社 ウェハ転写装置
US6405101B1 (en) * 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6508883B1 (en) 2000-04-29 2003-01-21 Advanced Technology Materials, Inc. Throughput enhancement for single wafer reactor
US6430468B1 (en) 2000-11-17 2002-08-06 Applied Materials, Inc. Method and apparatus for accurate placement of semiconductor wafers onto respective platforms within a single reaction chamber
US20020098072A1 (en) 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
KR20020095371A (ko) 2001-06-14 2002-12-26 삼성전자 주식회사 반도체소자 제조용 베이크 오븐
US20030202865A1 (en) 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
JP4260423B2 (ja) * 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US7572092B2 (en) * 2002-10-07 2009-08-11 Brooks Automation, Inc. Substrate alignment system
US6760976B1 (en) 2003-01-15 2004-07-13 Novellus Systems, Inc. Method for active wafer centering using a single sensor
US8016541B2 (en) 2003-09-10 2011-09-13 Brooks Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus
KR100583727B1 (ko) 2004-01-07 2006-05-25 삼성전자주식회사 기판 제조 장치 및 이에 사용되는 기판 이송 모듈
KR100596466B1 (ko) 2004-03-15 2006-07-05 주식회사 뉴파워 프라즈마 다중 배열된 진공 챔버를 갖는 플라즈마 반응 챔버를구비한 기판 처리 시스템
US7433759B2 (en) * 2004-07-22 2008-10-07 Applied Materials, Inc. Apparatus and methods for positioning wafers
US8668422B2 (en) 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
KR100595135B1 (ko) 2004-12-29 2006-06-30 동부일렉트로닉스 주식회사 두 개의 웨이퍼 이송용 모듈을 갖는 웨이퍼 이송장치
US7720631B2 (en) 2005-01-20 2010-05-18 Revera, Incorporated Semiconductor substrate processing method and apparatus
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
KR100676823B1 (ko) 2005-07-23 2007-02-01 삼성전자주식회사 웨이퍼이송장치 및 그 이송방법
KR100701080B1 (ko) 2005-10-07 2007-03-29 세메스 주식회사 티칭 검사 장치와 그 방법, 티칭 검사 장치를 구비하는기판 이송 시스템 및 그의 티칭 방법
WO2008039943A2 (en) 2006-09-27 2008-04-03 Vserv Tech Wafer processing system with dual wafer robots capable of asynchronous motion
KR200436002Y1 (ko) 2006-09-29 2007-04-04 주식회사 싸이맥스 이중 아암 로봇
EP1925577B1 (de) 2006-11-24 2009-07-08 Jonas & Redmann Automationstechnik GmbH Verfahren zum Bilden einer in einem Prozessboot zu positionierenden Back-to-Back Wafercharge und Handhabungssystem zum Bilden der Back-to-Back Wafercharge
US8099190B2 (en) * 2007-06-22 2012-01-17 Asm International N.V. Apparatus and method for transferring two or more wafers whereby the positions of the wafers can be measured
US8225683B2 (en) * 2007-09-28 2012-07-24 Lam Research Corporation Wafer bow metrology arrangements and methods thereof
KR100873153B1 (ko) 2007-10-05 2008-12-10 세메스 주식회사 스핀 헤드
KR100931857B1 (ko) 2007-11-02 2009-12-15 세메스 주식회사 웨이퍼 이송 로봇을 구비하는 반도체 제조 설비 및 그의자동 티칭 방법
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
DE102009016811A1 (de) 2009-04-09 2010-10-14 Aes Motomation Gmbh Verfahren zur automatischen Vermessung und zum Einlernen von Lagepositionen von Objekten innerhalb eines Substratprozessiersystems mittels Sensorträger und zugehöriger Sensorträger
US8757345B2 (en) 2009-04-29 2014-06-24 Novellus Systems, Inc. Magnetic rotational hardstop for robot
JP5490741B2 (ja) 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
JP2014179508A (ja) 2013-03-15 2014-09-25 Tokyo Electron Ltd 基板処理装置及び基板処理方法
KR102308221B1 (ko) * 2013-06-05 2021-10-01 퍼시몬 테크놀로지스 코포레이션 로봇 및 적응형 배치 시스템 및 방법
US10755960B2 (en) * 2014-11-04 2020-08-25 Brooks Automation, Inc. Wafer aligner
US20160358808A1 (en) 2015-06-02 2016-12-08 Lam Research Corporation Hybrid 200 mm/300 mm semiconductor processing apparatuses
KR20160144727A (ko) 2015-06-09 2016-12-19 주식회사 메이저 웨이퍼 이송 장비의 위치 및 자세 교정용 웨이퍼 타입 비전 시스템
US20170004987A1 (en) * 2015-06-30 2017-01-05 Kevin P. Fairbairn System and Method for Real Time Positioning of a Substrate in a Vacuum Processing System
KR102587203B1 (ko) 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US9892956B1 (en) 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US9960068B1 (en) 2016-12-02 2018-05-01 Lam Research Corporation Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
JP2022527940A (ja) 2019-03-29 2022-06-07 ラム リサーチ コーポレーション インデックス付きマルチステーション処理チャンバにおけるウエハ載置の補正
US20220254666A1 (en) 2019-07-26 2022-08-11 Lam Research Corporation Integrated adaptive positioning systems and routines for automated wafer-handling robot teach and health check

Also Published As

Publication number Publication date
TW202035260A (zh) 2020-10-01
WO2020096864A1 (en) 2020-05-14
US20200144097A1 (en) 2020-05-07
US10796940B2 (en) 2020-10-06
US11581214B2 (en) 2023-02-14
US20220028714A1 (en) 2022-01-27
CN113272947A (zh) 2021-08-17

Similar Documents

Publication Publication Date Title
KR20210074397A (ko) 향상된 자동 웨이퍼 센터링 시스템 및 이를 위한 기법들
US20220254666A1 (en) Integrated adaptive positioning systems and routines for automated wafer-handling robot teach and health check
US11908721B2 (en) Tool auto-teach method and apparatus
KR102587203B1 (ko) 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US9966290B2 (en) System and method for wafer alignment and centering with CCD camera and robot
KR101817395B1 (ko) 기판 반송 기구의 위치 검출 방법, 기억 매체 및 기판 반송 기구의 위치 검출 장치
US20220172967A1 (en) Wafer placement correction in indexed multi-station processing chambers
KR102507845B1 (ko) 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션
US7230702B2 (en) Monitoring of smart pin transition timing
US10046460B2 (en) Robot teaching position correcting method and system
US10056282B2 (en) Method and system of robot fork calibration and wafer pick-and-place
TW201332048A (zh) 傳送系統
US6519502B2 (en) Apparatus and method for positioning a cassette pod onto a loadport by an overhead hoist transport system
US9978631B2 (en) Wafer pick-and-place method and system
CN104752295A (zh) 位置监测装置、等离子体加工设备及工件的装卸方法
TWI835911B (zh) 用於晶圓搬運的設備、方法、和非暫態電腦可讀媒體
TWI836042B (zh) 半導體處理設備
US20220258363A1 (en) Devices and Methods for Improved Detection of Anomalous Substrates in Automated Material-Handling Systems
WO2023069463A1 (en) Adaptive positioning systems and routines using an autocalibration wafer and a calibration wafer with cutouts
KR20220078486A (ko) 보정 방법 및 기판 반송 장치

Legal Events

Date Code Title Description
A201 Request for examination