JP2022515123A - 荷電粒子ビームの描画時間を短縮させる方法及びシステム - Google Patents

荷電粒子ビームの描画時間を短縮させる方法及びシステム Download PDF

Info

Publication number
JP2022515123A
JP2022515123A JP2021535205A JP2021535205A JP2022515123A JP 2022515123 A JP2022515123 A JP 2022515123A JP 2021535205 A JP2021535205 A JP 2021535205A JP 2021535205 A JP2021535205 A JP 2021535205A JP 2022515123 A JP2022515123 A JP 2022515123A
Authority
JP
Japan
Prior art keywords
exposure
pattern
backscatter
information set
artificial background
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021535205A
Other languages
English (en)
Inventor
晶 藤村
ゼイブル,ハロルド・ロバート
シラリ,ナゲシュ
ガスリー,ウィリアム・イー
ペアマン,ライアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
D2S Inc
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by D2S Inc filed Critical D2S Inc
Publication of JP2022515123A publication Critical patent/JP2022515123A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4097Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by using design data to control NC machines, e.g. CAD/CAM
    • G05B19/4099Surface or curve machining, making 3D objects, e.g. desktop manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45028Lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31774Multi-beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

荷電粒子ビームリソグラフィを使用して表面上の領域内にパターンを露光する方法は、開示され、この領域についての元の露光情報セットを入力することを含む。この露光情報に基づいて、このパターンの領域についての後方散乱を計算する。その領域についての人工バックグラウンド露光量を決定する。人工バックグラウンド露光量は、追加の露光情報を含み、元の露光情報セットと組み合わされて、変更された露光情報セットが作成される。荷電粒子ビームリソグラフィを使用して表面上の領域内にパターンを露光するシステムもまた開示される。

Description

関連出願
本出願は、2018年12月22日に出願され、「Method and System of Reducing Charged Particle Beam Write Time」と題された、米国非仮特許出願第16/231,447号の利益を主張し、これは、あらゆる目的のために参照により援用される。
本開示は、リソグラフィに関し、さらに特に、荷電粒子ビームリソグラフィを使用する、レチクル、ウェハ、または任意の他の表面であることができる表面の設計及び製造に関する。
荷電粒子ビームリソグラフィの3つの一般的なタイプは、非整形(ガウス)ビームリソグラフィ、整形荷電粒子ビームリソグラフィ、及びマルチビームリソグラフィである。すべてのタイプの荷電粒子ビームリソグラフィでは、荷電粒子ビームは、レジストコーティング面にエネルギーを放出して、このレジストを露光する。
整形荷電粒子ビームリソグラフィは、可変整形ビーム(VSB)または部分一括露光法(CP)であることができ、そこでは、ウェハの表面、またはレチクルの表面などのレジストコーティング面を露光するように、正確に電子ビームのショットを整形し、操作する。VSBでは、これらの形状は単純な形状であり、通常、ある特定の最小サイズ及び最大サイズと、デカルト座標面(すなわち、「マンハッタン」配向)の軸に平行である辺とを備える矩形、ならびにある特定の最小サイズ及び最大サイズの45度の直角三角形(すなわち、三角形の3つの内角が45度、45度、及び90度である三角形)に限定される。所定の位置では、これらの単純な形状を備えたレジストに電子露光量を照射する。このタイプのシステムについての合計描画時間は、ショット数とともに増加する。CPでは、このシステムにステンシルがあり、このステンシルは、その中にさまざまなアパーチャまたはキャラクタを有し、これらは、直線角、任意の角度の線形、円形、ほぼ円形、環形、ほぼ環形、楕円形、ほぼ楕円形、部分的に円形、部分的にほぼ円形、部分的に環形、部分的にほぼ環形、部分的にほぼ楕円形、または任意の曲線形状などの複雑な形状であることができ、また複雑な形状の連結されたセット、または複雑な形状の連結されたセットの分解されたセットの群であることができる。電子ビームをステンシル上のキャラクタに照射して、レチクル上により複雑なパターンを効率的に生成することができる。理論的には、このようなシステムは、時間のかかるショットごとに、より複雑な形状を照射することができるため、VSBシステムよりも高速であることができる。したがって、VSBシステムによるE字型パターンのショットは4ショット必要であるが、CPシステムでは、同じE字型パターンを1ショットで照射することができる。
整形荷電粒子ビームリソグラフィは、単一の整形ビームを使用することができるか、表面を同時に露光する複数の整形ビームを使用することができるかいずれかであり、複数の整形ビームは、単一の整形ビームよりも高速の描画速度をもたらす。複数の荷電粒子ビームが同時に表面を露光する場合、荷電粒子ビームリソグラフィはよくマルチビームリソグラフィと称される。マルチビームリソグラフィは、整形または非整形の荷電粒子ビームリソグラフィの複数のビームであることができる。
リソグラフィでは、リソグラフィマスクまたはレチクルは、基板上に集積される回路コンポーネントに対応する幾何学的パターンを含む。コンピュータ支援設計(CAD)ソフトウェアまたはプログラムを利用して、レチクルを製造するために使用されるパターンを生成することができる。パターンを設計する際に、CADプログラムは、レチクルを作製するために、所定の設計ルールセットに従ってもよい。これらのルールは、処理、設計、及びエンドユースの制限によって設定される。エンドユース制限の一例は、ある意味ではトランジスタの形状を定義すると、トランジスタが必要な供給電圧で十分に動作することができないことである。特に、設計ルールは、回路デバイスまたは内部配線間のスペース公差を定義することができる。設計ルールは、例えば、回路デバイスまたは配線が望ましくない方法で互いに相互作用しないことを確保するために使用される。例えば、短絡を引き起こす可能性のある方法では、配線が互いに近づきすぎないために、設計ルールを使用する。設計ルールの制限は、とりわけ、信頼して製造されることができる最小の寸法を反映する。これらの小さな寸法を参照する場合、通常、限界寸法の概念を導入する。これらは、例えば、フィーチャーの重要な幅もしくは領域、または2つのフィーチャー間の重要なスペースもしくは重要なスペース領域として画定され、これらの寸法は絶妙な制御を必要とする。
集積回路などの半導体デバイスの生産または製造では、光リソグラフィを使用して半導体デバイスを製造することができる。光リソグラフィは、印刷プロセスであり、この印刷プロセスでは、リソグラフィのマスクまたはフォトマスクまたはレチクルを使用して、パターンを半導体またはシリコンウェハなどの基板に転写し、集積回路(I.C.)を作製する。他の基板は、フラットパネルディスプレイ、ホログラフィックマスク、または他のレチクルも含むことができる。従来の光リソグラフィは、通常、193nm以上の波長の放射線を使用する。極端紫外線(EUV)またはX線リソグラフィもまた光リソグラフィの類型とみなされるが、従来の光リソグラフィの193nmよりもはるかに短い波長を使用する。1つのレチクル、または複数のレチクルは、集積回路の個々の層に対応する回路パターンを含むことができ、このパターンは、フォトレジストまたはレジストとして知られる放射線感受性材料の層でコーティングされた基板上のある特定の領域上に結像されることができる。従来の光リソグラフィ描画装置は、通常、光リソグラフィプロセス中にフォトマスクパターンを4分の1まで縮小させる。したがって、レチクルまたはマスクに形成されるパターンは、基板またはウェハ上の所望のパターンのサイズよりも4倍大きい必要がある。
集積回路などの半導体デバイスの生産または製造では、非光学的方法を使用して、リソグラフィマスク上のパターンをシリコンウェハなどの基板に転写することができる。ナノインプリントリソグラフィ(NIL)は、非光リソグラフィプロセスの一例である。NILでは、リソグラフィマスクと基板との接触により、リソグラフィマスクパターンを基板に転写する。NILのリソグラフィマスクは、通常、荷電粒子ビームリソグラフィを使用する表面の製品として製造される。
集積回路などの半導体デバイスの生産または製造では、マスクレス直接描画も使用して半導体デバイスを製造することができる。マスクレス直接描画は、印刷プロセスであり、この印刷プロセスでは、荷電粒子ビームリソグラフィを使用して、パターンを半導体またはシリコンウェハなどの基板に転写し、集積回路を作製する。他の基板は、フラットパネルディスプレイ、ナノインプリンティング用のインプリントマスク、またはレチクルも含むことができる。層の所望のパターンは、この場合は基板でもある表面上に直接描画される。パターン化された層が転写されると、その層は、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、及び研磨などのさまざまな他のプロセスを経ることができる。これらのプロセスを用いて、基板内の個々の層を仕上げる。いくつかの層が必要とされる場合は、プロセス全体またはその変形形態は、新しい層ごとに繰り返される。同じ基板を製造するために、これらの層の一部は、光リソグラフィを使用して描画されることができ、他の層は、マスクレス直接描画を使用して描画されることができる。また、所与の層の一部のパターンは、光リソグラフィを使用して描画されることができ、他のパターンは、マスクレス直接描画を使用して描画されることができる。最終的には、複数のデバイスまたは集積回路の組み合わせが基板上に存在する。次に、これらの集積回路は、ダイシングまたはソーイングによって互いに分離され、個々のパッケージに実装される。より一般的な場合では、表面上のパターンを使用して、表示画素、ホログラム、または磁気記録ヘッドなどのアーティファクトを定義することができる。
光リソグラフィによる集積回路製造の1つの目標は、レチクルの使用により基板上に元の回路設計を再現することであり、レチクルは、時としてマスクまたはフォトマスクと称され、荷電粒子ビームリソグラフィを使用して露光されることができる表面である。集積回路製造業者は、常に、半導体ウェハという実体的な有体物を可能な限り効率的に使用しようとしている。エンジニアが回路のサイズを縮小し続けることで、集積回路が含む回路素子が多くなり、集積回路が使用する電力が少なくなることが可能になる。集積回路の限界寸法のサイズが減少し、その回路密度が増加するにつれて、回路パターンまたは物理設計の限界寸法は、従来の光リソグラフィで使用される光学的露光ツールの解像限界に近づく。回路パターンの限界寸法が小さくなり、露光ツールのこの解像度の値に近づくにつれて、レジスト層上で展開される実際の回路パターンへの物理設計の正確な転写が困難になる。光リソグラフィプロセスに使用される光波長よりも小さいフィーチャーを有するパターンを転写する、光リソグラフィのさらなる使用のために、光近接効果補正(OPC)として知られるプロセスが開発された。OPCは、物理設計を変更して、光回折、及びフィーチャーと近接したフィーチャーとの光学的相互作用などの影響によって引き起こされる歪みを補償する。レチクルによって実行される解像度向上技術は、OPC及びインバースリソグラフィ技術(ILT)を含む。
OPCは、サブ解像度リソグラフィフィーチャーをマスクパターンに追加して、元の物理設計パターン、すなわち、この設計と、基板上に転写された最終的な回路パターンとの間の差異を減少させることができる。サブ解像度リソグラフィフィーチャーは、物理設計における元のパターンと、そして互いと相互作用し、近接効果を補償し、最終的な転写回路パターンを改善する。パターン転写を改善するために追加される1つのフィーチャーは、「セリフ」と称される。セリフは、特定のフィーチャーの印刷の製造ばらつきに対する精度またはレジリエンスを向上させる小さなフィーチャーである。セリフの一例は、パターンのコーナー上に位置決めされて最終的な転写像内のコーナーをシャープにする小さなフィーチャーである。基板上に印刷することが意図されるパターンは、メインフィーチャーと称される。セリフはメインフィーチャーの一部である。レチクル上に描画されるOPC装飾パターンを、メインフィーチャー(すなわち、OPC装飾前の設計を反映するフィーチャー)と、OPCフィーチャーという点から議論することが一般的であり、これらのOPCフィーチャーは、セリフ、ジョグ、サブ解像度補助フィーチャー(SRAF)及びネガティブフィーチャーを含むことができる。OPCフィーチャーは、光リソグラフィを使用してウェハに転写されることができる最小サイズのフィーチャーに基づくルールなど、さまざまな設計ルールに従わなければならない。他の設計ルールは、マスク製造プロセスからのもの、または部分一括露光法の荷電粒子ビーム描画システムを使用してレチクル上にパターンを形成する場合にはステンシル製造プロセスからのものであることができる。
SRAFフィーチャーを使用して、パターンの転写を改善する。SRAFについての表面製造プロセスに要求される精度または正確度が、メインフィーチャーについての精度または正確度よりも低い場合が多い。ネガティブフィーチャーとしてOPCによって導入される同様の装飾がある。大きなフィーチャーの内側には、リソグラフィ性能を向上させるために導入されるナロースペースがあることができる。ナロースペースは、同様に狭い配線よりも信頼して描画することが難しいため、これらのネガティブフィーチャーは、最終的に、表面上に確実に描画することが最も難しい部分になることが多い。
荷電粒子ビームリソグラフィを使用して表面上の領域内にパターンを露光する方法及びシステムは、この領域についての元の露光情報セットを入力することを含む。この露光情報に基づいて、このパターンの領域についての後方散乱を計算する。その領域についての人工バックグラウンド露光量を決定する。人工バックグラウンド露光量は、追加の露光情報を含み、元の露光情報セットと組み合わされて、変更された露光情報セットが作成される。
当該技術で知られている、可変整形ビーム(VSB)荷電粒子ビームシステムの一例を示す。 当該技術で知られている、マルチビーム露光システムの電気光学概略図の一例を示す。 A~Dは、当該技術で知られている、さまざまなタイプのショットを示す。 当該技術で知られている、マルチビーム荷電粒子ビームシステムの一例を示す。 A~Cは、当該技術で知られている、大きい形状及び小さい形状の両方を有する領域について、PEC後に露光量に影響する程度を示す。 A~Cは、当該技術で知られている、大きい形状及び小さい形状の両方を有する領域について、PEC後に露光量に影響する程度を示す。 A~Gは、当該技術で知られている、矩形形状のPEC反復及び結果として得られる露光量曲線を示す。 当該技術で知られている、高密度露光に対する低密度露光の例を示す。 当該技術で知られている、高密度露光に対する低密度露光の例を示す。 当該技術で知られている、高密度露光に対する低密度露光の例を示す。 当該技術で知られている、高密度露光に対する低密度露光の例を示す。 当該技術で知られている、高密度露光に対する低密度露光の例を示す。 当該技術で知られている、高密度露光に対する低密度露光の例を示す。 A~Bは、本開示の実施形態による、追加された人工バックグラウンド露光量を伴う、低密度露光領域を示す。 A~Fは、人工バックグラウンド露光量の例示的な実施形態を示す。 当該技術で知られている、シリコンウェハ上に集積回路などの基板を製造する際に使用するための表面またはレチクルを準備するための概念的な流れ図を示す。 これらの方法の実施形態で使用される例示的なコンピューティングハードウェアデバイスを示す。 いくつかの実施形態による、人工バックグラウンド露光量が計算されるフローを示す。
本開示は、領域に人工バックグラウンド露光量をキャストすることによって比較的低密度の露光領域中にショットまたは形状を露光するのに必要な露光量を減少させることで、荷電粒子ビームの描画時間を短縮させる方法を説明する。
荷電粒子ビームリソグラフィのコストは、レチクルまたはウェハなどの表面にパターンを露光するのに必要な時間に直接関連している。従来、露光時間は、パターンを描画するために必要な露光量に関連している。最も複雑な集積回路設計の場合、レチクルセットか基板かいずれかの上に層パターンセットを形成することは、費用と時間のかかるプロセスである。したがって、レチクル及び他の表面上で、これらのパターンを描画するために必要な時間を短縮させることなどによって、これらのパターンを形成するために必要な露光を減少させることができることは有利である。
ここでは、同様の番号が同様の物品を指す図面を参照して、図1は、表面112を製造するために可変整形ビーム(VSB)を用いる、荷電粒子ビーム描画装置システム、この場合は電子ビーム描画装置システム100などのリソグラフィシステムの一実施形態を示す。電子ビーム描画装置システム100は、電子ビーム116をアパーチャプレート118に向けて投射する電子ビーム源114を含む。プレート118は、アパーチャ120を含み、このアパーチャ120は、プレート中に形成され、電子ビーム116が通過することを可能にする。電子ビーム116がアパーチャ120を通過すると、その電子ビームは、レンズ系(図示せず)によって、電子ビーム122として、別の矩形アパーチャプレートまたはステンシルマスク124に向けられる、または偏向される。ステンシル124は、矩形及び三角形などのさまざまな単純な形状を画定する複数の開口部またはアパーチャ126をその中に形成している。ステンシル124中に形成された各アパーチャ126を使用して、シリコンウェハ、レチクル、または他の基板などの基板134の表面112内にパターンを形成することができる。電子ビーム130は、アパーチャ126の1つから出て、電磁的または静電的縮小レンズ138を通過し、これらのレンズは、アパーチャ126から出るパターンのサイズを縮小させる。一般的に利用可能な荷電粒子ビーム描画装置システムでは、縮小率は10から60の間である。縮小された電子ビーム140は、縮小レンズ138から出て、一連の偏向器142によってパターン128として表面112上に向けられる。表面112は、電子ビーム140と反応するレジスト(図示せず)でコーティングされる。電子ビーム122は、パターン128のサイズ及び形状に影響する、アパーチャ126の可変部分とオーバーラップするように向けられることができる。ビーム122及び偏向器142を向けるレンズが次のショットのために再調整される、各ショット後の一定期間中に、ブランキングプレート(図示せず)を使用して、ビーム116または整形ビーム122を偏向させ、電子ビームが表面112に到達するのを防ぐことができる。ビーム116を偏向させてステンシル124の開口していない部分に当てることにより、ステンシル124は、それ自体が偏向器として機能することができる。一般的には、ブランキングプレートは、電子ビーム116を偏向させて、それがアパーチャ120を照射するのを防ぐように位置決めされる。従来、ブランキング期間は、固定長の時間であることができる、またはそれは、例えば、次のショットの位置に対して偏向器142が再調整される必要がある程度に応じて変えることができる。VSBシステムが、キャラクタが単純なキャラクタのみであり、通常、矩形または45度の直角三角形である、部分一括露光法の特殊な(単純な)場合と考えられることができることに留意されたい。キャラクタを部分的に露光することも可能である。これは、例えば、粒子ビームの一部を遮断することによって行われることができる。本開示では、部分投影は、部分一括露光法とVSB投影との両方を意味するために使用される。
電子ビーム描画装置システム100では、基板134は、可動プラットフォームまたはステージ132上に実装される。各サブフィールドがビーム140を偏向させる偏向器142の能力内にある一連のサブフィールド中の表面112に、荷電粒子ビーム140の最大偏向能力またはフィールドサイズよりも大きいパターンを描画することができるように、ステージ132によって、基板134を再位置決めすることが可能である。一実施形態では、基板134はレチクルであることができる。この実施形態では、レチクルは、パターンで露光された後、それがリソグラフィのマスクまたはフォトマスクになるさまざまな製造工程を経る。次に、このマスクを光リソグラフィ装置に使用して、一般にサイズが縮小されたレチクルパターン128の像をシリコンウェハ上に投影して、集積回路を製造することができる。より一般的には、マスクを別のデバイスに使用して、パターン128を基板(図示せず)上に転写する。
電子ビーム描画装置システムなどの荷電粒子ビーム描画装置のショット露光量は、VSB、CP、またはマルチビーム装置のいずれであっても、ビーム源114の強度と、このVSBの例では、ショットごとの露光時間との関数である。通常、ビーム強度は固定されたままであり、露光時間は可変ショット露光量を得るために変わる。近接効果補正(PEC)と称されるプロセスでは、中距離効果補正(MEC)、ローディング効果補正(LEC)及びかぶり効果補正(FEC)などのさまざまな長距離効果、ならびに後方散乱を補償するように露光時間を変えることができる。本開示では、PECという用語は、MEC、LEC、FEC、及び後方散乱補正を説明するために使用される。電子ビーム描画装置システムは、通常、基本露光量と称される全体的な露光量を設定することを可能にし、この基本露光量は、露光パス中のすべてのショットに影響する。一部の電子ビーム描画装置システムは、電子ビーム描画装置システム自体の中で露光量補償計算を実行し、各ショットの露光量を入力ショットリストの一部として個別に割り当てることができないため、入力ショットは割り当てられていないショット露光量を有する。これらのような電子ビーム描画装置システムでは、PECの前に、すべてのショットは基本露光量を有する。他の電子ビーム描画装置システムによって、ショットごとに露光量の割り当てができる。ショットごとの露光量割り当てを可能にする電子ビーム描画装置システムでは、利用可能な露光量レベルの数は64~4096以上であってもよい、または3~8レベルなどの比較的少ない利用可能な露光量レベルであってもよい。本開示のいくつかの実施形態は、露光量レベルの割り当てを可能にする荷電粒子ビーム描画システムによる使用を対象とする。
荷電粒子ビームシステムは、複数の個別制御可能なビームまたはビームレットによって表面を露光することができる。図2は、3つの荷電粒子ビームレット210が存在する電気光学概略図を示す。各ビームレット210に関連するのは、ビームコントローラ220である。各ビームコントローラ220は、例えば、その関連するビームレット210が表面230に当たることを可能にすることができ、そのうえ、ビームレット210が表面230に当たるのを防ぐことができる。いくつかの実施形態では、ビームコントローラ220は、ビームレット210のビームのぼけ、倍率、サイズ及び/または形状も制御することができる。本開示では、複数の個別制御可能なビームレットを有する荷電粒子ビームシステムは、マルチビームシステムと称される。いくつかの実施形態では、単一ソースからの荷電粒子を細分して、複数のビームレット210を形成することができる。他の実施形態では、複数のソースを使用して、複数のビームレット210を生成することができる。いくつかの実施形態では、ビームレット210は、1つ以上のアパーチャによって整形されることができるが、他の実施形態では、ビームレットを整形するためのアパーチャがなくてもよい。各ビームコントローラ220は、その関連するビームレットの露光期間を個別に制御することができる。一般に、ビームレットは、通常、レジストでコーティングされる表面230に当たる前に、1つ以上のレンズ(図示せず)によってサイズが縮小する。いくつかの実施形態では、各ビームレットは別個の電気光学レンズを含むことができるが、他の実施形態では、おそらくすべてのビームレットを含む複数のビームレットは電気光学レンズを共有する。
図3A~3Dは、さまざまなタイプのショットを示す。図3Aは、矩形ショット310の一例を示す。VSB荷電粒子ビームシステムは、例えば、さまざまなx及びy寸法で矩形ショットを形成することができる。図3Bは、この例では円形であるCPショット320の一例を示す。CPショットとして、例えば、八角形、または文字Eなどのより複雑な形状として、任意の形状を表すことができる。本開示の目的上、ショットは、一定期間にわたる、ある表面領域の露光である。この領域は、複数の不連続な小さな領域からなってもよい。ショットは、複数の他のショットからなってもよく、これら複数の他のショットは、オーバーラップしてもしなくてもよく、同時に露光されてもされなくてもよい。ショットは指定された露光量を含んでもよい、または露光量は指定されていなくてもよい。ショットは、整形ビーム、非整形ビーム、または整形ビームと非整形ビームとの組み合わせを使用してもよい。
図3Cは、円形パターン352のアレイであるショット350の一例を示す。ショット350は、単一の円形CPキャラクタの複数のショット、円形アパーチャのアレイであるCPキャラクタの1つ以上のショット、及び円形アパーチャを使用する1つ以上のマルチビームショットを含む、さまざまな方法で形成されることができる。図3Dは、矩形パターン362及び364の疎なアレイであるショット360の一例を示す。ショット360は、矩形アパーチャを使用して、複数のVSBショット、1つのCPショット、及び1つ以上のマルチビームショットを含む、さまざまな方法で形成されることができる。マルチビームのいくつかの実施形態では、ショット360は、複数のインターリーブされた他のマルチビームショット群を含むことができる。例えば、パターン362を同時に照射することができ、次に、パターン362とは異なる時間にパターン364を同時に照射することができる。
図4は、荷電粒子ビーム露光システム400の一実施形態を示す。荷電粒子ビームシステム400は、複数の個別制御可能な整形ビームが表面を同時に露光することができる、マルチビームシステムである。マルチビームシステム400は、電子ビーム404を生成する電子ビーム源402を含む。電子ビーム404は、静電素子及び/または磁気素子を含むことができる、コンデンサ406によってアパーチャプレート408に向けられる。アパーチャプレート408は、複数のアパーチャ410を含み、これら複数のアパーチャ410を電子ビーム404が照射し、これらのアパーチャを電子ビーム404が通過し、複数の整形ビームレット436を形成する。したがって、マルチビーム荷電粒子ビームシステム400は、荷電粒子ビーム源402及びアパーチャプレート408を含み、アパーチャプレート408は、荷電粒子ビーム402のソースが照射する複数のアパーチャ410を含む。いくつかの実施形態では、マルチビーム荷電粒子ビームシステムは、単一のアパーチャプレート408を含む。いくつかの実施形態では、アパーチャプレート408は、数百または数千個のアパーチャ410を含むことができる。図4は、単一の電子ビーム源402を備えた一実施形態を示すが、他の実施形態では、アパーチャ410は、複数の電子ビーム源からの電子によって照射されることができる。図4に示されるように、アパーチャ410は円形であってもよい、または異なる形状、例えば、図1に示されるような矩形アパーチャ120のものであってもよい。次に、ビームレット436のセットは、ブランキングコントローラプレート432を照射する。ブランキングコントローラプレート432は、複数のブランキングコントローラ434を含み、それらブランキングコントローラのそれぞれは、ビームレット436とアライメントを取る。各ブランキングコントローラ434は、ビームレット436が表面424に当たることを可能にするか、ビームレット436が表面424に当たるのを防ぐかいずれかであるために、その関連するビームレット436を個別に制御することができる。ビームが表面に当たる時間の長さで、そのビームレットが与える総エネルギーまたは「露光量」を制御する。通常、マルチビーム描画装置は、露光される領域全体をスキャンする。したがって、描画時間は、形状の数、または形状の複雑さに関係なく一定である。
基板426は、アクチュエータ430を使用して再位置決めされることができる、可動プラットフォームまたはステージ428上に位置決めされる。ステージ428を動かすことにより、ビーム440は、複数の露光またはショットを使用して、ビームレット群440が形成する最大サイズのパターンの寸法よりも大きい領域を露光することができる。いくつかの実施形態では、ステージ428は、露光中、静止したままであり、その後、次の露光のために再位置決めされる。他の実施形態では、ステージ428は、連続して可変速度で移動する。さらに他の実施形態では、ステージ428は、連続しているが、一定の速度で移動することで、ステージの位置決めの精度を高めることができる。ステージ428が連続して移動するこれらの実施形態の場合、1セットの偏向器(図示せず)を使用して、ビームを動かし、ステージ428の方向及び速度にマッチングさせることができることで、ビームレット群440が露光中に表面424に関して静止していることができる。マルチビームシステムのさらに他の実施形態では、ビームレット群内の個々のビームレットは、ビームレット群内の他のビームレットから独立して、表面424にわたって偏向されることができる。
他のタイプのマルチビームシステムは、複数の荷電粒子ビーム源を使用してガウスビームレットアレイを生成することなどによって、複数の非整形ビームレット436を生成することができる。本開示は、荷電粒子ビームリソグラフィに関して説明されているが、説明されている方法は、レーザリソグラフィ、特にマルチビームレーザリソグラフィにも適用されることができる。
露光量は、ビームの電流密度を動的に変化させるのではなく、露光時間を制御することによって、すべての高精度電子ビーム(eBeam)描画装置で制御される。実際には、露光時間が電流密度よりもはるかに正確に制御されることができることを理解する。このため、高露光量の露光は、低露光量の露光と比較してビームがオンである時間を増加させることによって達成される。eBeam描画装置が可変電流密度を有することが考えられる。本開示の実施形態は、露光時間を制御することによって露光量制御が少なくとも部分的に達成される場合に適用可能である。ビームレット群内のビームレット436ごとの露光時間は、ブランキングコントローラ434を使用して個別に制御されることができる。したがって、マルチビーム描画時間は、適用される必要がある最大露光量によって決定される。ストライプなど、ビームレット群ごとにステージが停止する描画装置では、最高露光量のビームレットは、ストライプ全体についての露光時間に影響する。一定速度のステージを備えた描画装置では、速度は、レチクルサブセット、全レチクルもしくはウェハ、またはいずれかの他の表面であることができる、設計全体で一定であってもよい、または一定期間部分的に一定であってもよい。したがって、ストライプ内の最高露光量のビームレットは、ステージ速度を決定することができることで、設計全体または表面全体についての描画時間を決定することができる。可変速ステージでも、安定性を提供するためにステージが重く、加速または減速に多くのエネルギーが消費され、振動及びぼけの原因となるため、速度を急激に変化させることができない。
高度なプロセスノードでは、マスクに正確に描画される必要があるフィーチャーは、商用価値があるのに十分な速さでマスクを印刷するために使用される、一般的なレジストの前方散乱及びレジストぼけの一般的な組み合わされたぼけ半径と比較して、3倍を下回るサイズになる。一般的な最先端のマスクでは、組み合わされたぼけは20nmの範囲を上回る状態で、60nm未満の幅のサブ解像度補助フィーチャー(SRAF)をマスク上に描画する。EUVリソグラフィ用のマスクでは、一般に、約30nmの幅のSRAFがマスクによく必要になることが予想される。マスク形状を生成する光近接効果補正(OPC)またはインバースリソグラフィ技術(ILT)のステップでは、より小さな形状(SRAFなど)を正確に印刷することができる場合、ウェハ性能をさらに向上させることができる。しかし、OPC/ILTによって生成されたマスク形状が、実際に、マスクプロセスの変形形態にわたって、マスク上で確実に生成されることができることも重要である。トレードオフの関係にある、マスク上の最小許容フィーチャー幅及び最小許容フィーチャー間隔などのマスク設計ルールを確立する。OPC/ILTは、出力がマスク設計ルールに従うことを保証する必要がある。マスクメーカーは、ある一定の合意された仕様まで、製造ばらつきにわたってマスク設計ルールに正確に従いマスクを製造する必要がある。形状が狭いほど、マスク上に描画することが難しくなる。小さな正方形の形状は描画するのが最も難しい。ただし、小さな正方形の形状はウェハの性能への影響が小さいことから、通常、狭いSRAFを正確に描画する必要がある、最先端のマスク処理では重要である。
それらのような小さな形状が、同じマスク上のより大きな他の形状の存在下でマスク上に描画されることは、通常、露光量調整によって達成される。全体的なマスク描画時間はマスクコストの主要な要因の1つであることから、精度と速度/コストのトレードオフの関係にあるために、より大きな「主な」フィーチャーに対して所望の精度を達成するのに感度が十分であるレジストを選択する。ただし、SRAF及び他のより小さな形状の描画も正確に行われる必要があり、一般的な手法は、SRAFの露光量を増強することで(すなわち、増加させることで)、または部分的に増強することで、それらのSRAFをより良く印刷させる。
業界では、標準露光量が増強される量は、一般に、標準露光量の1.2倍から標準露光量の3倍まで変化することができるが、露光量は標準露光量の任意の倍数であってもよい。増強が多いと、描画時間が長くなることにつながるが、より小さな形状の印刷の精度が高くなることにつながることができる。図5A~5Bは、十分に大きな形状502及びその露光量プロファイル(すなわち、露光量曲線)512と、より小さなSRAF形状506及びその露光量プロファイル522との間の差異を示し、両方とも、標準露光量で照射され、この標準露光量は、線514によって示されるものと同じレジスト閾値を有する、形状502及び506のそれぞれのショット輪郭を通して線504及び508に沿って測定された。形状502及び506は、それらの幅よりもはるかに長い矩形形状を示すために分解され、各形状は、標準露光量を使用して、標準露光量の半分の閾値でレジスト上に照射される。レジスト閾値を下回る露光量は印刷しない。
VSB装置では、ショット輪郭は、描画装置に向けられたeBeam投影の形状である。マルチビーム装置では、ショット輪郭は、描画装置に向けられた所望の形状の形状であることで、画素へのラスタライズと、形状を描画するために使用される画素に応じたさまざまな露光量のeBeam投影とをもたらす。理解を容易にするために、本開示では、露光量プロファイルは、装置がVSBであるかのように描かれ、説明されるが、実施形態はマルチビームにも適用されることができる。マルチビーム装置では、画素へのラスタライズは露光量プロファイルをさらに複雑にし、画素グリッドに対する形状の位置に依存している。本開示の概念の理解のために、マルチビーム描画のこれらの追加の複雑さは関係がない。
十分に大きい形状の場合、露光量プロファイル512は標準露光量でプラトーに達する。このプラトーは、形状502の幅が大きくても同じ露光量である。形状506によって示される、より小さな形状の場合、露光量プロファイルのピークを標準露光量に到達させるのに十分なエネルギーがない。露光量プロファイル522の形状はプラトーを有さない。さらに、露光量プロファイル522は、形状506の幅と同じ位置ではレジスト閾値514を超えない。十分なエネルギーがないため、レジスト上で露光した形状は所望の幅よりも狭くなる。
形状502及び506の左側エッジの露光量マージンは、それぞれエッジ傾斜516及び528によって示される。傾斜528は傾斜516より浅い。形状506が狭いほど、露光量プロファイルは低くなり、傾斜528は浅くなる。形状502は露光量プロファイル512がプラトーに達するのに十分な幅になると、形状が幅広くなっても、傾斜516が変化しない。傾斜が浅いほど露光量マージンが不適切になる。すなわち、形状の幅である限界寸法(CD)は、ある特定の露光量ばらつきが与えられると、より多くのばらつきを受ける。本明細書では、露光量マージンという用語は、露光量に関連するばらつきを含むすべてのタイプの製造ばらつきに対する、荷電粒子ビームショットセットによって画定されるパターンの公差を表す。より良い露光量マージンは、より高い公差を示す。当業者であれば、露光量ばらつきに対するレジリエンスが、製造ばらつきの多くの原因に関する格好の指標であることを一般に理解する。露光量マージンによって示される製造ばらつきに対するレジリエンスを改善するために、1つの従来の方法は、図5Cの露光量プロファイル532によって示されるように、より小さな形状に対して標準よりも高い基本露光量を使用することである。レジスト閾値514を超える露光量プロファイル532の点間の距離によって示されるCDが目標形状506の所望の幅になるように、露光量が選択されるこの例では、1.0を上回る基本露光量が使用される。この業界では、露光量調整及び形状調整の多くの組み合わせが使用される。図5Cは、露光量調整のみが展開される一例である。この例では、形状506について露光量が計算されると、閾値514を超える露光量プロファイル532の傾斜538を計算することによって、露光量マージンを測定することができる。エッジ傾斜538がエッジ傾斜528よりも適切である(すなわち、より急な傾斜を有する)が、それでもエッジ傾斜516よりも不適切である場合があることに留意されたい。
また、露光量マージンは、コントラストのために小さなフィーチャーの印刷適性にとって重要である。コントラストは、露光領域に相接する内側内のレジストに加えられるエネルギー量対露光領域に相接する外側内のレジストに加えられるエネルギー量の差である。半導体マスク処理での相接は、数ナノメートルから数十ナノメートルであってよい。露光量プロファイルは関心のある長さスケールでの連続関数であるため、露光量マージン及びコントラストは互いに高度に相関される。不十分なコントラストは、形状を解像不能にする。所与のレジストで確実に解像することができる形状の最小サイズを縮小させることは、マスク作製の経済的側面性に重要である。
表面上に形状を印刷するために、eBeam描画装置が直接キャストする必要がある露光量に影響する別の要因がある。これは、近接効果補正(PEC)と称され、関心のある領域の周りのeBeam「ショット」によってキャストされた電子の後方散乱を補正する。図6A~6Bは、PEC後の図5A~5Bのショットに対応する、結果として得られた露光量を示す。図6Aでは、通常10μmのオーダーでショットからの距離内にある、形状502及びその周囲のショットによってキャストされた電子の後方散乱は、後方散乱618を表す破線によって示される。周囲領域に露光を高密度で投入する場合、後方散乱618は高くなる。周囲領域に疎に投入する場合、後方散乱618は低くなり、おそらくゼロに近くなる。PECは、一般的に実施されるように、十分に大きな形状502に適用された露光量を繰り返し最適化するので、形状502を照射するために適用される露光量は、後方散乱が寄与する追加の露光量にもかかわらず、形状502の幅を正確に印刷させる。露光(後方散乱を除く)の補正された露光量プロファイル612は、露光量プロファイル512よりも少ない露光量を有する。エッジ傾斜616は、エッジ傾斜516よりも浅い。隣接する領域から受光する後方散乱の量が多いほど、露光量マージンが不適切になる。図6Bは、SRAFなどに対して、より小さな形状に適用された同じPECを示す。通常、同じ方法でPECをすべてのサイズのすべての形状に適用することから、露光量プロファイル622(後方散乱618についての水平破線によって示される後方散乱に起因する基本露光量を含まない)は、露光量プロファイル522と比較して、大きさがさらに小さくなる。したがって、エッジ傾斜628は、エッジ傾斜528よりもさらに浅く、エッジ傾斜616よりも実質的に浅いため、露光量マージンを不適切にし、これらの形状が製造ばらつきの影響をはるかにより受けやすくなる。図6Cは、図5Cに記載されたショットのPEC後の露光量プロファイルを示し、所望の限界寸法(CD)に見合うように露光量が増加した。エッジ傾斜638は、PEC前のエッジ傾斜538よりも浅い(不適切である)が、標準露光量であるPEC後のエッジ傾斜628よりも適切である。
いくつかの実施形態では、十分な露光量マージンを提供することは、PEC後の露光量が事前設定された限界(標準露光量の0.7から1.3倍など)内になるように露光量を増加させること、及び形状のサイズが正確であることを保証するために直線性補正を実行することを含む。
後方散乱は、レジスト及びこのレジストの下の材料と衝突した後、「はね」返る電子などの荷電粒子によって引き起こされる。後方散乱の有効半径は、例えば、ショットのサイズよりもはるかに大きい10ミクロンである場合がある。したがって、ショットのその有効半径内の近くのショットからのすべての後方散乱は、ショットの位置で露光量を追加する。補正しないと、後方散乱は、ショットの意図された露光量よりも多い露光量をショットに追加することで、パターンをより広く記録させる場合がある(高い露光密度の領域内では特にそうである)。
図7A~7Gは、PECによって適用される反復シーケンスを示し、この反復シーケンスは、当該技術で知られているように、各形状を照射するために使用される露光量を補正し、後方散乱の影響を補正する。図7Aは、矩形ショット702の輪郭の一例を示す。中断は、ショット702が垂直方向に任意に長くなる可能性があることを示す。「g」と「h」との間の距離であるショット702の幅は、説明のために、この例では100nmから300nmの間であると仮定され、この幅は、一般的に使用されるマスク製造プロセスを想定しており、仮定された後方散乱範囲の約10μmよりも有意に小さい。マルチビーム装置のショットサイズは通常5~20nmである。マルチビーム装置の場合、組み合わされるショットコレクションは、ショット702などの形状を照射する。後方散乱をショットコレクションと同じ方法で単一のショットに適用し、PEC補正を同じ方法で適用する。図7Bは、後方散乱がほとんどない、標準ショット露光量でのショット輪郭702を通して線704に沿った露光量を示す露光量グラフ710の一例を示す。他の長距離効果もまた、ほぼゼロのバックグラウンド露光レベルに通じる、図7Bのバックグラウンド露光に何も寄与しないと仮定される。レジストに送達される合計露光量は、y軸に示され、標準露光量の1.0倍である。ほぼゼロのバックグラウンド露光のため、合計露光量及びショット露光量はほぼ同じである。また、露光量グラフ710は、標準露光量の半分でレジスト閾値714を示す。x方向での露光量グラフ710によって表される形状のCDばらつきは、それがレジスト閾値714と交差するx座標の「g」及び「h」で露光量曲線(すなわち、露光量プロファイル)712の傾斜に反比例する。閾値714での露光量曲線712のエッジ傾斜716は、直角三角形の斜辺として示される。
ほぼゼロのバックグラウンド露光の図7Bの条件は、実際の設計の反映ではない。実際の設計は、通常、ショット702の後方散乱距離内に多くの他のショットを有する。図7Cは、50%の露光密度に起因する後方散乱728を伴う標準露光量によるショットの露光量グラフ720の一例を示す。露光量グラフ720では、露光量曲線722は、バックグラウンド露光(後方散乱728)に加えて、ショット702の断面露光量を示す。閾値714での露光量曲線722のエッジ傾斜726は、直角三角形の斜辺として示される。曲線722のCDばらつきは、エッジ傾斜716と比較して、曲線722が点「g」及び「h」を超えてレジスト閾値714と交差する、より浅いエッジ傾斜726によって示されるように、曲線712のCDばらつきよりも大きい(不適切である)。より浅いエッジ傾斜726は、後方散乱728によって引き起こされるバックグラウンド露光のために、露光量マージンが不適切である露光量曲線の下部と交差するレジスト閾値が原因である。レジスト閾値714での露光量曲線722の交点は、点「g」及び「h」よりも広く、印刷されたCDが所望のサイズよりも大きいことを示す。後方散乱728は、レジストを「前露光」するため、後方散乱728の存在下で標準露光量によって露光量曲線722を照射することで、CDを所望のものよりも大きく印刷させる。
図7Dは、PEC後の新しい露光量曲線732を示す。中間の露光量グラフ725は、依然として50%の後方散乱728を示すが、減少した露光量曲線732を有する。この減少した露光量曲線は、現在の後方散乱とともに、露光量曲線732とレジスト閾値714との交点が正確に「g」及び「h」で測定されるように計算された。これは、後方散乱の存在に起因する過剰露光量を補正する、PECの第一反復の結果である。この反復では、すべての周囲ショットに対してPECが実行された後、新しい後方散乱がどの程度であるかを知る方法がないため、後方散乱量は後方散乱728と同じであると仮定される。PEC計算は、レジスト閾値714が「g」及び「h」で露光量プロファイル732と交差するように、ショット702に適用される露光量を減少させる。閾値714での露光量曲線732のエッジ傾斜736は、直角三角形の斜辺として示される。PECが露光量マージンを不適切にするため、傾斜736が傾斜716よりも浅いことに留意されたい。
図7Eの露光量グラフ730は、PECが複数の反復を必要とする理由を示す。図7Dに示されるように実行されたPEC計算は、後方散乱738が後方散乱728と同一である場合、そしてこの場合に限り、「g」及び「h」に正確に達する。ただし、PECがすべてのショットに対して実行されることから、このショットの周囲のすべてのショットにPECを適用したため、後方散乱738が減少し、各ショットの露光量が減少するという結果になる(このショットの露光量が曲線722から曲線732に減少したため)。新しい後方散乱を後方散乱738として図7Eに示す。後方散乱738は後方散乱728よりも低い。見てわかるように、後方散乱での減少は、元のショット702の所望のサイズよりも小さい記録されたパターンサイズをもたらす。
PECの第二反復は、PECをこの新しい(減少した)後方散乱量(後方散乱738)によって再計算することにより、これを補正する。後方散乱が低くなったここで、露光量を増加して、目標の「g」及び「h」に達し、補正されたCDによってショット702などの形状を印刷するためには、露光量プロファイル732を増強する必要がある。
図7Fの露光量グラフ740に示されるように、設計のすべての形状でのPECに関して露光量を増強した結果、露光量曲線732よりも高い露光量プロファイル742になる。その後、隣接するすべての他の形状が調整された後、後方散乱738よりも高い後方散乱748が生じる。PECの第三反復は、再度、PECをこの新しい(増加した)後方散乱量によって再計算することにより、これを補正する。これらの反復は、図7Gの露光量グラフ750での露光量レベル758に示されるように、後方散乱量の所定の公差内に収束するまで、そうでなければ続き、露光量プロファイル752は、閾値714で元のショット702の所望のサイズを正確に生成する。次に、収束した露光量を使用して、マスク上の個々の形状を照射し、PEC結果として、すべての形状について後方散乱の補正された露光量セットをもたらす。
図8A~8Bは、PECが孤立形状にどのように影響するかの一例を示す。図8Aでは、形状810及び815は、領域内の孤立パターンであり、それ以外は他の露光によって占められていない。初期露光量は、両方の形状についての標準露光量の1.0倍で計算されることができる。図8Bは、PEC後に計算された露光量が依然として標準露光量の約1.0であり、形状810及び815によって寄与される後方散乱から影響される以外の周囲の後方散乱がない結果として実質的に影響されないことを示す。
図8C~8Dは、PECが50%の露光密度領域(すなわち、領域の50%がパターンで覆われている)内の形状にどのように影響するかの一例を示す。図8Cでは、形状820、822、824、826及び828は、繰り返されたラインアンドスペースパターンで示され、そこでは露光された形状及びその間のスペースは幅が等しく、ラインアンドスペースパターンは全方向に有効半径10μmで繰り返される。形状ごとの初期露光量は、標準露光量の1.0倍で計算されることができる。図8Dは、一例として、隣接する形状からの周囲の後方散乱のために、PEC中に、繰り返されたパターン中のすべての形状について、露光量が標準露光量の0.67まで減少することを示す。
図8E~8Fは、PECが高密度領域内の形状にどのように影響するかの一例を示す。図8Eでは、形状830、832及び834が示され、形状832が関心のある形状である。形状830及び834は、幅が有効半径10μmを上回る、幅の大きな露光領域であり、パターンは有効半径10μmまで上下に広がる。形状ごとの初期露光量は、標準露光量の1.0倍で計算されることができる。図8Fは、PEC中の隣接する形状からの周囲の後方散乱のために、露光量が、例えば、形状832についての標準露光量の0.5まで減少することを示す。PECは、各露光の露光量を計算上適切な量だけ減少させて、CDを、十分に大きな形状に後方散乱がない場合のCDと等しくする。したがって、PECは後方散乱の影響を効果的に消滅させる。後方散乱は常に正の値である。したがって、PECは常に露光量を減少させて補償する。
PECは「平坦化」ガウスによって機能するため、後方散乱が大きい領域に形状を露光するために必要な露光量は大幅に少なくなる。後方散乱が大きい領域(形状が高い露光量密度に囲まれている)と後方散乱がない領域(形状が低い露光量密度に囲まれている)との間に必要な露光量での差は、2倍と同じくらい、または2倍より大きい可能性がある。PEC計算の第一反復についての標準露光量による画素またはショットの変更された露光量Dpecは次式で与えられる。
Figure 2022515123000002
0.5の標準露光量のレジスト閾値では、Tempはレジスト閾値での前方散乱の割合であり、eta(η)は正規化定数である。0.5のTemp、0.5のeta(η)、及び100%の露光密度を用いて、Dpecは計算され、0.5である。照射されるSRAFに2倍の標準露光量(2.0倍)を割り当てるが、SRAFが後方散乱の高い高密度の領域にある場合、画素またはショットは、PEC計算の第一反復後に、約1.0倍の標準露光量を用いて、0.5*(2.0)=1.0となる。
これは、その領域が後方散乱からのエネルギーによって主に露光されるためである。すなわち、レジストを露光するための閾値に達するのに十分なエネルギーをキャストするために必要とされるのは、この画素またはショットからのエネルギーのほんの一部である。
後方散乱がほぼゼロの領域は、ショットあたり最も多いeBeam露光量を必要とする。したがって、一定の描画時間のマルチビーム描画装置では、装置全体の描画時間は、通常SRAFなどの狭い形状の場合に、増強された最も高い露光量でこれらの孤立パターンを描画することによってペースが調整される。一般的なテストマスクは、高密度領域中のパターンと低密度領域中のパターンが混在している。一般的な製造のマスクは、はるかに少ないばらつきを有する。一部のマスクは、すべて高密度であるパターン(例えば、平均で70%の露光密度)を有する。他のマスクは、すべて疎であるパターン(例えば、平均で25%の露光密度)を有する。ただし、多くの製造マスクは、それらの中にいくつかのテストパターンを組み合わせるため、低いばらつきを保証することができない。いずれの場合でも、マルチビーム描画速度は、特に一定の描画時間の描画装置の場合は、キャストされる後方散乱が少ない環境中に増強された高い露光量を組み合わせることが画素によって決定される。より少ない程度であるが、それでも有意に、VSB描画装置と、おそらくマルチビーム描画装置などの可変描画時間描画装置の描画速度は、少ない後方散乱を有する環境中に増強された高い露光量を組み合わせるショットによってペースが調整される。
人工バックグラウンド露光量
いくつかの実施形態では、本来であれば後方散乱が低い領域に人工バックグラウンド露光量を導入することで、画素またはショットの露光量が減少するため、全体的な描画時間が減少する。結果としてPEC後に、画素またはショットの露光量が低くなり、マスクまたはマスクセクションについての画素またはショットの露光量の最大値は減少し、ステージの移動速度は増加することで、全体的な描画時間は有意に減少する。
図9A~Bは、いくつかの実施形態による人工バックグラウンド露光量の導入が図8C及び8Dと同様の50%の密度を模倣することができる方法を示す。図9Aは、図8Aと同様の孤立形状910及び915を示すが、追加された人工バックグラウンド露光量は、形状913の形態にある。初期露光量は、前のとおりの形状910及び915について1.0倍の標準露光量に設定され、人工バックグラウンド露光量は、この例では形状913について0.30の標準露光量である。この新しい形状913に適用される露光量が印刷する閾値を下回ることに留意することが重要である。図9Bは、図8Dと同様の、PEC後の0.67の標準露光量での露光量減少を示し、前もって孤立形状910及び915を印刷するために必要な全体的な露光量を減少させる。いくつかの実施形態では、形状913もまた、0.20の標準露光量まで減少する。この単純化された例では、形状913は、形状910及び915から離れて後方散乱半径を越えて広がると仮定される。ほとんどの場合、形状913は、形状910及び915を直近で囲む領域にのみ人工バックグラウンド露光量を追加するように、サイズが大幅に縮小する。形状910、913、及び915を囲む他の形状に対する他のそのような人工バックグラウンド露光量は、これらの形状に対して実際の後方散乱を寄与する。本発明の実施形態では、それらのようなすべてのエネルギー寄与は、形状910及び915の実際のPEC後の露光量が計算されるときに、PECを使用して計算される。いくつかの実施形態では、形状913の露光量は、PECのすべての反復によって変更されない。いくつかの実施形態では、形状913の露光量は、PECのすべての反復によって変更される。
後方散乱が十分に低く、PEC後の露光量マージンが練習で設定された、あるパラメータに従い「十分に良い」よりも十分により良い領域に、人工バックグラウンド露光量を追加することができる。図10A~10Fは、人工バックグラウンド露光量のいくつかの例を示し、追加された人工バックグラウンド露光量は、所望のパターンと組み合わせて照射される追加のパターン(ハッシュされた塗りつぶし線を有するパターン)の形態にある。人工バックグラウンド露光量は、閾値以下の露光、すなわち、印刷するために必要とされるレジスト閾値を下回る露光量である。図10Aは、表面に印刷される所望のパターン形状1000を含む領域上に人工バックグラウンド露光量形状1001をキャストして、その領域内のパターンを完全に覆うことができる方法を示す。図10Bは、パターンを覆わないように、所望のパターン形状1000がすでに存在する領域を除く、その領域中の人工バックグラウンド露光量形状1002を示す。図10Cは、ある程度のマージンを備えた、例えば、パターンのエッジから最大3シグマ離れた、所望のパターン形状1000を囲む領域中の人工バックグラウンド露光量形状1003を示す。図10Dのパターン形状1010のような十分に大きいパターンの場合、人工バックグラウンド露光量形状1011及び1012は、表面に印刷されるパターン形状1010のエッジから所定の距離を超えてのみ適用される。エッジ、ラインエンド、またはSRAFなどのフィーチャーの周囲にマージンを作成することで、場合によってはこの方法に適用される人工バックグラウンド露光量は、あらゆる位置でバックグラウンド露光を増加させるだけよりも適切である場合がある。あるいは、例えば、図10E及び図10Fのそれぞれに示されるように、人工バックグラウンド露光量形状1004及び1005がそれぞれ所望のパターン形状1000を部分的に覆いながら、人工バックグラウンド露光量を領域のあらゆる位置に追加することができる。
いくつかの実施形態では、20%から30%の範囲内などに所定の人工バックグラウンド露光量が定義され、あらゆる位置に適用される。最小目標後方散乱量、または所定の閾値、例えば30%が定義される。いくつかの実施形態では、PECが露光量を減少させるのに十分な後方散乱が自然にない場合、人工バックグラウンド露光量を追加して最小後方散乱量に達することによって周囲露光量が増加する。いくつかの実施形態では、人工バックグラウンド露光量によって寄与される追加の後方散乱は、人工バックグラウンド露光量によって寄与される追加の後方散乱を事前に計算することで、追加する人工バックグラウンド露光量で考慮されてもされなくてもよい。本明細書に記載の実施形態は例であり、人工バックグラウンド露光量を追加する他の変形形態が可能である。いくつかの実施形態では、最小後方散乱量は、人工バックグラウンド露光量から生じる追加の後方散乱を考慮する、ビルトインマージンを有する。後方散乱量が挙動では計算上線形であることから、人工バックグラウンド露光量によって追加される後方散乱量は、任意の所与の領域でのショットの露光量から独立して計算されることができる。いくつかの実施形態では、総マスク領域は、あるサイズまたは複数のサイズのパーティションに細分化され、人工バックグラウンド露光量は、パーティションごとに決定され、各パーティションは、パーティション内に1つの人工バックグラウンド露光量を有するが、異なるパーティションは、複数の異なる人工バックグラウンド露光量を有する可能性がある。通常、PECは、50nmグリッドまたは300nmグリッドなどの粗いグリッドで計算される。グリッドまたはパーティションごとに、PEC調整が計算される。一部の実装では、パーティション内のいずれかの位置についてのPEC調整は、隣接するパーティションについて計算されたPEC調整に基づいて補間される。いくつかの実施形態では、人工バックグラウンド露光量の計算は、PECに使用されるグリッドと同じグリッド上で行われる。このような実装では、各PECグリッド中に受光した後方散乱量は、指定された最小目標後方散乱量と比較され、PECグリッドが覆う領域に与える人工バックグラウンド露光量を決定する。いくつかの実施形態では、個別のパーティション内のいずれかの位置についての人工バックグラウンド露光量は、このパーティション全体で、隣接するパーティションについての人工バックグラウンド露光量などに基づいて補間される。後に続くPECステップは、当該のショット/画素の露光量を減少させ、そのショット/画素についての描画時間を短縮させる。マスクまたはマスクセクション上のすべてのショット/画素に対してこれを行うことにより、露光量が減少することで、そのマスクセクションに対する描画時間が減少する。後に続くPECステップは、人工バックグラウンド露光量の追加と、人工バックグラウンド露光量が寄与するいずれかの追加の後方散乱とを説明する。PECは、マスク上のすべてのショットのショット露光量を調整して、PECが常に自然後方散乱に対して機能してきた方法と正確に同じ方法で、すべてのCDが目標に達するように調整する。調整されたショットの露光量マージンは、人工バックグラウンド露光量の追加前よりも不適切である。ただし、後方散乱を最小にするためにユーザが調整したパラメータにより、露光量マージンは、特定のマスクプロセスに対して決定された許容可能な露光量マージン内にとどまることができる。
従来のVSB装置を用いて、あらゆる種類の露光量(ただし、少ない露光量)をキャストするには、別個のショット及びショットを分離するブランキング時間が必要である。ブランキング時間は通常、標準露光量での露光時間とほぼ同じ時間であることから、標準露光量では、ショット時間の妥当な一次近似は2時間単位を要するとみなされる場合がある。この近似では、10%の露光量のショットは、1.1時間単位を要する。マスクのコストと歩留まりの両方を最適化するには描画時間が最も重要であることから、また複雑な最先端のマスクは描画するのに要する時間が長すぎることがすでにわかっていることから、本来であればショットがなかったあらゆる位置に人工バックグラウンド露光量を追加することは商業的に実現可能ではない。それにもかかわらず、VSBマスク描画装置では通常、可変速度であるマスクステージが重いことから、マスクステージは、徐々に速度を変更することしかできないため、ピーク露光量密度を低下させることは、VSB装置についての描画時間を短縮させることに役立つことができる。
特にVSB装置の場合、本開示のいくつかの実施形態は、ブランキング時間中に、あるeBeamエネルギーを意図的に漏らし、人工バックグラウンド露光量を生成することを含む。漏れたeBeamがキャストされる正確な位置を的確に制御する必要はない。後方散乱が10μmの半径範囲内の大規模な影響であることから、位置のnmレベルの制御は重要ではない。ブランキング時間中の漏れ量と漏れ期間を正確に計算することができる。このような計算により、eBeamが進行しているパスを計算し、正確な制御を必要とする、いずれかのパターンエッジの近く(すなわち、いずれかのパターンエッジの組み合わされた前方ぼけ内)に漏れをキャストしないようにすることができる。
最先端のテクノロジーのノードについての表面描画は、通常、荷電粒子ビーム描画の複数のパスを含み、マルチパス露光と称されるプロセスによって、レチクル上の所与の形状を描画し、上書きする。通常、2~4つのパスを使用してレチクルを描画し、荷電粒子ビーム描画装置での精度エラーを平均することで、より正確なフォトマスクの作製ができる。また通常、露光量を含むショットのリストは、すべてのパスに対して同じである。マルチパス露光の1つのばらつきでは、ショットのリストは露光パス間で異なる場合があるが、いずれかの露光パス中のショットの組み合わせは同じ領域を覆う。マルチパス描画は、表面をコーティングするレジストの過熱を減少させることができる。また、マルチパス描画は、荷電粒子ビーム描画装置のランダムエラーを平均する。異なる露光パスに対して異なるショットリストを使用するマルチパス描画もまた、描画プロセス中に、ある特定のシステムエラーの影響を減少させることができる。いくつかの実施形態では、VSB及びマルチパス描画において、描画パスの1つまたはいくつかのみが、人工バックグラウンド露光量をキャストする。換言すれば、いくつかの実施形態では、元の露光情報セットは、複数の露光パスについての情報を含み、人工バックグラウンド露光量は、露光パス中でのみ追加される。人工バックグラウンド露光量にとって精度は重要でないことから、これは十分であり、すべてのパスに影響しないことで、描画時間を節約する。その他のパスは、図10Aに示される実施形態でのように、人工バックグラウンド露光量に必要な追加の描画時間なしで、画素の減少したピーク露光量、または領域の減少したピーク露光量密度を有する。
いくつかの実施形態では、比較的孤立したパターンは、増加した後方散乱をもたらす人工バックグラウンド露光量によって意図的に囲まれる。後方散乱についての補正であるPECは、画素またはショットの露光量を減少させることによって達成され、露光密度が低い場合は減少が少なくなり、露光密度が高い場合は減少が多くなる。ただし、露光量を減少させることで露光量マージンが不適切になる。
許容可能な露光量マージンは、露光量での減少によって引き起こされるサイズばらつきの量によって決定されることができる。一実施形態では、標準露光量で露光される孤立パターンは、人工バックグラウンド露光量を追加することなく、許容可能なレベルまで、大きくなったパターン及び減少した露光量を使用して照射されることができる。
例えば、荷電粒子ビームリソグラフィを使用して表面に繰り返されたパターンを露光する際に、最終的に製造された表面上で測定される各パターン例のサイズは、製造ばらつきによりわずかに異なる。サイズばらつきの量は、重要な製造最適化基準である。特に最小サイズのフィーチャーについてのばらつきが大きすぎると、その形状がまったく印刷されなくなり、結果として得られる回路が正常に動作しなくなる可能性がある。ラインエッジラフネス、及びコーナーの丸みなどの製造ばらつきもまた、表面上の実際のパターンに存在する。さらに、サイズばらつきが大きいほど回路性能でのばらつきが大きくなることで、必要な設計マージンが大きくなることにつながり、より高速でより低電力の集積回路を設計することがますます困難になる。このばらつきは、限界寸法(CD)ばらつきと称される。低いCDばらつき、特にマスク全体のすべての形状にわたって均一に低いCDばらつきが望ましく、製造ばらつきが最終的に製造された表面上に比較的小さなサイズばらつきを生じることを示す。より小さな規模では、高いCDばらつきの影響は、ラインエッジラフネス(LER)として観察される場合がある。ラインエッジの各部分がわずかに異なって製造されることで、LERを引き起こし、直線エッジを有することが意図されるラインにいくらかのうねりを引き起こす。CDばらつきは、エッジ傾斜と称される、レジスト閾値での露光量曲線の傾斜に反比例する。したがって、露光量マージンであるエッジ傾斜及びその反比例は、表面の粒子ビーム描画に重要な最適化要因である。本開示では、エッジ傾斜及び露光量マージンは、交換可能に使用される用語である。
いくつかの実施形態では、方法は、目標レベルに達するエッジ傾斜(すなわち、「十分に良い」エッジ傾斜)の使用を通じて、適切な量の人工バックグラウンド露光量を示唆することを含む。製造ばらつきに対するレジリエンスが統計的な概念であることから、「十分に良い」エッジ傾斜の意味は、厳密な不等式の正確な表現ではない。本発明の方法のいくつかの実施形態では、エッジ傾斜が「十分に良い」レベルを上回る、人工バックグラウンド露光量を決定する。例えば、特定のマスク製造プロセスを調整して、75%の露光密度領域に描画される100nmx2μmのラインに対して信頼できる製造結果を生じ、より多くの後方散乱量を生成することで、PEC後のショット/画素の露光量を最小にするため、エッジ傾斜を比較的浅くすることができる。いくつかの実施形態では、PEC後のそのラインのエッジ傾斜は、「十分に良い」として示される。エッジ傾斜が不適切である、75%の密度領域に描画される40nmx200nmのスペースなどの他の形状があり、マスク製造プロセスは、エッジ傾斜が「十分に良い」ではないが、経済性、時間、及び製造信頼性の全体的なトレードオフでは、依然として製造可能であると決定することができる。本発明の実施形態について「十分に良い」エッジ傾斜の機能は、「十分に良い」よりもさらに良いことが、製造ばらつきに対するレジリエンスの増分利益と比較して、その露光量をキャストするのに時間がかかりすぎることを示すことである。従来の教示がエッジ傾斜を最大にすることであることから、エッジ傾斜を最適レベル未満、すなわち目標レベルより下に低下させることは、直感に反する。
いくつかの実施形態では、人工バックグラウンド露光量は自動的に計算される。一実施形態では、人工バックグラウンド露光量を計算して、許容可能なレベルのコントラスト(コントラストでの低下)を達成することができる。別の実施形態では、人工バックグラウンド露光量を計算して、目標最小値を上回るエッジ傾斜を有する露光量マージンを達成することができる。最小の許容可能なエッジ傾斜は、所定の後方散乱領域内の所定のパターンの所定のエッジ位置でのエッジ傾斜を計算することによって決定されることができる。
いくつかの実施形態では、人工バックグラウンド露光量をPEC前に追加して、PEC中に露光量を減少させ、減少した露光量を有するエッジ傾斜が目標レベルを下回ることができることにより、描画時間を短縮させる。いくつかの実施形態では、製造プロセスで製造目的に使用されるのに十分に良く機能していることが知られているフィーチャーのエッジ傾斜をシミュレートすることによって、目標または「十分に良い」レベルを計算することができる。例えば、最先端のフォトマスク製造のために、PEC前に標準露光量で露光した場合、100nm幅のスペースで分離された100nm幅の配線の繰り返しパターンが安定するように作製される。100nmのラインアンドスペースパターンという状況では100nm幅のラインについてのエッジ傾斜のシミュレーションは、「十分に良い」露光量マージンとみなされることができる。人工バックグラウンド露光量を計算するために、100nmのラインアンドスペースパターンは、周囲の領域内で50%の露光密度を有する。50%の露光密度に起因する自然後方散乱を、最小後方散乱量として計算する。領域内にすでに存在する自然後方散乱と人工バックグラウンド露光量の合計は、マスク全体で均一である最小後方散乱まで全体的なバックグラウンド露光に寄与するように均等に分散される。50%の露光密度は、PEC後の最も不適切な許容可能な露光量マージンを生成しない場合があるが、人工バックグラウンド露光量が計算される最小の許容可能な露光量を計算する目的で達成する良い実際的な目標として説明されることができる。
図11は、当該技術で知られているように、荷電粒子ビームリソグラフィを使用して、レチクルなどの表面または他の表面を準備するための概念的な流れ図1100である。第一ステップ1102では、集積回路の物理設計などの物理設計が作成される。これは、集積回路の物理設計などの物理設計に見いだされるために必要とされる、論理ゲート、トランジスタ、金属層、及び他の物品を決定することを含むことができる。次に、ステップ1104では、光近接効果補正(OPC)は、ステップ1102の物理設計に対して、またはマスク設計1106を作成するためにウェハ上で望まれる物理設計の一部に対して決定される。OPCは、製造ばらつき全体で所望のウェハ形状を最適に製造するために必要とされるマスク形状を計算する。OPCは、物理設計を変更して、光回折、及びフィーチャーと近接したフィーチャーとの光学的相互作用などの影響によって引き起こされる歪みを補償することで、マスク設計1106を作成する。ステップ1108では、マスクデータ準備(MDP)操作は、フラクチャリング操作、ショット配置操作、露光量割り当て操作、またはショットシーケンス最適化を含むことができる。マルチビームマスク描画用であるいくつかの実施形態では、MDPステップ1108は、複数のマルチビームショットを生成することを含むことができ、各マルチビームショットは1つ以上のビームレットを含み、露光量領域は決定され、露光量は各露光量領域内でビームレットに割り当てられる。いくつかの実施形態では、ビームレットの露光量を露光量領域内で変えることができる。
ステップ1118では、近接効果補正(PEC)洗練を実行し、後方散乱効果、かぶり効果、及びローディング効果を考慮して露光量が調整され、ステップ1120では、調整された露光量に関する露光情報を作成する。ステップ1120の露光情報中の調整された露光量は、電子ビーム描画装置システムなどの荷電粒子ビーム描画装置を使用する、マスク描画ステップ1122で表面を生成するために使用される。使用される荷電粒子ビーム描画装置のタイプに応じて、PEC改良1118は、荷電粒子ビーム描画装置によって実行されることができる。マスク描画ステップ1122は、単一の露光パス、または複数の露光パスを含むことができる。電子ビーム描画装置システムは、電子ビームをステンシルまたはアパーチャプレートを通して表面上に投射して、表面上のパターンを含むマスク像1124を形成する。次に、レチクルなどの完成した表面を、ステップ1126に示される光リソグラフィ装置に使用することができる。
図13は、いくつかの実施形態による、人工バックグラウンド露光量が計算されて適用される、表面上の領域内にパターンを露光する方法を表すフロー1300を示す。ステップ1305では、パターンについての元の露光情報セットを入力する。いくつかの実施形態では、元の露光情報セットは、画素及びそれらの露光量のコレクションである。別の実施形態では、元の露光情報セットは、曲線または完全に非多角形の記述(円形またはスプラインなど)を含む可能性のある形状セットである。さらに別の実施形態では、元の露光情報セットは、PEC前の露光量が割り当てられる、もしくは黙示されるショットセット(例えば、VSB)、またはPEC前の露光量が割り当てられる、もしくは黙示される形状セット(例えば、マルチビーム描画)である。一般に、ステップ1305の入力は、形状または露光量を説明する他のものである。
ステップ1310では、元の露光情報セットに基づいて、後方散乱を計算する。一実施形態では、ステップ1310における後方散乱の計算は、後方散乱の初期マップを作成するPECについての初期決定である。PECは、後方散乱の存在下で所望のサイズを印刷するように露光量を調整する反復プロセスである。初期PEC決定からの後方散乱の初期マップは、より高い露光量密度領域、及びより低い露光量密度領域の良い相対的指標である。いくつかの実施形態では、ステップ1312において、計算された後方散乱が所定の閾値を下回る領域を識別する。
ステップ1314で行われた計算は、人工バックグラウンド露光量を決定し、人工バックグラウンド露光量は、追加の露光情報を含む。いくつかの実施形態では、計算された後方散乱がステップ1312で決定された所定の閾値を下回る領域で、ステップ1314を実行する。いくつかの実施形態では、ステップ1314は、計算された後方散乱が所定の閾値を下回る場合にのみ人工バックグラウンド露光量を追加する。すなわち、人工バックグラウンド露光量は、所定の閾値と計算された後方散乱との間の差である。いくつかの実施形態では、ステップ1314において、領域内の所望のパターンに対して「十分に良い」エッジ傾斜またはコントラストを維持するために、領域内の所望のパターンに対して露光量マージンまたはエッジ傾斜を計算し、人工バックグラウンド露光量を決定する。いくつかの実施形態では、ステップ1314は、人工バックグラウンド露光量を追加して、ある特定の基準に達する、例えば「十分に良い」エッジ傾斜に対して最小対象サイズなどに達する、領域内のパターンに対して「十分に良い」エッジ傾斜を維持する。例えば、SRAFについてより小さいサイズの形状は、「十分に良い」エッジ傾斜から除外される場合がある。別の例として、SRAFについてより小さいサイズの形状は、追加される人工バックグラウンド露光量を決定する際に達成する、異なる「十分に良い」エッジ傾斜を有することができる。いくつかの実施形態では、これらの計算の結果、追加のパターンが印刷されないように、閾値以下の露光を伴う追加のパターンを含む人工バックグラウンド露光量の作成になる可能性がある。いくつかの実施形態では、図11のフロー1100のMDPステップ1108の後に、追加のパターンの計算及び作成が起こり、図11のフロー1100のマスク設計1106では、追加のパターンが所望のパターンと幾何学的形状でオーバーラップする。図11のフロー1100の近接効果補正(PEC)洗練ステップ1118によって、追加の露光情報(すなわち、追加のパターン)と組み合わされた元の露光情報セットを含むステップ1316の変更された露光情報セットを読み出すことができ、ステップ1120では、人工バックグラウンド露光量を含み、人工バックグラウンド露光量から生じる後方散乱も含むことができる露光情報を生成する。いくつかの実施形態では、変更された露光情報セットは、元の露光情報セットと比較して、全体的な描画時間を短縮させる。いくつかの実施形態では、変更された露光情報セットをPECが洗練した結果、変更された露光情報セットの調整された露光量になる。次に図11の1124では、露光情報を使用して表面を露光する。いくつかの実施形態では、人工バックグラウンド露光量を追加することで画素またはショットの露光量を変更する。いくつかの実施形態では、画素またはショットは、本来であれば空の領域(ゼロ露光量で始まる画素)に人工バックグラウンド露光量をキャストし、露光量は、例えば3×3の画素、または隣接する画素数より多い画素に比較的類似している。いくつかの実施形態では、図11のステップ1118及び1120を後に伴う図13のステップ1310、1312、1314及び1316のいくつか、またはすべては、図11のフロー1100のマスク描画ステップ1122と同時に実行され、マスクが露光されている間にデータ操作を実行するインライン処理を伴う。すなわち、いくつかの実施形態では、マスク露光は、後方散乱を計算すること、計算された後方散乱が所定の閾値を下回る領域を決定すること、人工バックグラウンド露光量を決定すること、及び変更された露光情報セットを作成することからなる群から選択される1つ以上のステップによってインラインで実行される。
本開示に記載される、マスクデータの準備、人工バックグラウンド露光量の計算、及び近接効果補正は、計算デバイスとして適切なコンピュータソフトウェアを備えた中央処理装置(CPU)を用いる汎用コンピュータを使用して実施されることができる。また、大量の計算が必要になるため、複数のコンピュータまたはCPUのプロセッサコアを並列して使用することができる。一実施形態では、計算は、並列処理をサポートするために、フロー内の1つ以上の計算集約型ステップについて、複数の2次元幾何学的形状領域に細分化されることができる。別の実施形態では、単独か複数かいずれかで使用される専用ハードウェアデバイスを使用して、汎用コンピュータまたはプロセッサコアを使用するよりも高速で1つ以上のステップの計算を実行することができる。特殊なコンピューティングハードウェアデバイスまたはプロセッサは、例えば、フィールドプログラマブルゲートアレイ(FPGA)、特定用途向け集積回路(ASIC)、またはデジタルシグナルプロセッサ(DSP)チップを含むことができる。一実施形態では、専用ハードウェアデバイスは、グラフィックスプロセッシングユニット(GPU)であることができる。別の実施形態では、本開示に記載される最適化及び計算プロセスは、荷電粒子ビーム描画時間の合計、または他のパラメータのいくつかを最小にするために、可能な解決策を修正して再計算する反復プロセスを含むことができる。さらに別の実施形態では、プロセスは、反復を伴わない決定論的計算であることができる。
図12は、本開示に説明される計算を実行するために使用されることができるコンピューティングハードウェアデバイス1200の一例を示す。コンピューティングハードウェアデバイス1200は、メインメモリ1204が接続された中央処理装置(CPU)1202を含む。CPUは、例えば、8つの処理コアを含むことができることで、マルチスレッド化されているコンピュータソフトウェアのあらゆる部分のパフォーマンスを向上させることができる。メインメモリ1204のサイズは、例えば、64Gバイトであることができる。CPU1202は、ペリフェラルコンポーネントインターコネクトエクスプレス(PCIe)バス1220に接続されている。グラフィックスプロセッシングユニット(GPU)1214もまたPCIeバスに接続されている。コンピューティングハードウェアデバイス1200では、GPU1214は、ビデオモニタなどのグラフィックス出力デバイスに接続されてもされなくてもよい。GPU1214は、グラフィックス出力デバイスに接続されていない場合、純粋に高速並列計算エンジンとして使用されることができる。コンピューティングソフトウェアは、すべての計算にCPU1202を使用することと比較して、計算の一部にGPUを使用することにより、大幅に高いパフォーマンスを得ることができる。CPU1202は、PCIeバス1220を介してGPU1214と通信する。他の実施形態(図示せず)では、GPU1214は、PCIeバス1220に接続されるのではなく、CPU1202と統合されることができる。ディスクコントローラ1208もまた、例えばディスクコントローラ1208に接続される2つのディスク1210を用いて、PCIeバスに接続されることができる。最後に、ローカルエリアネットワーク(LAN)コントローラ1212もまたPCIeバスに接続され、他のコンピュータへのGigabit Ethernet(GbE)接続を提供することができる。いくつかの実施形態では、コンピュータソフトウェア及び/または設計データをディスク1210に格納する。他の実施形態では、ギガビットイーサネット(GbE Ethernet)を介して他のコンピュータまたはファイルサービングハードウェアから、コンピュータプログラムか設計データかいずれかに、またはコンピュータプログラム及び設計データの両方にアクセスすることができる。
本明細書は、特定の実施形態に関して詳細に記載したが、当業者であれば、前述の理解を得ると、これらの実施形態に対する変更形態、変形形態、及び均等物を容易に着想し得ることが理解されるものとする。本発明のマスクデータ準備方法及び近接効果補正方法に対する、これらの修正形態及び変形形態、ならびに他の修正形態及び変形形態は、添付の特許請求の範囲により具体的に記載される発明の主題の範囲から逸脱することなく当業者によって実施され得る。さらに、当業者は、前述の記載が例示のみを目的とし、制限することが意図されないことを理解するであろう。ステップは、本発明の範囲から逸脱することなく、本明細書のステップに追加される、これらのステップから取り出される、またはこれらのステップから変更されることができる。一般に、提示されているあらゆるフローチャートは、機能を実現するための基本的な操作の1つの可能なシーケンスを示すことのみが意図されており、多くの変形形態が可能である。したがって、本発明の主題が添付の特許請求の範囲及びそれらの均等物の範囲内に入るような修正形態及び変形形態などを包含することが意図される。

Claims (18)

  1. 荷電粒子ビームリソグラフィを使用して表面上の領域内にパターンを露光する方法であって、
    前記領域についての元の露光情報セットを入力することと、
    前記元の露光情報セットに基づいて、前記領域についての後方散乱を計算することと、
    前記領域についての人工バックグラウンド露光量を決定することであって、前記人工バックグラウンド露光量は追加の露光情報を含み、前記追加の露光情報は前記元の露光情報セットと組み合わされて、変更された露光情報セットを作成する、前記決定することと、
    を含む、前記方法。
  2. 前記変更された露光情報セットは、前記元の露光情報セットと比較して全体的な描画時間を短縮させる、請求項1に記載の方法。
  3. 前記変更された露光情報セットは、PECによって洗練されることで、前記変更された露光情報セットの調整された露光量になる、請求項1に記載の方法。
  4. 前記人工バックグラウンド露光量は、閾値以下の露光を伴う追加のパターンを含む、請求項1に記載の方法。
  5. 前記表面上の前記パターンのエッジから所定の距離を上回る、前記人工バックグラウンド露光量のみが適用される、請求項1に記載の方法。
  6. 前記元の露光情報セットは、複数の露光パスについての情報を含み、前記人工バックグラウンド露光量のみが露光パスに追加される、請求項1に記載の方法。
  7. 前記計算された後方散乱が所定の閾値を下回る前記領域では、前記人工バックグラウンド露光量は、前記所定の閾値と、前記計算された後方散乱との間の差である、請求項1に記載の方法。
  8. 前記領域は、複数のパーティションに細分化され、前記人工バックグラウンド露光量は、前記パーティションごとに決定される、請求項1に記載の方法。
  9. 個別のパーティション内のいずれかの位置についての人工バックグラウンド露光量は、前記パーティション全体で補間される、請求項8に記載の方法。
  10. 前記補間は、隣接するパーティションについての前記人工バックグラウンド露光量に基づく、請求項9に記載の方法。
  11. 露光される前記パターンについてのエッジ傾斜を計算することをさらに含む、請求項1に記載の方法。
  12. 目標最小値を上回る、露光される前記パターンのエッジ傾斜を達成する、前記人工バックグラウンド露光量が決定され、
    前記目標最小値は、所定の後方散乱領域内の所定のパターンの所定のエッジ位置での前記エッジ傾斜を計算することによって決定される、請求項11に記載の方法。
  13. マスク露光は、前記後方散乱を計算することと、前記計算された後方散乱が所定の閾値を下回る前記領域を決定することと、前記人工バックグラウンド露光量を決定することと、前記変更された露光情報セットを作成することとからなる群から選択される1つ以上のステップによってインラインで実行される、請求項1に記載の方法。
  14. 前記変更された露光情報セットによって前記表面を露光することをさらに含む、請求項1に記載の方法。
  15. 荷電粒子ビームリソグラフィを使用して表面上の領域内にパターンを露光するシステムであって、
    前記領域についての元の露光情報セットを入力するように構成されるデバイスと、
    前記露光情報に基づいて、前記パターンの前記領域についての後方散乱を計算するように構成されるデバイスと、
    前記領域についての人工バックグラウンド露光量を決定するように構成されるデバイスあって、前記人工バックグラウンド露光量は追加の露光情報を含み、前記追加の露光情報は前記元の露光情報セットと組み合わされて、変更された露光情報セットを作成する、前記デバイスと、
    を含む、前記システム。
  16. 前記変更された露光情報セットは、前記元の露光情報セットと比較して全体的な描画時間を短縮させる、請求項15に記載のシステム。
  17. 前記変更された露光情報セットは、PECによって洗練されることで、前記変更された露光情報セットの調整された露光量になる、請求項15に記載のシステム。
  18. 前記人工バックグラウンド露光量は、閾値以下の露光を伴う追加のパターンを含む、請求項15に記載のシステム。
JP2021535205A 2018-12-22 2019-12-17 荷電粒子ビームの描画時間を短縮させる方法及びシステム Pending JP2022515123A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/231,447 US10884395B2 (en) 2018-12-22 2018-12-22 Method and system of reducing charged particle beam write time
US16/231,447 2018-12-22
PCT/IB2019/060968 WO2020128869A1 (en) 2018-12-22 2019-12-17 Method and system of reducing charged particle beam write time

Publications (1)

Publication Number Publication Date
JP2022515123A true JP2022515123A (ja) 2022-02-17

Family

ID=71098562

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021535205A Pending JP2022515123A (ja) 2018-12-22 2019-12-17 荷電粒子ビームの描画時間を短縮させる方法及びシステム

Country Status (5)

Country Link
US (2) US10884395B2 (ja)
JP (1) JP2022515123A (ja)
KR (1) KR20210096166A (ja)
AT (1) AT524377B1 (ja)
WO (1) WO2020128869A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11182929B2 (en) 2019-02-25 2021-11-23 Center For Deep Learning In Electronics Manufacturing, Inc. Methods and systems for compressing shape data for electronic designs
US11263496B2 (en) 2019-02-25 2022-03-01 D2S, Inc. Methods and systems to classify features in electronic designs
CN117234030B (zh) * 2023-11-14 2024-01-30 合肥晶合集成电路股份有限公司 光刻图形校准方法、装置、介质及产品

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4463265A (en) 1982-06-17 1984-07-31 Hewlett-Packard Company Electron beam proximity effect correction by reverse field pattern exposure
JP3192157B2 (ja) 1990-09-17 2001-07-23 株式会社東芝 電子ビーム描画方法及び描画装置
KR950027933A (ko) 1994-03-21 1995-10-18 김주용 위상반전 마스크
US5510214A (en) 1994-10-05 1996-04-23 United Microelectronics Corporation Double destruction phase shift mask
JP3334441B2 (ja) 1995-08-01 2002-10-15 ソニー株式会社 フォトマスク描画用パターンデータ補正方法と補正装置
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JP2000292907A (ja) 1999-04-02 2000-10-20 Nikon Corp 荷電粒子線露光装置及びレチクル
US6720565B2 (en) * 1999-06-30 2004-04-13 Applied Materials, Inc. Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography
JP4156186B2 (ja) 2000-08-29 2008-09-24 株式会社日立製作所 電子ビーム描画装置および描画方法
JP2003347192A (ja) 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
US6872507B2 (en) 2002-11-01 2005-03-29 Taiwan Semiconductor Manufacturing Company Radiation correction method for electron beam lithography
US7160475B2 (en) 2002-11-21 2007-01-09 Fei Company Fabrication of three dimensional structures
US20060183025A1 (en) * 2005-02-14 2006-08-17 Micron Technology, Inc. Methods of forming mask patterns, methods of correcting feature dimension variation, microlithography methods, recording medium and electron beam exposure system
US7824828B2 (en) * 2007-02-22 2010-11-02 Cadence Design Systems, Inc. Method and system for improvement of dose correction for particle beam writers
US8003311B2 (en) * 2008-01-11 2011-08-23 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing multiple exposure dummy patterning technology
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US20120219886A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US8221939B2 (en) 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
JP6189933B2 (ja) 2012-04-18 2017-08-30 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
EP2869119A1 (en) 2013-10-30 2015-05-06 Aselta Nanographics Free form fracturing method for electronic or optical lithography using resist threshold control
US9653263B2 (en) * 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
US10460071B2 (en) * 2015-11-04 2019-10-29 D2S, Inc. Shaped beam lithography including temperature effects
JP6603108B2 (ja) * 2015-11-18 2019-11-06 株式会社ニューフレアテクノロジー 荷電粒子ビームの照射量補正用パラメータの取得方法、荷電粒子ビーム描画方法、及び荷電粒子ビーム描画装置
JP6617066B2 (ja) * 2016-03-25 2019-12-04 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
US11054748B2 (en) * 2018-09-21 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy insertion for improving throughput of electron beam lithography

Also Published As

Publication number Publication date
US20210116884A1 (en) 2021-04-22
US11592802B2 (en) 2023-02-28
WO2020128869A1 (en) 2020-06-25
AT524377A5 (de) 2022-04-15
AT524377B1 (de) 2022-07-15
KR20210096166A (ko) 2021-08-04
US10884395B2 (en) 2021-01-05
TW202037999A (zh) 2020-10-16
US20200201286A1 (en) 2020-06-25

Similar Documents

Publication Publication Date Title
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
JP6189933B2 (ja) 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
JP6234998B2 (ja) 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
TWI661265B (zh) 使用多重射束帶電粒子束微影術於表面上形成圖案之方法
US20140359542A1 (en) Method and system for dimensional uniformity using charged particle beam lithography
JP7393855B2 (ja) 表面上に書込む形状をバイアスするための方法およびシステム
JP7474787B2 (ja) 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム
KR102005083B1 (ko) 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
US11592802B2 (en) Method and system of reducing charged particle beam write time
TWI595325B (zh) 用於使用帶電粒子束微影術之尺寸均勻性的方法及系統
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20230386784A1 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US11756765B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
TWI840559B (zh) 用於判定局部圖案密度之帶電粒子束曝光量之方法及系統
US11886166B2 (en) Method and system of reducing charged particle beam write time

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210623

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221129

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231107

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240416

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240627