JP2021500741A - 半導体デバイス、半導体装置および半導体デバイス形成方法 - Google Patents

半導体デバイス、半導体装置および半導体デバイス形成方法 Download PDF

Info

Publication number
JP2021500741A
JP2021500741A JP2020521345A JP2020521345A JP2021500741A JP 2021500741 A JP2021500741 A JP 2021500741A JP 2020521345 A JP2020521345 A JP 2020521345A JP 2020521345 A JP2020521345 A JP 2020521345A JP 2021500741 A JP2021500741 A JP 2021500741A
Authority
JP
Japan
Prior art keywords
region
clad layer
low current
current device
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020521345A
Other languages
English (en)
Other versions
JP7304115B2 (ja
Inventor
レオバンドゥン、エフェンディ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2021500741A publication Critical patent/JP2021500741A/ja
Application granted granted Critical
Publication of JP7304115B2 publication Critical patent/JP7304115B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/06Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons
    • G06N3/063Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Theoretical Computer Science (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Artificial Intelligence (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • General Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Data Mining & Analysis (AREA)
  • Computational Linguistics (AREA)
  • Neurology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】半導体デバイス、半導体装置および半導体デバイス形成方法を提供する。【解決手段】半導体デバイスが、トランジスタ構造内に形成されたソース領域とドレイン領域とを含む。ソース領域とドレイン領域との間にチャネル領域が配置される。チャネル領域上にクラッド層が形成され、クラッド層は半導体材料を含む。クラッド層上にゲート構造のゲート誘電体が形成される。【選択図】図11

Description

本発明は、一般には電界効果トランジスタ(FET)に関し、より詳細には、認知デバイス回路のための高抵抗読み出しを備えたFETに関する。
認知デバイス回路は、ニューラル・ネットワークまたはその他の機械学習デバイス構造を含むことがある。典型的なトランジスタ動作は、信号遅延を低減するためにより高電流が好ましい。しかし、より高電流のトランジスタ・デバイスは、正常動作のためにはより低い電流仕様を必要とする場合がある多くの認知デバイス回路には適さないことがある。
したがって、当技術分野では上記の問題に対処する技術が必要である。
第1の観点から見ると、本発明は、半導体デバイスであって、トランジスタ構造内に形成されたソース領域およびドレイン領域と、上記ソース領域と上記ドレイン領域との間に配置されたチャネル領域と、上記チャネル領域上に形成され、半導体材料を含むクラッド層と、上記クラッド層上に形成されたゲート構造のゲート誘電体とを含む半導体デバイスを提供する。
他の観点から見ると、本発明は、半導体装置であって、基板と、上記基板に形成され、第1の線と上記第1の線と交差するように形成された第2の線とを含むクロスバー格子と、上記クロスバー格子の第1の線と第2の線との交差点に形成されたトランジスタであって、通常のトランジスタよりも低いチャネル電流を有する低電流トランジスタを含む上記トランジスタとを含み、上記低電流トランジスタは本発明の半導体デバイスを含む、半導体装置を提供する。
他の観点から見ると、本発明は、半導体デバイスを形成する方法であって、低電流デバイス領域内のトランジスタ構造上にドープ・クラッド層を形成することと、上記低電流デバイス領域内の上記クラッド層上と通常デバイス領域内のトランジスタ構造上とにゲート構造を形成することと、上記低電流デバイス領域内の低電流デバイスのための凹部を形成するために、上記ゲート誘電体の下の上記クラッド層を横方向に陥凹させるように上記クラッド層を選択的にエッチングすることと、上記低電流デバイスにおいて上記凹部を満たすスペーサを形成することと、上記低電流デバイス領域に上記低電流デバイスを形成するためと上記通常デバイス領域に通常デバイスを形成するために、上記ゲート構造の両側にソース領域とドレイン領域とを形成することとを含む方法を提供する。
他の観点から見ると、本発明は、半導体デバイスを形成する方法であって、基板上にハード・マスク層を形成することと、上記基板内に上記ハード・マスク層とトランジスタ構造とをパターン形成することと、低電流デバイス領域内の上記トランジスタ構造上から上記ハード・マスクを除去することと、上記低電流デバイス領域内の上記トランジスタ構造上にドープ・クラッド層を成長させることと、通常デバイス領域から上記ハード・マスクを除去することと、上記低電流デバイス領域内の上記クラッド層と上記通常デバイス領域内の上記トランジスタ構造上にゲート構造を形成することと、上記低電流デバイス領域内の低電流デバイスのための凹部を形成するために、上記ゲート誘電体の下の上記クラッド層を横方向に陥凹させるように上記クラッド層を選択的にエッチングすることと、上記低電流デバイス内の上記凹部を満たすスペーサを形成することと、上記低電流デバイス領域に上記低電流デバイスを形成するためと上記通常デバイス領域に通常デバイスを形成するために、上記ゲート構造の両側にソース領域とドレイン領域とを形成することとを含む方法を提供する。
他の観点から見ると、本発明は、半導体装置であって、基板と、上記基板上に形成され、第1の線と上記第1の線と交差するように形成された第2の線とを含むクロスバー格子と、上記クロスバー格子の第1の線と第2の線との交差点に形成されたトランジスタであって、通常のトランジスタよりも低いチャネル電流を有する低電流トランジスタを含む上記トランジスタとを含み、上記低電流トランジスタは上記基板上に配置されたソース領域とドレイン領域と、上記ソース領域と上記ドレイン領域との間に配置されたチャネル領域と、前記チャネル領域上に形成されたクラッド層と、上記クラッド層上に形成されたゲート構造のゲート誘電体とを含む、半導体装置を提供する。
本発明の一実施形態によると、半導体デバイスが、トランジスタ構造内に形成されたソース領域とドレイン領域とを含む。上記ソース領域と上記ドレイン領域との間にチャネル領域が配置されている。上記チャネル領域上にクラッド層が形成され、クラッド層は半導体材料を含む。上記クラッド層上にゲート構造のゲート誘電体が形成されている。
別の実施形態による別の半導体デバイスは、基板と、上記基板上に形成され、第1の線と上記第1の線と交差するように形成された第2の線とを含むクロスバー格子と、上記クロスバー格子の第1の線と第2の線との交差点に形成されたトランジスタとを含む。トランジスタは、通常のトランジスタよりも低いチャネル電流を有する低電流トランジスタを含む。低電流トランジスタは上記基板上に配置されたソース領域とドレイン領域と、上記ソース領域と上記ドレイン領域との間に配置されたチャネル領域と、前記チャネル領域上に形成されたクラッド層と、上記クラッド層上に形成されたゲート構造のゲート誘電体とを含む。
別の実施形態による半導体デバイスを形成する方法は、低電流デバイス領域内のトランジスタ構造上にドープ・クラッド層を形成することと、上記低電流デバイス領域内の上記クラッド層上と通常デバイス領域内のトランジスタ構造上とにゲート構造を形成することと、上記低電流デバイス領域内の低電流デバイスのための凹部を形成するために、上記ゲート誘電体の下の上記クラッド層を横方向に陥凹させるように上記クラッド層を選択的にエッチングすることと、上記低電流デバイスにおいて上記凹部を満たすスペーサを形成すること、上記低電流デバイス領域に上記低電流デバイスを形成するためと上記通常デバイス領域に通常デバイスを形成するために、上記ゲート構造の両側にソース領域とドレイン領域とを形成することとを含む。
さらに別の実施形態による半導体デバイスを形成する別の方法は、基板上にハード・マスク層を形成することと、上記基板内に上記ハード・マスク層とトランジスタ構造とをパターン形成することと、低電流デバイス領域内の上記トランジスタ構造上から上記ハード・マスクを除去することと、上記低電流デバイス領域内の上記トランジスタ構造上にドープ・クラッド層を成長させることと、通常デバイス領域から上記ハード・マスクを除去することと、上記低電流デバイス領域内の上記クラッド層と上記通常デバイス領域内の上記トランジスタ構造上にゲート構造を形成することと、上記低電流デバイス領域内の低電流デバイスのための凹部を形成するために、上記ゲート誘電体の下の上記クラッド層を横方向に陥凹させるように上記クラッド層を選択的にエッチングすることと、上記低電流デバイス内の上記凹部を満たすスペーサを形成することと、上記低電流デバイス領域に上記低電流デバイスを形成するためと上記通常デバイス領域に通常デバイスを形成するために、上記ゲート構造の両側にソース領域とドレイン領域とを形成することとを含む。
上記およびその他の特徴および利点は、添付図面とともに読むべき本発明の例示の実施形態の以下の詳細な説明から明らかになるであろう。
以下の説明では、以下の図面を参照しながら好ましい実施形態の詳細を示す。
本発明の一実施形態による低移動度高抵抗読み出しトランジスタを採用するためのクロスバー格子とともに、充電/放電回路を示す概略図である。 本発明の一実施形態による、ハード・マスクが上に形成されたトランジスタ構造を備えたデバイスを示す断面図である。 本発明の一実施形態による、トランジスタ構造間に平坦化された誘電体層を備えた図2のデバイスを示す断面図である。 本発明の一実施形態による、低電流デバイスのためのハード・マスクが除去された図3のデバイスを示す断面図である。 本発明の一実施形態による、低電流デバイスのためのトランジスタ構造上に成長させたクラッド層を備えた図4のデバイスを示す断面図である。 本発明の一実施形態による、不活性種のイオン衝撃によりクラッド層内に形成された散乱中心を備えた図5のデバイスを示す断面図である。 本発明の一実施形態による、クラッド層より下に陥凹させた誘電体層を備えた図6のデバイスを示す断面図である。 本発明の一実施形態による、低電流デバイスのためのクラッド層上と、通常デバイスのためのトランジスタ構造上とに形成されたゲート構造(金属ゲートまたはダミー)を備えた図7のデバイスを示す断面図である。 本発明の一実施形態による、低電流デバイスのためのゲート構造の下の陥凹させたクラッド層を備えた図8のデバイスを示す断面図である。 本発明の一実施形態による、通常デバイスおよび低電流デバイスの側壁上と、低電流デバイスのためのクラッド層の凹部内と、ソース領域およびドレイン領域のために形成された凹部内とに形成されたスペーサを備えた、図9のデバイスを示す断面図である。 本発明の一実施形態による、チャネル領域内または低電流デバイス内のアンダーカット領域が結果として生じた、ソース領域とドレイン領域とが形成された図10のデバイスを示す断面図である。 本発明の実施形態による、低電流デバイスを形成する方法を示すブロック/流れ図である。
本発明の態様は、高抵抗電界効果トランジスタ(FET)を対象とする。この高抵抗FETは、メモリ・デバイスの読み出し動作のために採用可能であって、ニューラル・ネットワークなどを含み得る認知デバイスにおいて特に有用である。高抵抗(または低電流)FETは、低電流を結果としてもたらす低移動度特性を備えることができる。これらの特性は、FETデバイスのソース層、ドレイン層、およびチャネル層における材料とドーピング・レベルによってもたらされ得る。なお、低移動度/高抵抗/低電流デバイスのために記載する用途は例示であることと、読み出しデバイス以外の他の用途も企図されることを理解されたい。
有用な実施形態では、高抵抗FETをクロスバー回路に組み込むことができ、この場合、高抵抗FETはクロスバー格子の行と列とになった金属線を接続する回路の一部である。典型的なトランジスタ動作には、低遅延パフォーマンスの理由から高電流の方が好ましいが、クロスバー認知応用分野などの一部の応用分野は、十分な動作を実現するためにより低電流またはより高抵抗を必要とする。低移動度/高抵抗/低電流デバイスは、半導体チップ上に形成される通常のデバイスの特性に対して相対的な特性を有するとみなされる。このような通常のデバイスには、電流またはキャリア移動度を低減するように変更されていないデバイスが含まれ得る。通常のデバイスは、従来のプロセスおよび構造を使用して形成される。
本発明の実施形態は、低移動度、したがって低電流を備えることができ、制御可能な方式で製作可能なトランジスタ・デバイスを含む。製作は、より高濃度ドーパントのチャネル・クラッド構造の形成を含み得る。このより高濃度のドーピングと、任意による追加の中性散乱注入とによって、キャリアのための散乱中心が与えられ、それによって電子または正孔の移動度を低下させる。本実施形態は平面トランジスタ・デバイスを含み得るが、FinFET、ナノワイヤおよびその他のトランジスタ構造も本発明の実施形態から恩恵を受けることができる。有用な実施形態では、本発明の態様によるFETを形成する方法が提供される。
本発明の態様について特定の例示のアーキテクチャに関して説明するが、他のアーキテクチャ、構造、基板材料およびプロセス・特徴およびステップも本発明の態様の範囲内で変更することができることを理解されたい。
また、層、領域または基板などの要素が別の要素の「上(on)」または別の要素の「上(over)」にあると言う場合、その要素はその他方の要素の直接上にあってよく、または介在要素も存在してよいこともわかるであろう。それに対して、ある要素が別の要素の「直接上(directly on)」または別の要素の「直接上(directly over)」にあるという場合、介在要素は存在しない。また、ある要素が別の要素に「接続」または「結合」されていると言う場合、その要素はその他方の要素に直接接続または結合されてよく、または介在要素が存在してよいこともわかるであろう。それに対して、ある要素が別の要素に「直接接続されている」、または「直接結合されている」と言う場合、介在要素は存在しない。
本実施形態は、グラフィカル・コンピュータ・プログラミング言語で作成することができ、コンピュータ記憶媒体(ディスク、テープ、物理ハード・ドライブ、または、ストレージ・アクセス・ネットワーク内などの仮想ハード・ドライブなど)に記憶することができる、集積回路チップの設計を含み得る。設計者が、チップまたはチップを製作するために使用されるフォトリソグラフィ・マスクを製作しない場合、設計者は作成した設計を物理的手段によって(例えば、設計を記憶した記憶媒体のコピーを提供することによって)、または電子的に(例えばインターネットを介して)、そのような主体に直接または関節的に伝達することができる。記憶された設計は、次に、典型的にはウエハ上に形成する対象のチップ設計の複数のコピーを含むフォトリソグラフィ・マスクの製作のために適切な形式(例えばGDSII)に変換される。フォトリソグラフィ・マスクは、エッチングまたはその他の方法で処理されるウエハ(またはその上の層、あるいはその両方)の領域を画定するために使用される。
本明細書に記載の方法は、集積回路チップの製作で使用することができる。その結果の集積回路チップは、製作者によって生ウエハの形態で(すなわち、複数のパッケージ化されていないチップを有する単一のウエハ)、ベア・ダイとして、またはパッケージ化された形態で、配布することができる。後者の場合、チップはシングル・チップ・パッケージ(マザーボードまたはその他のより上位のキャリアに装着されたリード線を備えたプラスチック・キャリアなど)、またはマルチチップ・パッケージ(表面配線または埋め込み配線あるいはその両方を有するセラミック・キャリアなど)に実装される。いずれの場合も、チップは次に他のチップ、ディスクリート回路素子またはその他の信号処理デバイスあるはその組み合わせとともに、(a)マザーボードなどの中間製品、または(b)最終製品の一部として集積される。最終製品は、玩具およびその他のローエンド用途から、ディスプレイ、キーボードまたはその他の入力装置および中央処理装置を有する高性能コンピュータ製品に至るまでの、集積回路チップを含む任意の製品とすることができる。
また、材料化合物を、例えばSiGeのように列挙された元素で表して記載することにも留意されたい。これらの化合物は、その化合物内に異なる割合の元素を含み、例えばSiGeはSiGe1−xを含み、ここでxは1以下、などである。さらに、化合物には他の元素が含まれていてもよく、その場合でも、本原理により機能することができる。本明細書では、追加の元素を有する化合物を合金と呼ぶ。
本明細書で「一実施形態」または「ある実施形態」およびその様々な他の変形と言う場合、それは、その実施形態に関連して記載されている特定の特徴、構造、特性などが少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な個所で「一実施形態において」または「ある実施形態において」という語句およびその他の変形が出てくる場合、それは必ずしもすべてが同じ実施形態を指しているわけではない。
例えば、「A/B」、「AまたはBあるいはその両方」、および「AとBのうちの少なくとも一方」の場合のような、「/」、「...または...あるいはその両方」、および「...のうちの少なくとも一方」のいずれかを使用している場合、最初に記載されている選択肢(A)のみの選択、または2番目に記載されている選択肢(B)のみの選択、または両方の選択肢(AとB)の選択を包含することが意図されていることを理解されたい。他の例として、「A、BまたはCあるいはその組み合わせ」および「AとBとCとのうちの少なくとも1つ」という場合、このような表現は、最初に記載されている選択肢(A)のみの選択、または2番目に記載されている選択肢(B)のみの選択、または3番目に記載されている選択肢(C)のみの選択、または最初と2番目に記載されている選択肢(AとB)のみの選択、または最初と3番目に記載されている選択肢(AとC)のみの選択、または2番目と3番目に記載されている選択肢(BとC)のみの選択、または3つの選択肢(AとBとC)すべての選択を包含することを意図している。これは、当業者には容易にわかるように、列挙されている限りの数の項目に拡大され得る。
本明細書で使用される用語は、特定の実施形態のみを説明することを目的としており、例示の実施形態を限定することを意図していない。本明細書で使用する単数形の「a」、「an」および「the」は、文脈が明確に他の解釈を示していない限り、複数形も含むことを意図している。また、「含んでいる(comprises)」、「含む(comprising)」、「含んでいる(includes)」、または「含む(including)」あるいはその組み合わせは、本明細書で使用されている場合、記載されている特徴、整数、ステップ、動作、要素または構成要素あるいはその組み合わせの存在を指定するが、1つまたは複数の他の特徴、整数、ステップ、動作、要素、構成要素またはこれらのグループあるいはその組み合わせの存在または追加を排除しない。
本明細書では、説明を簡単にするために、図面に示すような1つの要素または特徴の、別の要素または特徴に対する関係を説明するために、「下に(beneath)」、「下方に(below)」、「下部(lower)」、「上に(above)」、「上部(upper)」などの空間的な相対関係を表す用語を使用する場合がある。このような空間的相対関係を表す用語は、図面に記載されている向きに加えて、使用時または動作時のデバイスの異なる向きも包含することが意図されていることはわかるであろう。例えば、図中のデバイスの向きを変えた場合、他の要素または特徴の「下に(below)」または「下方に(beneath)」あると記載されている要素は、それらの他の要素または特徴の「上」の向きになる。したがって、「下に」という用語は、上と下の両方の向きを包含し得る。デバイスは他の向き(90度回転または他の向き)であってもよく、本明細書で使用されている空間的相対関係を記述する語は、それに応じて解釈することができる。また、ある層が2つの層の「間に」あると言う場合、その層はその2つの層の間にある唯一の層である場合があり、または1つまたは複数の介在層も存在し得ることはわかるであろう。
本明細書では、様々な要素を説明するために第1、第2などの用語を使用する場合があるが、これらの要素はこれらの用語によって限定されるべきではないことはわかるであろう。これらの用語は、1つの要素を別の要素から区別するために使用されているに過ぎない。したがって、以下で記載されている第1の要素は、記載の概念の範囲から逸脱することなく第2の要素と称してもよい。
次に、同様の番号が同一または類似の要素を表している図面を参照し、最初に図1を参照すると、概略図に、読み出し電界効果トランジスタ(FET)20を有する回路10が示されている。読み出しFET20は、キャパシタ22に蓄積されている電荷を読み出すためにノードAとノードBとの間で使用されている。読み出しFET20は、通常のトランジスタ、以下で説明するような低電流トランジスタ、またはその他の種類のトランジスタを含み得る。
キャパシタ22は、充電トランジスタ26と放電トランジスタ24とを使用してキャパシタ22の充電と放電を制御する入力VおよびVに従って充電または放電される。充電トランジスタ26と放電トランジスタ24とは、それぞれp型FET(PFET)およびN型FET(NFET)を含み得るが、これらのデバイスおよび読み出しトランジスタ20(例えばNFET)は必要に応じて逆の極性を有してもよい。
なお、回路10は追加の構成要素または異なる構成要素を含んでもよく、必要に応じて類似した、または完全に異なるタスクを実行してよく、異なる構造(例えば平面トランジスタ、finFET、ナノワイヤ・トランジスタなど)を有してもよいことを理解されたい。有用な一実施形態では、読み出しFET20はクロスバー・アレイ30に組み込まれる。クロスバー・アレイ30は、基板上または基板の上方(例えば必要に応じて介在層を有する)に形成された交差する金属線12、14の格子を含む。読み出しFET20のソースとドレイン(ノードAとノードB)がこれらの線12、14に結合されている。
一実施形態では、クロスバー・アレイ30は、ニューロンをシミュレートするニューラル・ネットワークまたはその他の認知デバイスを形成する。ニューラル・ネットワークは、デバイスにおいて学習をシミュレートする経路情報を記憶する。読み出しFET20の特性は、学習をシミュレートする反応性を示すように変更することができる。一実施形態では、認知デバイスとしてのアレイ30の目的を果たすために高抵抗または低電流を採用することができる。他の使用および方法も採用可能である。
図2を参照すると、部分的に製作された状態の半導体デバイス100の断面図が示されている。この例では、デバイス100は、基板50の上にハード・マスクまたは誘電体層52を形成するために前処理が施されている。ハード・マスク層52は、例えば、シリコンの酸化物、窒化物、およびオキシ窒化物、またはその他の適合する誘電体材料などの誘電体材料を含み得る。
ハード・マスク層52は、例えばリソグラフィなどのパターン処理技術を使用してパターン形成される。ハード・マスク層52は、トランジスタ構造54の形成のための領域を画定するようにパターン形成される。画定される領域は、形成するトランジスタ・デバイスのアクティブ領域のための領域である。この場合は、平面デバイスについて説明し、図に示すが、例えばフィン、ナノワイヤ、縦型デバイスなど、他のデバイス構造も採用可能である。このような構造は、異なる形状または形態を有するトランジスタ構造54を採用してもよい。
トランジスタ構造54は、領域54を画定するためのハード・マスク52のパターンを使用して、反応性イオン・エッチング(RIE)またはその他の異方性エッチング・プロセスなどのエッチング・プロセスによって基板50にエッチングされる。トランジスタ構造54は、フィン、ナノワイヤ、基板プラトー、基板の表面またはその他の部分を含み得る。
半導体デバイス100は、1つまたは複数の層を含み得る基板50を含む。基板50は、例えばバルク半導体、セミコンダクタ・オン・インシュレータ(SOI)基板などの任意の適合する基板構造を含み得る。一実施例では、基板50はシリコン含有材料を含み得る。別の実施形態では、ナノワイヤを成長またはエッチングするために基板を採用することができる。
基板50に適するSi含有材料の例としては、Si、SiGe、SiGeC、SiCおよびこれらの多層が含まれ得るが、これらには限定されない。シリコンはウエハ製造において圧倒的に使用されている半導体材料であるが、別の半導体材料、例えばゲルマニウム、ガリウムヒ素、窒化ガリウム、シリコン・ゲルマニウム、テルル化カドミウム、セレン化亜鉛などであるがこれらには限定されない別の半導体材料を、追加の層として採用または含めることができる。その他の基板材料も企図される。
図3を参照すると、デバイス100の表面上に誘電体材料56が形成され、構造54間を満たしている。誘電体材料56は、酸化シリコンなどの酸化物またはその他の適合する誘電体材料を含み得る。一実施形態では、誘電体材料56は化学蒸着(CVD)、物理蒸着(PVD)または任意のその他の適合する付着プロセスによって形成することができる。
デバイス100の上面を平坦化するためと、余分な誘電体材料56をハード・マスク層52まで除去するために、平坦化プロセスなどを採用してもよい。平坦化プロセスは、エッチング・プロセスまたは化学機械研磨(CMP)を含み得る。
図4を参照すると、通常デバイス領域58を被覆し、低電流デバイス領域60を露出させるように、マスキング・プロセスが行われる。マスキング・プロセスは、デバイス100の表面上に遮蔽層(図示せず)を形成することを含み得る。遮蔽層(図示せず)は、低電流デバイス領域60を露出させるようにパターン形成することができる。次に、低電流デバイス領域60からハード・マスク層52を除去するためにエッチング・プロセスを行うことができる。これにより、低電流デバイスの形成に固有の処理のために、低電流デバイス領域60内のトランジスタ構造54を露出させる。特に有用な実施形態では、低電流デバイスの形成は、読み出しFETまたはその他のデバイスの形成を含み得る。遮蔽層(図示せず)は除去することができる。
図5を参照すると、低電流デバイス領域60内のトランジスタ構造54上に高濃度ドーパント・クラッド層62を成長させている。高濃度ドーパント・クラッド層62は、N型FET(NFET)またはP型FET(PFET)を形成するための高ドーパント濃度の基板材料を含み得る。一実施形態では、PFETの場合、高濃度ドーパント・クラッド層62は、1cm当たりのドーパントまたは原子が約1×1018個を超えるドーピング濃度、好ましくは1cm当たりのドーパントまたは原子が1×1019個を超えるドーピング濃度を有するヒ素(As)またはリン(P)を含み得る。高濃度ドーパント・クラッド層62は、約2nmから約15nmの間とすることができる。他の寸法も採用可能である。高濃度ドーパント・クラッド層62は、好ましくは、高濃度ドーパント・クラッド層62がその上に形成される材料よりも高いドーパント濃度を含む。
別の実施形態では、高濃度ドーパント・クラッド層62は、NFETの場合、1cm当たり約1×1018個を超える原子のドーピング濃度、好ましくは1cm当たり1×1019個を超えるドーパントを有するボロン(B)またはガリウム(Ga)を含み得る。高濃度ドーパント・クラッド層62は、約2nmから約15nmの間とすることができる。他の寸法も採用可能である。
高濃度ドーパント・クラッド層62は、エピタキシャル成長させることができ、単結晶、多結晶または非結晶とすることができる。高濃度ドーパント・クラッド層62のドーパントは、その場(in-situ)で与えることができるか、またはイオン注入またはその他のドーピング・プロセス(例えば層の付着と構造54内へのドーパントの拡散)によって構造54内に注入することができる。
図6を参照すると、電子移動度または正孔移動度をさらに低減するために、クラッド層62内に任意による散乱中心66が形成される。散乱中心66は、クラッド層62に注入された一定濃度の不活性材料を含み得る。注入散乱中心66は、低電流デバイス特性、例えばより低いキャリア移動度をもたせるため、デバイス抵抗を増大させるなどのために、クラッド層66の格子不規則性を調整するように、任意により形成することができる。一実施形態では、散乱中心66は、例えばAr、Xe、その他の希ガスまたはその他の不活性材料などの不活性ドーパント64を含む。不活性ドーパント64は、イオン衝撃またはその他のプロセスによって注入することができる。ドーパント供与量は、約1×1013/cmから約1015/cmとすることができる。注入またはイオン衝撃は、任意の適合する注入方法を含み得る。注入散乱中心66は、低電流デバイス領域60内の低電流FET上にのみ形成される。
図7を参照すると、通常デバイス領域58上のハード・マスク層52を除去することができる。ハード・マスク層52は、選択的ウェットまたはドライ・エッチングによって除去することができる。次に、誘電体材料56を陥凹させるために、クラッド層62に対して選択的なドライ・エッチングまたはウェット・エッチングによって誘電体層陥凹化を行う。一実施形態では、誘電体材料56をクラッド層62より下に陥凹させる。一実施形態では、誘電体材料56は、クラッド層62の厚さ以内の高さまで陥凹させる。
図8を参照すると、デバイス100上にゲート誘電体層70が形成される。ゲート誘電体層70は、クラッド層62と誘電体層70上に形成されたゲート導体層72との間にゲート誘電体を形成する。ゲート誘電体層70は、化学蒸着(CVD)、物理蒸着(PVD)またはその他の適合する付着プロセスを使用して形成することができる。誘電体層70は、酸化物、窒化物、またはその他の適合する誘電体材料を含み得る。一実施形態では、誘電体層70は、SiOの誘電率より高い誘電率(k)を特徴とする「high−k」誘電体材料を含む。High−k誘電体材料には、酸化ハフニウム、ケイ酸ハフニウム、酸化チタン、チタン酸バリウム・ストロンチウム(BST)、およびチタン酸ジルコン酸鉛(PZT)が含まれ得るが、これらには限定されない。
ゲート導体層72は、金属、金属合金、金属窒化物、および金属シリサイドと、これらの積層および複合物を含むがこれらには限定されない、導電材料を含む。一実施形態では、ゲート導体層72は、例えば、W、Ni、Ti、Mo、Ta、Cu、Pt、Ag、Au、Ru、Ir,Rh,およびRe、およびこれらの導電性元素金属のうちの少なくとも1つを含む合金を含むがこれらには限定されない、任意の金属とすることができる。ゲート導体層72は、ドープされたポリシリコンまたはポリシリコン−ゲルマニウム合金材料(すなわち、1立方センチメートル当たり1×1018個のドーパント原子から1立方センチメートル当たり1×1022個のドーパント原子までのドーパント濃度を有する)およびポリサイド材料(ドープされたポリシリコン/金属シリサイド積層材料)も含み得る。
有用な実施形態では、ゲート導体層72は、CVD、スパッタリングまたはその他の適合する付着プロセスを使用して付着させた金属を含む。少なくとも1つのゲート導体層に採用可能な金属の例には、W、Ti、Ta、Cu、Pt、Ag、Au、Al、TiN、WN、TaN、TiAlN、TaAlN、およびこれらの合金が含まれるが、これらには限定されない。ゲート導体層72は、1つまたは複数の材料の複数の層を含むことができる。クラッド層62は、形成されるソース領域とドレイン領域との間のチャネル層の上に形成される。
例えばCVDまたはその他の適合するプロセスによって、ゲート導体層72の上に誘電体キャップ層またはハード・マスク層74が付着される。キャップ層74は、酸化物、窒化物または任意のその他の適合する誘電体材料を含み得る。
一実施形態では、ゲート誘電体層70と、ゲート導体層72と、キャップ層74とがパターン形成される。パターン形成されたゲート構造76を形成するように単一エッチング・マスクを使用してゲート誘電体層70と、ゲート導体層72と、キャップ層74とをパターン形成することができる。ゲート構造76は、通常デバイス領域58と低電流デバイス領域60の両方において同時に形成される。低電流デバイス領域60内のクラッド層62上にゲート構造76が形成され、通常デバイス領域58内のトランジスタ構造54上にゲート構造76が形成される。
ゲート構造76は、ゲート構造76の大きさと形状とを制御するようにパターン形成することができる。パターン形成プロセスは、リソグラフィ・パターン形成プロセスまたは任意のその他のパターン形成プロセスを含み得る。一実施例では、フォトレジストをキャップ層74の上に付着させ、放射(例えば紫外線(UV)光)に露光させてレジスト・マスク(図示せず)を形成することができる。次に、キャップ層74と、ゲート導体層72と、ゲート誘電体層70とをエッチングするために、例えば反応性イオン・エッチング(RIE)プロセスなどの異方性エッチングを行うことができる。なお、ゲート構造76は、実際のゲート(最初に形成されるゲート導体)または(置換ゲートのための)ダミー・ゲートを含み得ることを理解されたい。
図9を参照すると、高濃度ドープ・クラッド層62の露出部分をエッチングするためにエッチング・プロセスが行われる。クラッド層62は、高濃度ドープ・シリコン層を含むことができ、クラッド層62の下のトランジスタ構造54の非ドープ層に対して選択的にエッチングすることができる。一実施形態では、横方向凹部78を形成するようにクラッド層62を陥凹させるためにウェット・エッチングが行われる。
図10を参照すると、ゲート構造76に直接接触させてゲート側壁スペーサ80を形成してもよい。ゲート側壁スペーサ80は、ゲート構造76上に酸化物、窒化物、オキシ窒化物、またはその他の材料などの誘電体材料の共形層を付着させ、その後、ゲート構造76の側壁以外の水平面から共形層を除去するエッチング・プロセスを行うことによって形成することができる。ゲート側壁スペーサ80は、約1nmから約10nmの範囲の幅を有し得る。低電流デバイス領域60におけるゲート側壁スペーサ80は、凹部78(図9)を満たしてオフセット・スペーサ領域81を形成する。
ゲート側壁スペーサ80の形成の後、次に、トランジスタ構造54にエッチングされる凹部82を画定しやすくするためにスペーサ80を使用して、ソース領域とドレイン領域とを形成することができる。ソースおよびドレイン凹部82は、通常デバイス領域58と低電流デバイス領域60の両方におけるゲート構造76の両側に形成することができる。トランジスタ構造54は選択的RIEプロセスを使用してエッチングされ、誘電体層56の表面より下にエッチングされる。
図11を参照すると、トランジスタ構造54上の材料からエピタキシャル成長によってソースおよびドレイン(S/D)領域84が形成される。なお、信頼性を向上させ、漏洩を低減するために、ソースおよびドレイン84は低移動度クラッド層62には接触しないことに留意されたい。エピタキシャル成長プロセスは、その場ドーピングを含み得る。一実施形態では、S/D領域84は、例えば、約1×1020/cmから約1×1021/cmの間、好ましくは約5×1020/cmのドーピング濃度を有する高濃度ドープ領域として成長される。一実施形態では、PFETデバイスには例えばボロン(B)などのp型ドーパントを採用することができ、NFETデバイスにはヒ素(As)またはリン(P)を採用することができる。S/D領域84は、誘電体層56より上、下または同一平面にあってよい。
S/D領域84は、超高真空化学蒸着(UHVCVD)、高速熱化学蒸着(RTCVD)、有機金属化学蒸着(MOCVD)、低圧化学蒸着(LPCVD)、制限反応処理CVD(LRPCVD)、分子線エピタキシ(MBE)などによってエピタキシャル形成することができる。エピタキシャル材料を気体前駆体または液体前駆体から成長させることができる。エピタキシャル材料は、気相エピタキシ(VPE)、分子線エピタキシ(MBE)、液相エピタキシ(LPE)またはその他の適合するプロセスを使用して成長させることができる。トランジスタのタイプに応じて、n型ドーパント(例えばリンまたはヒ素)またはp型ドーパント(例えばボロンまたはガリウム)を添加することによって、付着中にエピタキシャル・シリコン、シリコン・ゲルマニウム(SiGe)、または炭素ドープ・シリコン(Si:C)シリコンあるいはその組み合わせをドープ(その場ドープ)することができる。ソース/ドレイン領域のドーパント濃度は、約1×1020/cmから約1×1021/cm、または好ましくは約5×1020/cmの範囲とすることができる。Si:Cをエピタキシャル成長させる場合、Si:C層は0.2%から3.0%の範囲の炭素を含み得る。SiGeをエピタキシャル成長させる場合、SiGeは、5%から80%の範囲、または好ましくは20%と60%の間のゲルマニウム含有量を有し得る。
「エピタキシャル成長または付着あるいはその両方」および「エピタキシャル形成させる、または成長させる、あるいはその両方」の用語は、成長させる半導体材料(層上の結晶)が付着面の半導体材料(シード材料)と実質的に同じ結晶特性を有する、半導体材料(結晶材料)を別の半導体材料(結晶材料)の付着面上で成長させることを意味する。エピタキシャル付着プロセスでは、ソース・ガスによってもたらされる化学反応材料が制御され、付着原子が付着面の原子の結晶配列に合わせて配向するように、付着原子が表面上を動き回るのに十分なエネルギーを備えて半導体基板の付着面に到達するようにシステム・パラメータが設定される。したがって、エピタキシャル成長半導体材料は、エピタキシャル成長させる材料が形成される付着面と実質的に同じ結晶特性を有する。例えば、{100}配向結晶面上に付着させたエピタキシャル成長半導体材料は、{100}配向を有するようになる。実施形態によっては、エピタキシャル成長または付着あるいはその両方のプロセスは、半導体表面上での形成に対して選択的であり、一般に、二酸化シリコンまたはシリコン窒化物面などの露出面上には材料を付着させない。
いくつかの特徴を使用して低電流FETデバイス20が実現される。1つの特徴は、ソース/ドレインの型とは反対のドーパント型を有するクラッド層62を含む。例えば、クラッド層62がp型ドーパントを含む場合、S/D領域84はNFETデバイスおよびn型ドーパントを含み、またはその逆も同様である。これらのクラッド・ドーパントは、チャネル抵抗の増大とキャリア移動度の低下に貢献する。さらに、散乱中心ドーパント(図6の64)がクラッド層62の構造中に欠陥を生じさせる可能性があり、これらの欠陥はデバイス20のチャネル特性に影響を与える可能性があり、それによってさらにチャネル抵抗が増大し、キャリア移動度が低下する。また、信頼性と漏洩を改善するようにクラッド層62はソース/ドレイン領域84に接触しない(スペーサ80によって離間している)。
別の特徴は、スペーサ80によってソースおよびドレイン領域84がチャネル90から強制的にわずかにずらされるアンダーラップ領域88を含み、これはクラッド層62とスペーサ80の形成の結果生じるものである。アンダーラップ領域88は、チャネル90をアンダーカットし、S/D領域84からのドーパントの外方拡散による重なり合うドーパント拡散領域を減らし、チャネル電流をさらに低減する。通常デバイスおよび低電流デバイスにおいて、S/D領域84が形成された後、S/D領域84からチャネル領域90内へのドーパントの打ち込みを生じさせるためにアニールを行うことができる。チャネル90付近のS/D領域84のアンダーカットに起因して、低電流デバイス20はスペーサ80およびクラッド層62によりアンダーラップすることになる。
別の特徴は、ゲート導体72に、チャネル領域90から追加の距離(クラッド層62の厚さ)分だけ間隙があけられることである。低電流デバイス20のために必要なパフォーマンス特性を与えるようにこれらの特徴を組み合わせ、調整することができる。これらの特徴は、同じ処理シーケンスで通常デバイス58を製作しながら設けることができ、または調整することができ、あるいはその両方を行うことができる。
S/D領域84が形成された後、通常のミドル・オブ・ライン(MOL)およびバック・エンド・オブ・ライン(BEOL)処理を行うことができる。一実施形態では、ダミー・ゲート構造を採用した場合は、置換金属ゲート(RMG)プロセスを採用することができる。MOLおよびBEOL処理は、層間誘電体層、接点、金属線などの形成を含み得る。これは、クロスバー金属線格子の形成も含み得る。
図12を参照すると、半導体デバイスを形成する方法を示すブロック/流れ図が例示的に示されている。別の実装形態では、ブロックに記載されている機能は図に記載されている順序とは異なる順序で実行されてもよい。例えば、連続して示されている2つのブロックは、関与する機能によっては、実際には実質的に同時に実行されてもよく、またはそれらのブロックは場合によっては逆の順序で実行されてもよい。また、ブロック図またはフローチャート図またはその両方の各ブロック、およびブロック図またはフローチャート図またはその両方のブロックの組み合わせは、指定された機能または動作を実行するかまたは特殊目的ハードウェアとコンピュータ命令との組み合わせを実施する特殊用途ハードウェア・ベースのシステムによって実装可能である。
ブロック202で、基板またはナノワイヤの上にハード・マスク層が形成される。ブロック204で、トランジスタ構造を形成するために、ハード・マスク層がパターン形成され、基板またはナノワイヤがエッチングされる。トランジスタ構造は、基板をエッチングすることによって形成されるプラトー、エッチングによって形成されるフィン、またはエッチングによって形成または処理されるナノワイヤを含み得る。エッチングは、反応性イオン・エッチング・プロセスを含み得る。
ブロック206で、トランジスタ構造の上に誘電体層が付着され、例えばCMPプロセスを使用してハード・マスクまで平坦化される。誘電体層は、実施形態によっては任意であるが、基板の各部を保護するため、またはシャロウ・トレンチ分離を設けるため、または隣接するデバイス間に電気的遮蔽を設けるため、あるいはこれらの組み合わせのために採用することができる。
ブロック208で、低電流デバイス領域のトランジスタ構造上からハード・マスクが除去される。これは、低電流デバイス領域のみを選択的エッチングすることによってハード・マスクを除去するように、通常デバイス領域を遮蔽または保護することによって行うことができる。
ブロック210で、低電流デバイス領域のトランジスタ構造上にドープ・クラッド層を成長させる。これには、その場ドーピングを使用したエピタキシャル成長プロセスが含まれ得るが、他の形態の付着およびドーピングも採用可能である。ドーパント導電性は、ソース領域およびドレイン領域の導電性と反対の導電性を備えるように選択される。有用な実施形態では、ドープ・クラッド層は、約5×1018から約5×1019原子/cmの間のドーパント濃度を有するドープされた材料を含む。他の有用な実施形態では、クラッド層は約2nmから約15nmの間の厚さを備える。
ブロック212で、クラッド層内に任意により散乱中心を形成することができる。散乱中心は、クラッド層に例えばArまたはXeなどの希ガス・イオンを照射することによって形成することができる。
ブロック214で、次に、例えば選択的エッチングによって、または遮蔽マスクを使用することによって、通常デバイス領域における通常デバイスからハード・マスクが除去される。誘電体層を、エッチング・プロセスによって陥凹させることができる。陥凹化は、クラッド層の側面を露出させることを含み得る。
ブロック216で、低電流デバイス領域のクラッド層の上と、通常デバイス領域のトランジスタ構造の上にゲート構造が形成される。ゲート構造は、ゲート誘電体層、1つまたは複数の導電体層、またはダミー・ゲート材料層およびキャップ層を含み得る。ゲート構造は、パターン形成エッチング(例えば反応性イオン・エッチング)においてこれらの層からエッチングされる。
ブロック218で、低電流デバイス領域における低電流デバイスのために(ゲート誘電体の下の)凹部を形成するために、ゲート誘電体の下のクラッド層を横方向に陥凹させるようにクラッド層の選択的エッチングが行われる。このエッチングはウェット・エッチングまたはドライ・エッチングを含み得る。
ブロック220で、スペーサが形成され、スペーサは低電流デバイスにおいて凹部を満たす。共形付着と、付着させたスペーサ層の一部がゲート構造の側壁(およびクラッド層に隣接する凹部内)に残るようにしたその後の反応性イオン・エッチングによって、スペーサ層を形成することができる。
ブロック222で、ゲート構造の両側にソース領域とドレイン領域とが形成される。例えば、基板を切開するようにスペーサを使用して自己整合エッチングを行って、トランジスタ構造(またはフィンまたはナノワイヤ)内に凹部を形成してもよい。自己整合された凹部内にS/D領域を成長させるためにエピタキシャル成長プロセスが行われる。S/D領域は、成長させたその場ドープ領域を含むことができ、基板上面より上に延びることができる。一実施形態では、S/D領域は低電流デバイス領域と通常デバイス領域とにおいて同時に形成される。スペーサが低電流デバイスのチャネル領域におけるS/D領域をアンダーカットするようなS/D領域を形成することができる。
例えばニューラル・ネットワークなどの認知デバイス回路のための読み出しFETとして採用することができる低電流FETデバイスが実現される。低電流特性は、クラッド層の1つまたは複数の特徴を使用して実現することができる。例えば、クラッド層は、S/D領域とは反対のドーパント型を備えることができる。クラッド層内に散乱中心を形成することができ、スペーサとクラッド層内の凹部とを使用してS/D領域をチャネルからずらすことによってアンダーラップ領域を形成することができる。別の特徴として、チャネル領域からの追加の距離(クラッド層の厚さ)分だけ間隙をあけたゲート導体を含み得る。これらの特徴は、低電流デバイスに必要なパフォーマンス特性を与えるように組み合わせ、調整することができる。これらの特徴は、同じ処理シーケンスで通常デバイスを製作しながら設けることができ、または調整することができ、あるいはその両方を行うことができる。
ブロック224で、デバイスを完成させるための処理が続く。この処理は、ダミー・ゲート構造(前に採用されている場合)を置き換えるための置換金属ゲート(RMG)プロセスを含み得る。他の処理としては、例えば、ミドル・オブ・ライン(MOL)およびバック・エンド・オブ・ライン(BEOL)処理が含まれ得る。MOLおよびBEOL処理には、層間誘電体層、接点、金属線などの形成が含まれ得る。これには、クロスバー金属線格子の形成も含まれ得る。
認知デバイスのための高抵抗読み出しFETの好ましい実施形態(例示を意図したものであって、限定的ではない)について説明したが、上記の教示に鑑みて当業者により変更および変形を加えることができることに留意されたい。したがって、開示されている特定の実施形態において、添付の特許請求の範囲に記載されている本発明の範囲内で変更を加えることができることを理解すべきである。

Claims (19)

  1. 半導体デバイスであって、
    トランジスタ構造内に形成されたソース領域およびドレイン領域と、
    前記ソース領域と前記ドレイン領域との間に配置されたチャネル領域と、
    前記チャネル領域上に形成され、半導体材料を含むクラッド層と、
    前記クラッド層上に形成されたゲート構造のゲート誘電体と
    を含む半導体デバイス。
  2. 前記クラッド層は、前記ソース領域および前記ドレイン領域のドーパント導電性と反対のドーパント導電性を備えるドープされた材料を含む、請求項1に記載の半導体デバイス。
  3. 前記クラッド層は、5×1018原子/cmから5×1019原子/cmまでの間のドーパント濃度を含むドープされた材料を含む、請求項1または2に記載の半導体デバイス。
  4. 前記クラッド層は、2nmから15nmまでの間の厚さを備える、請求項1ないし3のいずれかに記載の半導体デバイス。
  5. 前記クラッド層内に形成された散乱中心をさらに含む、請求項1ないし4のいずれかに記載の半導体デバイス。
  6. 前記ゲート構造の側壁に形成され、前記クラッド層の側面を被覆するスペーサをさらに含む、請求項1ないし5のいずれかに記載の半導体デバイス。
  7. 前記半導体デバイスは、前記半導体デバイス上に形成された通常デバイスより低いチャネル電流を有する低電流デバイスを含む、請求項1ないし6のいずれかに記載の半導体デバイス。
  8. 半導体装置であって、
    基板と、
    前記基板上に形成され、第1の線と前記第1の線と交差するように形成された第2の線とを含むクロスバー格子と、
    前記クロスバー格子の第1の線と第2の線との交差点に形成されたトランジスタであって、通常のトランジスタよりも低いチャネル電流を有する低電流トランジスタを含む前記トランジスタと
    を含み、前記低電流トランジスタは請求項1ないし7のいずれかに記載の半導体デバイスを含む、半導体装置。
  9. 半導体デバイスを形成する方法であって、
    低電流デバイス領域内のトランジスタ構造上にドープ・クラッド層を形成することと、
    前記低電流デバイス領域内の前記クラッド層上と通常デバイス領域内のトランジスタ構造上とにゲート構造を形成することと、
    前記低電流デバイス領域内の低電流デバイスのための凹部を形成するために、ゲート誘電体の下の前記クラッド層を横方向に陥凹させるように前記クラッド層を選択的にエッチングすることと、
    前記低電流デバイスにおいて前記凹部を満たすスペーサを形成することと、
    前記低電流デバイス領域に前記低電流デバイスを形成するためと前記通常デバイス領域に通常デバイスを形成するために、前記ゲート構造の両側にソース領域とドレイン領域とを形成することと
    を含む方法。
  10. 前記ドープ・クラッド層を形成することは、前記クラッド層をその場ドーピングを使用してエピタキシャル成長させることを含み、前記その場ドーピングは前記ソース領域および前記ドレイン領域のドーパンド導電性とは反対のドーパント導電性を備える、請求項9に記載の方法。
  11. 前記その場ドープするクラッド層は、5×1018原子/cmから5×1019原子/cmまでの間のドーパント濃度を含むドープされた材料を含む、請求項10に記載の方法。
  12. 前記クラッド層は2nmから15nmまでの間の厚さを備える、請求項9ないし11のいずれかに記載の方法。
  13. 前記クラッド層内に散乱中心を形成することをさらに含む、請求項9ないし12のいずれかに記載の方法。
  14. 前記散乱中心を形成することは前記クラッド層に希ガス・イオンを照射することを含む、請求項13に記載の方法。
  15. 前記スペーサは、前記低電流デバイスのチャネル領域内の前記ソース領域と前記ドレイン領域とをアンダーカットする、請求項9ないし14のいずれかに記載の方法。
  16. 半導体デバイスを形成する方法であって、
    基板上にハード・マスク層を形成することと、
    前記基板内に前記ハード・マスク層とトランジスタ構造とをパターン形成することと、
    低電流デバイス領域内の前記トランジスタ構造上から前記ハード・マスクを除去することと、
    前記低電流デバイス領域内の前記トランジスタ構造上にドープ・クラッド層を成長させることと、
    通常デバイス領域から前記ハード・マスクを除去することと、
    前記低電流デバイス領域内の前記クラッド層と前記通常デバイス領域内の前記トランジスタ構造上にゲート構造を形成することと、
    前記低電流デバイス領域内の低電流デバイスのための凹部を形成するために、ゲート誘電体の下の前記クラッド層を横方向に陥凹させるように前記クラッド層を選択的にエッチングすることと、
    前記低電流デバイスにおいて前記凹部を満たすスペーサを形成することと、
    前記低電流デバイス領域に前記低電流デバイスを形成するためと前記通常デバイス領域に通常デバイスを形成するために、前記ゲート構造の両側にソース領域とドレイン領域とを形成することと
    を含む方法。
  17. 前記ドープ・クラッド層を形成することは、前記クラッド層をその場ドーピングを使用してエピタキシャル成長させることを含み、前記その場ドーピングは前記ソース領域および前記ドレイン領域のドーパンド導電性とは反対のドーパント導電性を備える、請求項16に記載の方法。
  18. 前記クラッド層内に散乱中心を形成することをさらに含む、請求項16または17に記載の方法。
  19. 前記スペーサは、前記低電流デバイスのチャネル領域内の前記ソース領域と前記ドレイン領域とをアンダーカットする、請求項16ないし18のいずれかに記載の方法。
JP2020521345A 2017-10-26 2018-10-22 半導体デバイス、半導体装置および半導体デバイス形成方法 Active JP7304115B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/794,195 US10741560B2 (en) 2017-10-26 2017-10-26 High resistance readout FET for cognitive device
US15/794,195 2017-10-26
PCT/IB2018/058192 WO2019082048A1 (en) 2017-10-26 2018-10-22 HIGH RESISTANCE READING FET FOR COGNITIVE DEVICE

Publications (2)

Publication Number Publication Date
JP2021500741A true JP2021500741A (ja) 2021-01-07
JP7304115B2 JP7304115B2 (ja) 2023-07-06

Family

ID=66244913

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020521345A Active JP7304115B2 (ja) 2017-10-26 2018-10-22 半導体デバイス、半導体装置および半導体デバイス形成方法

Country Status (6)

Country Link
US (1) US10741560B2 (ja)
JP (1) JP7304115B2 (ja)
CN (1) CN111247641B (ja)
DE (1) DE112018004249B4 (ja)
GB (1) GB2582468B (ja)
WO (1) WO2019082048A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198528A (ja) * 2000-10-19 2002-07-12 Matsushita Electric Ind Co Ltd pチャネル型電界効果トランジスタ
JP2003060203A (ja) * 2001-08-21 2003-02-28 Toshiba Corp 半導体装置
JP2006032958A (ja) * 2004-07-12 2006-02-02 Taiwan Semiconductor Manufacturing Co Ltd 低および高電圧トランジスタを備える半導体デバイスのための方法および装置
US20080237636A1 (en) * 2007-03-29 2008-10-02 Been-Yih Jin Transistor having tensile strained channel and system including same
US20140027816A1 (en) * 2012-07-27 2014-01-30 Stephen M. Cea High mobility strained channels for fin-based transistors
US20160276347A1 (en) * 2013-12-16 2016-09-22 Stephen M. Cea Dual strained cladding layers for semiconductor devices

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4285761A (en) * 1980-06-30 1981-08-25 International Business Machines Corporation Process for selectively forming refractory metal silicide layers on semiconductor devices
US6930537B1 (en) 2002-02-01 2005-08-16 National Semiconductor Corporation Band-gap reference circuit with averaged current mirror offsets and method
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20080179636A1 (en) 2007-01-27 2008-07-31 International Business Machines Corporation N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
JP5521612B2 (ja) 2010-02-15 2014-06-18 ソニー株式会社 不揮発性半導体メモリデバイス
US8395935B2 (en) 2010-10-06 2013-03-12 Macronix International Co., Ltd. Cross-point self-aligned reduced cell size phase change memory
US9673102B2 (en) 2011-04-01 2017-06-06 Micron Technology, Inc. Methods of forming vertical field-effect transistor with self-aligned contacts for memory devices with planar periphery/array and intermediate structures formed thereby
US8741704B2 (en) * 2012-03-08 2014-06-03 International Business Machines Corporation Metal oxide semiconductor (MOS) device with locally thickened gate oxide
US9059321B2 (en) * 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
KR102341726B1 (ko) 2015-02-06 2021-12-23 삼성전자주식회사 반도체 소자
JP6736321B2 (ja) * 2015-03-27 2020-08-05 株式会社半導体エネルギー研究所 半導体装置の製造方法
US9583624B1 (en) 2015-09-25 2017-02-28 International Business Machines Corporation Asymmetric finFET memory access transistor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198528A (ja) * 2000-10-19 2002-07-12 Matsushita Electric Ind Co Ltd pチャネル型電界効果トランジスタ
JP2003060203A (ja) * 2001-08-21 2003-02-28 Toshiba Corp 半導体装置
JP2006032958A (ja) * 2004-07-12 2006-02-02 Taiwan Semiconductor Manufacturing Co Ltd 低および高電圧トランジスタを備える半導体デバイスのための方法および装置
US20080237636A1 (en) * 2007-03-29 2008-10-02 Been-Yih Jin Transistor having tensile strained channel and system including same
US20140027816A1 (en) * 2012-07-27 2014-01-30 Stephen M. Cea High mobility strained channels for fin-based transistors
US20160276347A1 (en) * 2013-12-16 2016-09-22 Stephen M. Cea Dual strained cladding layers for semiconductor devices

Also Published As

Publication number Publication date
CN111247641A (zh) 2020-06-05
GB2582468B (en) 2021-11-03
GB202007398D0 (en) 2020-07-01
GB2582468A (en) 2020-09-23
DE112018004249B4 (de) 2024-01-25
CN111247641B (zh) 2023-08-01
US20190131304A1 (en) 2019-05-02
JP7304115B2 (ja) 2023-07-06
WO2019082048A1 (en) 2019-05-02
DE112018004249T5 (de) 2020-05-14
US10741560B2 (en) 2020-08-11

Similar Documents

Publication Publication Date Title
US9842835B1 (en) High density nanosheet diodes
US9570356B1 (en) Multiple gate length vertical field-effect-transistors
JP7427012B2 (ja) フィン形ブリッジ領域によって結合された垂直に積み重ねられたナノシートを有するトランジスタ・チャネル
US10043878B2 (en) Vertical field-effect-transistors having multiple threshold voltages
CN112201627A (zh) FinFET接触结构及其形成方法
CN101894793A (zh) 具有硅通孔的集成电路系统及其制造方法
US11355400B2 (en) Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10957799B2 (en) Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
AU2020423612B2 (en) Nanosheet transistor with self-aligned dielectric pillar
US11348999B2 (en) Nanosheet semiconductor devices with sigma shaped inner spacer
JP7304115B2 (ja) 半導体デバイス、半導体装置および半導体デバイス形成方法
US10937866B2 (en) Method and structure for forming silicon germanium FinFET
US10804262B2 (en) Cointegration of FET devices with decoupling capacitor
US20230178600A1 (en) Semiconductor Device Structure and Method for Forming the Same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20200415

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20200414

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210323

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220414

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220502

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220523

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220812

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221017

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230607

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20230607

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230622

R150 Certificate of patent or registration of utility model

Ref document number: 7304115

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150