KR102341726B1 - 반도체 소자 - Google Patents

반도체 소자 Download PDF

Info

Publication number
KR102341726B1
KR102341726B1 KR1020150018745A KR20150018745A KR102341726B1 KR 102341726 B1 KR102341726 B1 KR 102341726B1 KR 1020150018745 A KR1020150018745 A KR 1020150018745A KR 20150018745 A KR20150018745 A KR 20150018745A KR 102341726 B1 KR102341726 B1 KR 102341726B1
Authority
KR
South Korea
Prior art keywords
wiring
defect detection
contact plug
wirings
line
Prior art date
Application number
KR1020150018745A
Other languages
English (en)
Other versions
KR20160097436A (ko
Inventor
김선대
조윤래
백남규
이석현
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020150018745A priority Critical patent/KR102341726B1/ko
Priority to US14/985,379 priority patent/US9716048B2/en
Publication of KR20160097436A publication Critical patent/KR20160097436A/ko
Priority to US15/630,934 priority patent/US10923407B2/en
Application granted granted Critical
Publication of KR102341726B1 publication Critical patent/KR102341726B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체 소자를 제공한다. 반도체 소자는, 기판의 셀 영역에 배치되며 순차적으로 적층된 제1 배선 및 제2 배선을 포함하는 배선 구조물과, 기판의 주변 영역에 배치되며, 상기 제1 배선과 동일한 높이에 위치하는 제1 불량 검출 배선 및 상기 제2 배선과 동일한 높이에 위치하는 제2 불량 검출 배선을 포함하는 불량 검출 구조물을 포함한다.

Description

반도체 소자{SEMICONDUCTOR DEVICE}
본 발명은 반도체 소자에 관련된 것으로, 더욱 상세하게는 메모리 반도체 소자에 관련된 것이다.
반도체 소자는 소형화, 다기능화 및/또는 낮은 제조 단가 등의 특성들로 인하여 많은 전자 산업에서 사용되고 있다. 반도체 소자는 데이터를 저장하는 기억 소자, 데이터를 연산처리 하는 논리 소자, 및 다양한 기능을 동시에 수행할 수 있는 하이브리드(hybrid) 소자 등을 포함할 수 있다.
전자 산업이 고도로 발전함에 따라, 반도체 소자의 고집적화에 대한 요구가 점점 심화되고 있다. 또한, 전자 산업의 발전에 의하여 반도체 소자의 고속화에 대한 요구도 점점 심화되고 있다. 이러한 반도체 소자의 고집적화 및/또는 고속화에 대한 요구들을 충족시키기 위하여 다양한 연구들이 수행되고 있다.
본 발명이 이루고자 하는 일 기술적 과제는 고집적화 및 고속화에 적합한 반도체 소자를 제공하는데 있다.
본 발명이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
본 발명의 개념에 다른 일 실시예는 반도체 소자를 제공한다. 상기 반도체 소자는: 셀 영역 및 주변 영역을 포함하는 기판; 상기 셀 영역에 배치되며 순차적으로 적층된 제1 배선 및 제2 배선을 포함하는 배선 구조물; 및 상기 주변 영역에 배치되며, 상기 제1 배선과 동일한 높이에 위치하는 제1 불량 검출 배선 및 상기 제2 배선과 동일한 높이에 위치하는 제2 불량 검출 배선을 포함하는 불량 검출 구조물을 포함한다.
본 발명의 일 실시예에 따르면, 상기 배선 구조물은, 상기 셀 영역에서 상기 제1 및 제2 배선들 사이를 전기적으로 연결하는 제1 콘택 플러그를 더 포함할 수 있다.
본 발명의 다른 실시예에 따르면, 상기 불량 검출 구조물은, 상기 주변 영역에서 상기 제1 콘택 플러그와 동일한 높이에 위치하며, 상기 제1 및 제2 불량 검출 배선들 사이를 전기적으로 연결하는 제2 콘택 플러그를 더 포함할 수 있다.
본 발명의 또 다른 실시예에 따르면, 상기 배선 구조물은, 상기 셀 영역에서 상기 제1 및 제2 배선들 사이에 배치되는 제3 배선을 더 포함할 수 있다.
본 발명의 또 다른 실시예에 따르면, 상기 배선 구조물은 상기 제1 및 제3 배선들을 전기적으로 연결하는 제1 콘택 플러그와, 상기 제2 및 제3 배선들을 전기적으로 연결하는 제2 콘택 플러그를 더 포함하고, 상기 불량 검출 구조물은 상기 제1 및 제2 불량 검출 배선들을 전기적으로 연결하는 제3 콘택 플러그를 더 포함할 수 있다.
본 발명의 또 다른 실시예에 따르면, 상기 불량 검출 구조물은, 상기 주변 영역에서 상기 제3 배선과 실질적으로 동일한 높이에 위치하는 제3 불량 검출 배선을 더 포함할 수 있다.
본 발명의 또 다른 실시예에 따르면, 상기 배선 구조물은 상기 제1 및 제3 배선들을 전기적으로 연결하는 제1 콘택 플러그와, 상기 제2 및 제3 배선들을 전 기적으로 연결하는 제2 콘택 플러그를 더 포함하고, 상기 불량 검출 구조물은 상기 제1 및 제3 불량 검출 배선들 사이를 전기적으로 연결하는 제3 콘택 플러그와, 상기 제2 및 제3 불량 검출 배선들 사이를 전기적으로 연결하는 제4 콘택 플러그를 더 포함할 수 있다.
본 발명의 또 다른 실시예에 따르면, 상기 반도체 소자는: 평면적 관점에서, 상기 제2 불량 검출 배선은 양단이 열린 사각링 형상을 가지며, 상기 불량 검출 구조물은, 상기 제2 불량 검출 배선의 양단에 전기적으로 연결된 검출 패드들을 더 포함할 수 있다.
본 발명의 다른 실시예에 따르면, 평면적 관점에서, 상기 제1 불량 검출 배선은 상기 제2 불량 검출 배선과 대응되는 구조를 가질 수 있다.
본 발명의 개념에 따른 또 다른 실시예는 반도체 소자를 제공한다. 상기 반도체 소자는, 셀 영역 및 주변 영역을 포함하는 기판; 상기 기판의 셀 영역에 순차적으로 적층된 제1 배선, 제1 콘택 플러그, 제2 배선, 제2 콘택 플러그 및 제3 배선을 포함하는 배선 구조물; 상기 기판의 주변 영역에 순차적으로 적층된 제1 불량 검출 배선, 상기 제3 콘택 플러그, 제2 불량 검출 배선, 제4 콘택 플러그 및 제3 불량 검출 배선을 포함하는 불량 검출 구조물을 포함하되, 상기 제1 불량 검출 배선은 다수의 제1 패턴들을 포함하고, 상기 제2 불량 검출 배선은 상기 제1 패턴들과 교차하며 배치되는 다수의 제2 패턴들을 포함하고, 상기 제3 콘택 플러그는 상기 제1 및 제2 패턴들 사이를 전기적으로 연결한다.
본 발명의 개념에 따른 실시예들에 의하면, 불량 검출 구조물이 다층의 불량 검출 배선들을 포함함으로써, 최상부층에서 발생하는 불량뿐만 아니라 각각의 층에서 불량 검출이 가능하다. 또한, 두 개 사이의 불량 검출 배선들 사이를 다수의 콘택 플러그들이 연결함으로써 기판의 가장자리가 더욱 견고한 구조를 가질 수 있다.
도 1은 다수의 반도체 소자들이 형성된 웨이퍼를 설명하기 위한 평면도이다.
도 2는 본 발명의 실시예에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 3a 및 도 3b는 도 2의 반도체 소자를 I-I' 라인을 따라 절단한 단면도들이다.
도 4a 내지 도 4c는 도 2의 반도체 소자를 II-II' 라인을 따라 절단한 단면도들이다.
도 5a 및 도 5b는 본 발명의 실시예들에 따른 불량 검출 구조물들을 설명하기 위한 평면도들이다.
도 6a 내지 도 6h는 본 발명의 일 실시예에 따른 반도체 소자를 제조하는 방법을 설명하기 위한 단면도들이다.
도 7a 내지 도 7f는 본 발명의 다른 실시예에 따른 반도체 소자를 제조하는 방법을 설명하기 위한 단면도들이다.
도 8a는 본 발명의 실시예에 따른 반도체 패키지를 구비한 메모리 카드를 도시한 블록도이다.
도 8b는 본 발명의 실시예에 따른 반도체 패키지를 응용한 정보처리 시스템을 도시한 블록이다.
이상의 본 발명의 목적들, 다른 목적들, 특징들 및 이점들은 첨부된 도면과 관련된 이하의 바람직한 실시예들을 통해서 쉽게 이해될 것이다. 그러나 본 발명은 여기서 설명되는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예들은 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다.
본 명세서에서, 어떤 구성 요소가 다른 구성 요소 상에 있다고 언급되는 경우에 그것은 다른 구성요소 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 구성요소가 개재될 수도 있다는 것을 의미한다. 또한, 도면들에 있어서, 구성요소들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다.
본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 예를 들면, 직각으로 도시된 식각 영역은 라운드지거나 소정 곡률을 가지는 형태일 수 있다. 따라서, 도면에서 예시된 영역들은 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다. 본 명세서의 다양한 실시예들에서 제1, 제2 등의 용어가 다양한 구성요소들을 기술하기 위해서 사용되었지만, 이들 구성요소들이 이 같은 용어들에 의해서 한정되어서는 안 된다. 이들 용어들은 단지 어느 구성요소를 다른 구성요소와 구별시키기 위해서 사용되었을 뿐이다. 여기에 설명되고 예시되는 실시예들은 그것의 상보적인 실시예들도 포함한다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소는 하나 이상의 다른 구성요소의 존재 또는 추가를 배제하지 않는다.
이하, 도면들을 참조하여, 본 발명의 실시예들에 대해 상세히 설명하기로 한다.
도 1은 다수의 반도체 소자들이 형성된 웨이퍼를 설명하기 위한 평면도이고, 도 2는 본 발명의 실시예에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 3a 및 도 3b는 도 2의 반도체 소자를 I-I' 라인을 따라 절단한 단면도들이고, 도 4a 내지 도 4c는 도 2의 반도체 소자를 II-II' 라인을 따라 절단한 단면도들이다. 도 5a 및 도 5b는 본 발명의 실시예들에 따른 불량 검출 구조물들을 설명하기 위한 평면도들이다.
도 1을 참조하면, 웨이퍼(WF) 상에 다수의 반도체 소자(SCD)들이 배치될 수 있다. 열 및 행으로 배열된 상기 반도체 소자(SCD)들은 스크라이브 영역(scribe region, SCA)에 의해 서로 이격될 수 있다.
상기 반도체 소자(SCD)들 각각은 소잉(sawing) 공정을 통해 서로 분리될 수 있다. 이때, 상기 소잉 공정은 상기 웨이퍼(WF) 스크라이브 영역(SCA)을 따라 수행될 수 있다.
도 2, 도 3a, 도 3b, 도 4a, 도 4b 및 도 4c를 참조하면, 상기 분리된 반도체 소자(SCD)들 각각은 셀 영역(CEL) 및 주변 영역(PER)을 포함하는 기판(100), 상기 기판(100)의 셀 영역(CEL)에 배치된 배선 구조물(LS), 및 상기 기판(100)의 주변 영역(PER)에 배치되는 불량 검출 구조물(DDS)을 포함할 수 있다. 상기 불량 검출 구조물(DDS)은 도 1에서 소잉 공정을 수행하는 동안 칩핑(chipping) 불량이 발생할 경우, 이를 검출할 수 있다. 검출 방법에 대하여 이하에서 설명하기로 한다.
상기 기판(100)은 실리콘, 게르마늄 또는 실리콘/게르마늄과 같은 반도체 기판(100)이거나, SOI(silicon on insulator) 기판(100) 또는 GOI(germanium on insulator) 기판(100)일 수 있다.
상기 배선 구조물(LS)은 다층의 배선들(112, 136, 152)을 포함할 수 있다. 일 예로, 상기 배선 구조물(LS)은 순차적으로 수직 적층된, 제1 절연막(110) 내에 배치되는 제1 배선들(112)과, 제2 절연막(130) 내에 배치되는 제2 배선들(136)과, 제3 절연막(150) 내에 배치되는 제3 배선들(152)을 포함할 수 있다. 도 2에 도시된 바와 같이 평면적 관점에서, 상기 제3 배선들(152)은 중앙에 라인형 제3 배선(152C)과, 상기 라인형 제3 배선(152C)을 둘러싸는 사각링 형상의 나머지 제3 배선들(152R)이 배치되는 구조를 가질 수 있다. 상기 제2 배선들(136) 및 제1 배선들(112)은 상기 제3 배선들(152)과 동일한 구조를 가질 수도 있고, 상이한 구조를 가질 수도 있다. 상기 제1 내지 제3 절연막들(110, 130, 150)은 상기 셀 영역(CEL)에서 상기 주변 영역(PER)을 걸쳐 형성될 수 있다.
또한, 상기 배선 구조물(LS)은 상기 제1 및 제2 배선들(112, 136) 사이를 전기적으로 연결하는 제1 콘택 플러그(126)와, 상기 제2 및 제3 배선들(136, 152)을 사이를 전기적으로 연결하는 제2 콘택 플러그(142)를 더 포함할 수 있다. 상기 제1 콘택 플러그(126)는 상기 제1 및 제2 절연막들(110, 130) 사이의 제1 층간 절연막(120)을 관통하며, 상기 제2 콘택 플러그(142)는 상기 제2 및 제3 절연막들(130, 150) 사이의 제2 층간 절연막(140)을 관통할 수 있다. 상기 제1 및 제2 층간 절연막들(120, 140)은 상기 셀 영역(CEL)에서 상기 주변 영역(PER)을 걸쳐 형성될 수 있다.
본 실시예에서는 상기 배선 구조물(LS)의 배선들의 수량을 각각 3개로 한정하고 있으나, 본 발명에서 상기 배선 구조물(LS)의 배선들의 수량을 3개로 한정하는 것은 아니다. 또한, 상세하게 도시되지는 않았으나, 상기 반도체 소자(SCD)가 DRAM(dynamic random access memory)일 경우, 상기 기판(100)의 셀 영역(CEL)에는 트랜지스터, 비트 라인 및 커패시터 등의 하부 구조물(BS)을 포함할 수 있다. 상기 셀 영역(CEL)에는 상기 하부 구조물(BS)과 상기 배선 구조물(LS)을 전기적으로 연결하는 추가 콘택 플러그(도시되지 않음)를 더 포함할 수 있다. 상기 반도체 소자(SCD)를 DRAM으로 예시적으로 설명하고 있으나, 상기 반도체 소자(SCD)는 SRAM(static random access memory), PRAM(phase changeable access memory), MRAM(magnetic random access memory) 및 플래시 메모리(flash memory)과 같은 다양한 메모리 소자 중 하나를 포함할 수 있다.
상기 불량 검출 구조물(DDS)은 다층의 불량 검출 배선들(114, 138, 154)을 포함할 수 있다. 전술한 바와 같이 상기 불량 검출 구조물(DDS)은 소잉 공정 중 칩핑 불량을 검출하는데 사용되는데, 상기 불량 검출 구조물(DDS)의 다층의 불량 검출 배선들을 포함함으로써 최상부층뿐만 아니라 각각의 층에서 불량 검출이 가능하다.
이하에서 상기 불량 검출 구조물(DDS)을 수직적 구조 및 수평적 구조에 대한 다양한 실시예들을 설명하기로 한다.
우선, 상기 불량 검출 구조물(DDS)의 수직적 구조를 도 3a 및 도 3b를 참조하여 살펴보기로 한다.
도 3a에서 도시된 일 실시예에 따르면, 상기 불량 검출 구조물(DDS)은 순차적으로 수직 적층된, 상기 제1 절연막(110) 내에 배치되는 제1 불량 검출 배선(114), 상기 제2 절연막(130) 내에 배치되는 제2 불량 검출 배선(138) 및 상기 제3 절연막(150) 내에 배치되는 제3 불량 검출 배선(154)을 포함할 수 있다. 도 2에 도시된 바와 같이 평면적 관점에서, 상기 제3 불량 검출 배선(154)은 상기 제3 배선들(152)을 감싸는 구조를 가지며, 상기 제3 불량 검출 배선(154)의 일 단 및 타 단 각각에 불량 검출 패드들(160, 162)이 배치될 수 있다. 간략하게 설명하면, 상기 제3 불량 검출 배선(154)의 일 단 및 타 단의 불량 검출 패드들(160, 162)을 이용하여 상기 제3 불량 검출 배선(154)의 도통 테스트를 수행할 수 있다. 상기 제3 불량 검출 배선(154)이 도통하는 경우, 칩핑 불량이 발생하지 않은 것으로 판단하며 상기 제3 불량 검출 배선(154)이 도통하지 않은 경우, 칩핑 불량이 발생한 것을 판단할 수 있다.
도 3a를 참조하면, 상기 불량 검출 구조물(DDS)은 상기 제1 및 제2 불량 검출 배선들(114, 138) 사이를 전기적으로 연결하는 제1 콘택 플러그(128)와, 상기 제2 및 제3 불량 검출 배선들(138, 154) 사이를 전기적으로 연결하는 제2 콘택 플러그(144)를 더 포함할 수 있다. 상기 불량 검출 구조물(DDS)의 상기 제1 콘택 플러그(128)는 상기 제1 층간 절연막(120)을 관통하며, 상기 불량 검출 구조물(DDS)의 상기 제2 콘택 플러그(144)는 상기 제2 층간 절연막(140)을 관통할 수 있다. 본 발명의 일 실시예에 따르면, 상기 셀 영역(CEL)의 제1 배선(112)과 상기 주변 영역(PER)의 제1 불량 검출 배선(114)은 동일한 공정 단계에서 형성되며, 동일한 높이에서 형성될 수 있다. 또한, 상기 셀 영역(CEL)의 배선 구조물(LS)의 제1 콘택 플러그(126)와 상기 불량 검출 구조물(DDS)의 제1 콘택 플러그(128)는 동일한 공정 단계에서 형성되며, 동일한 높이에서 형성될 수 있다. 상기 셀 영역(CEL)의 제2 배선(136)과 상기 주변 영역(PER)의 제2 불량 검출 배선(138)은 동일한 공정 단계에서 형성되며, 동일한 높이에서 형성될 수 있다. 또한, 상기 셀 영역(CEL)의 배선 구조물(LS)의 제2 콘택 플러그(142)와 상기 불량 검출 구조물(DDS)의 제2 콘택 플러그(144)는 동일한 공정 단계에서 형성되며, 동일한 높이에서 형성될 수 있다. 상기 셀 영역(CEL)의 제3 배선(152)과 상기 주변 영역(PER)의 제3 불량 검출 배선(154)은 동일한 공정 단계에서 형성되며, 동일한 높이에서 형성될 수 있다.
도 3b에 도시된 다른 실시예에 따르면, 상기 불량 검출 구조물(DDS)은, 상기 배선 구조물(LS)의 최하단 배선과 최상단 배선에 각각 대응되는 최하단 불량 검출 배선 및 최상단 불량 검출 배선을 포함할 수 있다. 예를 들어 설명하면, 상기 배선 구조물(LS)이 제1 내지 제3 배선들(112, 136, 152)로 구성된 경우, 상기 불량 검출 구조물(DDS)은 최하단 배선(제1 배선, 112)에 대응되는 제1 불량 검출 배선(114)과, 최상단 배선(제3 배선, 152)에 대응되는 제3 불량 검출 배선(154)을 포함할 수 있다. 이 경우, 상기 불량 검출 구조물(DDS)은 상기 제1 및 제3 불량 검출 배선들(114, 154)을 전기적으로 연결하는 통합 콘택 플러그(145)를 더 포함할 수 있다. 상기 통합 콘택 플러그(145)는 상기 제1 층간 절연막(120), 상기 제2 절연막(130) 및 상기 제2 층간 절연막(140)을 관통할 수 있다.
이제, 상기 불량 검출 구조물(DDS)의 수평적 구조를 도 4a 내지 도 4c를 참조하여 설명하기로 한다. 이하에서는 상기 불량 검출 구조물(DDS)을 도 3a의 수직적 구조를 갖는 불량 검출 구조물(DDS)을 대표하여 설명하기로 한다.
도 4a에 도시된 일 실시예에 따르면, 상기 제1 내지 제3 불량 검출 배선들(114, 138, 154) 각각은 선형적 구조를 가질 수 있다. 도 2 및 도 4a를 참조하면, 상기 제1 내지 제3 불량 검출 배선들(114, 138, 154) 각각은 상기 배선 구조물(LS)을 감싸는 열린 사각링 형상을 가질 수 있다. 상기 제1 내지 제3 불량 검출 배선들(114, 138, 154) 각각은 4개의 변들으로 구성될 수 있다. 일 예로, 상기 불량 검출 구조물(DDS)의 제1 콘택 플러그(128) 및 제2 콘택 플러그(144)는 각각 하나일 수 있다. 다른 예로, 상기 불량 검출 구조물(DDS)의 제1 콘택 플러그(128) 및 제2 콘택 플러그(144) 각각은 다수 개일 수 있으며, 예컨대, 상기 4개의 변들에 하나씩 배치되어 4개의 제1 및 제2 콘택 플러그들(128, 144)을 포함할 수 있다.
도 4b에 도시된 다른 실시예에 따르면, 상기 제1 불량 검출 배선(114)은 서로 수평적으로 이격된 제1 패턴들(114a, 114b)을 포함할 수 있다. 상기 제2 불량 검출 배선(138)은 서로 수평적으로 이격된 제2 패턴들(138a, 138b)을 포함할 수 있다. 상기 제1 패턴들(114a, 114b) 및 상기 제2 패턴들(138a, 138b)은 서로 교차하며 배치될 수 있다. 상기 제3 불량 검출 배선(154)은 선형적 구조로 상기 배선 구조물(LS)을 감싸는 열린 사각링 형상을 가질 수 있다. 또한, 상기 불량 검출 구조물(DDS)의 제1 콘택 플러그(128a, 128b)는 다수 개일 수 있다. 일 측면에 따르면, 상기 제1 패턴(114a) 상에 두 개의 제1 콘택 플러그들(128a, 128b)은 서로 다른 제2 패턴들(138a, 138b)과 각각 전기적으로 연결될 수 있다. 상기 불량 검출 구조물(DDS)의 제2 콘택 플러그(144)는 하나 또는 다수 개일 수 있다. 상기 제2 콘택 플러그들(144)이 다수 개인 경우, 상기 제3 불량 검출 배선(154)의 4개의 변들에 각각 배치될 수 있다. 상기 다수의 제1 콘택 플러그들(128a, 128b)이 상기 제1 및 제2 패턴들(114a, 114b, 138a, 138b) 사이를 연결함으로써, 상기 기판(100)의 가장자리(PER) 부분이 견고한 구조를 가질 수 있다.
도 4c를 참조하면, 상기 제1 내지 제3 불량 검출 배선들(114, 138, 154)의 구조는 도 4b에서 설명된 것과 유사하며, 다만, 상기 불량 검출 구조물(DDS)의 제2 콘택 플러그들(144a, 144b)이 상기 제1 콘택 플러그들(128a, 128b)과 대응되는 위치에 배치될 수 있다.
도 5a 및 도 5b는 상기 불량 검출 구조물(DDS)의 제3 불량 검출 배선(154)을 설명하기 위한 평면도들이다. 도 5a 및 도 5b를 참조하면, 상기 제3 불량 검출 배선(154)은 적어도 두 개의 변들을 감싸는 제3 패턴들(154a, 154b 및/또는 154c, 154d)을 포함할 수 있다. 상기 제3 패턴들(154a, 154b 및/또는 154c, 154d) 각각의 일 단 및 타 단에는 불량 검출 패드들(160a, 160b, 162a, 162b 및/또는 164a, 164b, 166a, 166b)이 전기적으로 연결될 수 있다. 도 5a의 일 예에서, 상기 제3 불량 검출 배선(154)은 두 개의 제3 패턴들(154a, 154b)을 포함하며, 서로 마주하며 대응되는 구조를 가질 수 있다. 도 5b의 다른 예에서, 상기 제3 불량 검출 배선(154)은 네 개의 제3 패턴들(154a, 154b, 154c, 154d)을 포함하며, 마주하는 두 개의 제3 패턴들(154a, 154d 또는 154b, 154c)은 대응되는 구조를 가질 수 있다. 본 실시예에서는 2개 및 4개의 제3 패턴들(154a, 154b 및/또는 154c, 154d)을 도시하였으나, 본 발명에서 상기 제3 패턴들(154a, 154b 및/또는 154c, 154d)의 수량을 이로 한정하는 것은 아니다.
이하에서는 상기 반도체 소자(SCD)를 제조하는 방법에 대하여 설명하기로 한다. 도 2 및 도 3a에 도시된 반도체 소자(SCD)를 실시예로서 설명하기로 한다.
도 6a 내지 도 6h는 본 발명의 일 실시예에 따른 반도체 소자(SCD)를 제조하는 방법을 설명하기 위한 단면도들이다.
도 6a를 참조하면, 기판(100) 상에 하부 구조물(BS)을 형성할 수 있다.
상기 기판(100)은 셀 영역(CEL) 및 주변 영역(PER)을 포함할 수 있다. 상기 하부 구조물(BS)은 상기 기판(100)의 셀 영역(CEL)에 형성될 수 있다. 완성되는 반도체 소자(SCD)가 DRAM일 경우, 상기 하부 구조물(BS)은 트랜지스터, 비트 라인 및 커패시터 등을 포함할 수 있다. 상기 하부 구조물(BS) 상에 제1 절연막(105)을 형성할 수 있다.
도 6b를 참조하면, 상기 제1 절연막(105) 상에 제1 배선들(112) 및 제1 불량 검출 배선(114)을 형성할 수 있다. 상기 제1 배선들(112)은 상기 셀 영역(CEL)에 형성되며 상기 제1 불량 검출 배선(114)은 상기 주변 영역(PER)에 형성될 수 있다.
이하에서, 상기 제1 배선들(112) 및 상기 제1 불량 검출 배선(114)을 형성하는 공정을 간략하게 설명하기로 한다.
일 예로, 상기 제1 절연막(105) 상에 제1 도전막(도시되지 않음)을 형성할 수 있다. 상기 제1 도전막은 폴리실리콘을 포함할 수 있다. 상기 제1 도전막은 폴리실리콘을 포함할 수 있다. 상기 제1 도전막을 식각하여 상기 셀 영역(CEL)에 제1 배선들(112) 및 상기 주변 영역(PER)에 상기 제1 불량 검출 배선(114)을 형성할 수 있다. 이어서, 상기 제1 배선들(112) 및 상기 제1 불량 검출 배선(114)을 덮는 제2 절연막(110)을 형성할 수 있다. 상기 제1 배선들(112) 및 상기 제1 불량 검출 배선(114)의 상부면이 노출될 때까지 상기 제2 절연막(110)의 상부를 연마할 수 있다.
다른 예로, 상기 제1 절연막(105) 상에 제1 개구들(도시되지 않음) 및 제2 개구(도시되지 않음)를 포함하는 제2 절연막(110)을 형성할 수 있다. 상기 제1 개구들은 상기 셀 영역(CEL)에 형성되며 상기 제2 개구는 상기 주변 영역(PER)에 형성될 수 있다. 상기 제1 및 제2 개구들을 도전물로 매립하여 상기 제1 배선들(112) 및 상기 제1 불량 검출 배선(114)을 형성할 수 있다. 상기 도전물은 폴리실리콘이나, 텅스텐 또는 구리와 같은 금속을 포함할 수 있다.
도 6c를 참조하면, 상기 제2 절연막(110) 상에 상기 제1 배선들(112)을 각각 노출시키는 제1 콘택 홀들(122) 및 상기 제1 불량 검출 배선(114)을 노출시키는 제2 콘택 홀(124)을 포함하는 제3 절연막(120)을 형성할 수 있다.
도 6d를 참조하면, 상기 제1 및 제2 콘택 홀들(122, 124)을 도전물로 매립하여 제1 콘택 플러그들(126) 및 제2 콘택 플러그(128)를 형성할 수 있다. 상기 도전물은 폴리실리콘이나, 텅스텐 또는 구리와 같은 금속을 포함할 수 있다. 상기 제1 콘택 플러그들(126)은 상기 셀 영역(CEL)에 형성되며, 상기 제2 콘택 플러그(128)는 주변 영역(PER)에 형성될 수 있다.
도 6e를 참조하면, 상기 제3 절연막(120) 상에 상기 제1 콘택 플러그들(126)을 노출시키는 제3 개구들(132)과, 상기 제2 콘택 플러그(128)를 노출시키는 제4 개구(134)를 포함하는 제4 절연막(130)을 형성할 수 있다.
도 6f를 참조하면, 상기 제3 및 제4 개구들(132, 134)을 도전물로 매립하여, 상기 셀 영역(CEL)에 제2 배선들(136) 및 상기 주변 영역(PER)에 제2 불량 검출 배선(138)을 각각 형성할 수 있다. 상기 도전물은 폴리실리콘이나, 텅스텐 또는 구리와 같은 금속을 포함할 수 있다.
도 6g를 참조하면, 상기 제4 절연막(130) 상에, 제5 절연막(140), 제3 콘택 플러그들(142) 및 제4 콘택 플러그(144)를 형성할 수 있다. 상기 제3 콘택 플러그들(142)은 상기 셀 영역(CEL)에 형성되며 상기 제4 콘택 플러그(144)는 상기 주변 영역(PER)에 형성될 수 있다. 상기 제3 및 제4 콘택 플러그들(142, 144)을 형성하는 공정은 도 6c 및 6d에서 설명된 것과 실질적으로 동일하여 그 상세한 설명을 생략하기로 한다.
도 6h를 참조하면, 상기 제5 절연막(140) 상에, 제6 절연막(150), 제3 배선들(152) 및 제3 불량 검출 배선(154)을 형성할 수 있다. 상기 제3 배선들(152)은 상기 셀 영역(CEL)에 형성되며, 상기 제3 불량 검출 배선(154)은 상기 주변 영역(PER)에 형성될 수 있다. 상기 제3 배선들(152) 및 상기 제3 불량 검출 배선(154)을 형성하는 공정은 도 6e 및 도 6f에 설명된 것과 실질적으로 동일하여 그 상세한 설명을 생략하기로 한다.
도 7a 내지 도 7f는 본 발명의 다른 실시예에 따른 반도체 소자(SCD)를 제조하는 방법을 설명하기 위한 단면도들이다.
도 7a를 참조하면, 셀 영역(CEL) 및 주변 영역(PER)을 포함하는 기판(100) 상에 하부 구조물(BS), 제1 절연막(105), 제1 배선(112), 제1 불량 검출 배선(114) 및 제2 절연막(110)을 형성할 수 있다. 상기 기판(100) 상에 상기 하부 구조물(BS), 상기 제1 절연막(105), 상기 제1 배선(112), 상기 제1 불량 검출 배선(114) 및 상기 제2 절연막(110)을 형성하는 공정은 도 6a 내지 도 6c에서 설명된 것과 실질적으로 동일한 생략하기로 한다.
이어서, 상기 제2 절연막(110) 상에 제3 절연막(120), 제1 식각 저지막(116) 및 제1 마스크 패턴(118)을 형성할 수 있다. 상기 제1 식각 저지막(116)은 상기 제3 절연막(120)과 일 에천트에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 예컨대, 상기 제1 식각 저지막(116)이 질화물을 포함하면, 상기 제3 절연막(120)은 산화물을 포함할 수 있다.
상기 제1 마스크 패턴(118)을 식각 마스크로 사용하여 상기 제1 식각 저지막(116) 및 상기 제3 절연막(120)을 식각하여, 제1 콘택 홀들(122) 및 제2 콘택 홀(124)을 형성할 수 있다. 상기 제1 콘택 홀들(122)은 상기 셀 영역(CEL)에 형성되고, 상기 제2 콘택 홀(124)은 상기 주변 영역(PER)에 형성될 수 있다. 상기 식각 공정 후, 상기 제1 마스크 패턴(118)은 제거될 수 있다.
도 7b를 참조하면, 상기 제1 콘택 홀들(122) 및 제2 콘택 홀(124)을 매립하며 상기 제3 절연막(120) 상에 제4 절연막(130)을 형성하며, 상기 제4 절연막(130) 상에 제2 마스크 패턴(119)을 형성할 수 있다.
도 7c를 참조하면, 상기 제2 마스크 패턴(119)을 식각 마스크로 사용하여 상기 제4 절연막(130)을 식각하여, 상기 제1 콘택 홀들(122)과 연통하는 제1 개구들(132)과, 상기 제2 콘택 홀(124)과 연통하는 제2 개구(134)를 형성할 수 있다. 상기 식각 공정을 수행하는 동안 상기 제1 식각 저지막(116)에 의해 상기 제3 절연막(120)은 식각되지 않을 수 있다. 상기 식각 공정 후, 상기 제2 마스크 패턴(119)을 제거될 수 있다.
도 7d를 참조하면, 상기 제1 콘택 홀들(122), 제1 개구들(132), 제2 콘택 홀(124) 및 제2 개구(134)를 도전물로 매립하여, 제1 콘택 플러그들(126), 제2 배선들(136), 제2 콘택 플러그(128) 및 제2 불량 검출 배선(138)을 형성할 수 있다. 상기 제1 콘택 플러그들(126) 및 상기 제2 배선들(136) 각각은 서로 연결된 일체이며 상기 셀 영역(CEL)에 형성될 수 있다. 상기 제2 콘택 플러그(128) 및 상기 제2 불량 검출 배선(138)은 서로 연결된 일체이며 상기 주변 영역(PER)에 형성될 수 있다.
도 7e를 참조하면, 상기 제4 절연막(130) 상에 제3 콘택 홀들(141) 및 제4 콘택 홀(143)을 포함하는 제5 절연막(140)과, 상기 제3 콘택 홀들(141)과 연통하는 제3 개구들(151) 및 상기 제4 콘택 홀(143)과 연통하는 제4 개구(153)를 포함하는 제6 절연막(150)을 형성할 수 있다. 일 예로, 상기 제5 절연막(140) 및 제6 절연막(150) 사이에 제2 식각 저지막(117)이 더 형성될 수 있다.
상기 제3 및 제4 콘택 홀들(141, 143)을 포함하는 제5 절연막(140) 및 상기 제3 및 제4 개구들(151, 153)을 포함하는 제6 절연막(150)을 형성하는 공정은 도 7a 및 도 7b에서 설명된 것과 실질적으로 동일하여 그 상세한 설명을 생략하기로 한다.
도 7f를 참조하면, 상기 제3 콘택 홀들(141), 제3 개구들(151), 제4 콘택 홀(143) 및 제4 개구(153)를 도전물로 매립하여, 제3 콘택 플러그들(142), 제3 배선들(152), 제4 콘택 플러그(144) 및 제3 불량 검출 배선(154)을 형성할 수 있다. 상기 제3 콘택 플러그들(142) 및 상기 제3 배선들(152) 각각은 서로 연결된 일체이며 상기 셀 영역(CEL)에 형성될 수 있다. 상기 제4 콘택 플러그(144) 및 상기 제3 불량 검출 배선(154)은 서로 연결된 일체이며 상기 주변 영역(PER)에 형성될 수 있다.
도 8a는 본 발명의 실시예에 따른 반도체 패키지를 구비한 메모리 카드를 도시한 블록도이다.
도 8a를 참조하면, 상술한 본 발명의 실시예에 따른 반도체 소자는 메모리 카드(300)에 응용될 수 있다. 일례로, 메모리 카드(300)는 호스트와 메모리(310) 간의 제반 데이터 교환을 제거하는 메모리 컨트롤러(320)를 포함할 수 있다. 에스램(322)은 중앙처리장치(324)의 동작 메모리로서 사용될 수 있다. 호스트 인터페이스(326)는 메모리 카드(300)와 접속되는 호스트의 데이터 교환 프로토콜을 구비할 수 있다. 오류 수정 코드(328)는 메모리(310)로부터 독출된 데이터에 포함되는 오류를 검출 및 정정할 수 있다. 메모리 인터페이스(330)는 메모리(310)와 인터페이싱한다. 중앙처리장치(324)는 메모리 컨트롤러(320)의 데이터 교환을 위한 제반 제어 동작을 수행한다.
도 8b는 본 발명의 실시예에 따른 반도체 패키지를 응용한 정보처리 시스템을 도시한 블록이다.
도 8b를 참조하면, 정보 처리 시스템(400)은 본 발명의 실시예에 따른 반도체 메모리 소자를 포함할 수 있다. 정보 처리 시스템(400)은 모바일 기기나 컴퓨터 등을 포함할 수 있다. 일례로, 정보 처리 시스템(400)은 메모리 시스템(410)과 각각 시스템 버스(460)에 전기적으로 연결된 모뎀(420), 중앙처리장치(430), 램(440), 유저인터페이스(450)를 포함할 수 있다. 메모리 시스템(410)에는 중앙처리장치(430)에 의해서 처리된 데이터 또는 외부에서 입력된 데이터가 저장될 수 있다. 메모리 시스템(410)은 메모리(412)와 메모리 컨트롤러(414)를 포함할 수 있으며, 도 8a를 참조하여 설명한 메모리 카드(300)와 실질적으로 동일하게 구성될 수 있다. 정보 처리 시스템(400)은 메모리 카드, 반도체 디스크 장치(Solid State Disk), 카메라 이미지 프로세서(Camera Image Sensor) 및 그 밖의 응용 칩셋(Application Chipset)으로 제공될 수 있다. 일례로, 메모리 시스템(410)은 반도체 디스크 장치(SSD)로 구성될 수 있으며, 이 경우 정보 처리 시스템(400)은 대용량의 데이터를 메모리 시스템(410)에 안정적으로 그리고 신뢰성 있게 저장할 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징으로 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
100: 기판
105, 110, 120, 130, 140, 150: 절연막
112, 136, 152: 배선들
114, 138, 154: 불량 검출 배선들
126, 142: 배선 구조물의 콘택 플러그들
128, 144: 불량 검출 구조물의 콘택 플러그들
CEL: 셀 영역
PER: 주변 영역
LS: 배선 구조물
DDS: 불량 검출 구조물
BS: 하부 구조물

Claims (10)

  1. 셀 영역 및 주변 영역을 포함하는 기판;
    상기 기판의 셀 영역에 배치되는 하부 구조물;
    상기 셀 영역에 배치되며 순차적으로 적층된 제1 배선 및 제2 배선을 포함하는 배선 구조물; 및
    상기 주변 영역에 배치되며, 상기 제1 배선과 동일한 높이에 위치하는 제1 불량 검출 배선 및 상기 제2 배선과 동일한 높이에 위치하는 제2 불량 검출 배선을 포함하는 불량 검출 구조물을 포함하고,
    상기 제2 배선은:
    라인 배선;
    상기 라인 배선을 둘러싸는 제1 링 배선;
    상기 제1 링 배선을 둘러싸는 제2 링 배선;
    상기 제2 링 배선을 둘러싸는 제3 링 배선; 및
    상기 제3 링 배선을 둘러싸는 제4 링 배선을 포함하고,
    상기 제1 내지 제4 링 배선들 중 적어도 하나는 상기 하부 구조물과 중첩되고,
    상기 하부 구조물은 트랜지스터 또는 커패시터이고,
    평면적 관점에서, 상기 제2 불량 검출 배선은 상기 배선 구조물을 둘러싸되 일부가 열린 사각링 형태를 가지고, 상기 불량 검출 구조물은 상기 제2 불량 검출 배선의 일단에 연결된 제1 검출 패드와 상기 제2 불량 검출 배선의 타단에 연결되며 상기 제1 검출 패드와 이격된 제2 검출 패드를 더 포함하는 반도체 소자.
  2. 제1항에 있어서,
    상기 배선 구조물은, 상기 셀 영역에서 상기 제1 및 제2 배선들 사이를 전기적으로 연결하는 제1 콘택 플러그를 더 포함하고,
    상기 불량 검출 구조물은, 상기 주변 영역에서 상기 제1 콘택 플러그와 동일한 높이에 위치하며, 상기 제1 및 제2 불량 검출 배선들 사이를 전기적으로 연결하는 제2 콘택 플러그를 더 포함하는 반도체 소자.
  3. 제2항에 있어서,
    상기 제1 배선과 상기 제1 검출 배선을 덮는 제1 층간절연막;
    상기 제1 층간절연막 상의 제1 식각저지막; 및
    상기 제1 식각 저지막 상의 제2 층간절연막을 더 포함하되,
    상기 제1 콘택 플러그와 상기 제2 콘택 플러그는 각각 상기 제1 식각 저지막과 상기 제1 층간절연막을 관통하고,
    상기 제2배선과 상기 제2 불량 검출 배선의 상부면들은 상기 제2 층간절연막의 상부면과 공면을 이루며,
    상기 제1 콘택 플러그와 상기 제2 배선은 일체형으로 이루어지고,
    상기 제2 콘택 플러그와 상기 제2 불량 검출 배선은 일체형으로 이루어지는 반도체 소자.
  4. 제1항에 있어서,
    상기 배선 구조물은, 상기 셀 영역에서 상기 제1 및 제2 배선들 사이에 배치되는 제3 배선, 상기 제1 및 제3 배선들을 전기적으로 연결하는 제1 콘택 플러그, 및 상기 제2 및 제3 배선들을 전기적으로 연결하는 제2 콘택 플러그를 더 포함하고,
    상기 불량 검출 구조물은 상기 주변 영역에서 상기 제1 및 제2 불량 검출 배선들 사이에 배치되는 제3 불량 검출 배선, 상기 제1 및 제3 불량 검출 배선들을 전기적으로 연결하는 제3 콘택 플러그, 및 상기 제2 및 제3 불량 검출 배선들을 전기적으로 연결하는 제4 콘택 플러그를 더 포함하는 반도체 소자.
  5. 제4항에 있어서,
    상기 제1 배선과 상기 제1 검출 배선을 덮는 제1 층간절연막; 및
    상기 제1 층간절연막 상에 차례로 적층된 제1 식각저지막, 제2 층간절연막, 제3 층간절연막, 제2 식각저지막 및 제4 층간절연막을 더 포함하되,
    상기 제1 콘택 플러그와 상기 제3 콘택 플러그는 각각 상기 제1 식각 저지막과 상기 제1 층간절연막을 관통하고,
    상기 제2 콘택 플러그와 상기 제4 콘택 플러그는 각각 상기 제2 식각 저지막과 상기 제3 층간절연막을 관통하고,
    상기 제3배선과 상기 제3 불량 검출 배선의 상부면들은 상기 제2 층간절연막의 상부면과 공면을 이루며,
    상기 제2배선과 상기 제2 불량 검출 배선의 상부면들은 상기 제4 층간절연막의 상부면과 공면을 이루며,
    상기 제1 콘택 플러그와 상기 제3 배선은 일체형으로 이루어지고,
    상기 제3 콘택 플러그와 상기 제3 불량 검출 배선은 일체형으로 이루어지고,
    상기 제2 콘택 플러그와 상기 제2 배선은 일체형으로 이루어지고,
    상기 제4 콘택 플러그와 상기 제2 불량 검출 배선은 일체형으로 이루어지는 반도체 소자.
  6. 제1 항에 있어서,
    상기 제2 불량 검출 배선은 제1 방향으로 제1 폭을 가지고,
    상기 제1 내지 제4 링 배선들은 각각 상기 제1 방향으로 제2 폭을 가지고,
    상기 제4 링 배선의 제2 폭은 상기 제1 폭보다 큰 반도체 소자.
  7. 제1항에 있어서,
    상기 배선 구조물은 상기 셀 영역에서 상기 제1 및 제2 배선들 사이에 배치되는 제3 배선, 상기 제1 및 제3 배선들을 전기적으로 연결하는 제1 콘택 플러그, 및 상기 제2 및 제3 배선들을 전기적으로 연결하는 제2 콘택 플러그를 더 포함하고,
    상기 불량 검출 구조물은 상기 제1 및 제2 불량 검출 배선들 사이를 전기적으로 연결하는 제3 콘택 플러그를 더 포함하되,
    상기 제3 콘택 플러그의 수직 길이는 상기 제1 콘택 플러그의 수직 길이보다 큰 반도체 소자.
  8. 제1항에 있어서,
    상기 배선 구조물은, 상기 셀 영역에서 상기 제1 및 제2 배선들 사이에 배치되는 제3 배선, 상기 제1 및 제3 배선들을 전기적으로 연결하는 제1 콘택 플러그, 및 상기 제2 및 제3 배선들을 전기적으로 연결하는 제2 콘택 플러그를 더 포함하고,
    상기 제1 불량 검출 배선은 복수개로 제공되고,
    상기 제1 불량 검출 배선들과 상기 제1 배선은 모두 제1 높이에 배치되고,
    상기 불량 검출 구조물은 상기 주변 영역에서 상기 제1 및 제2 불량 검출 배선들 사이에 배치되는 제3 불량 검출 배선들, 상기 제1 및 제3 불량 검출 배선들을 전기적으로 연결하는 제3 콘택 플러그들, 및 상기 제2 및 제3 불량 검출 배선들을 전기적으로 연결하는 제4 콘택 플러그를 더 포함하고,
    상기 제3 불량 검출 배선들과 상기 제3 배선은 모두 상기 제1 높이보다 높은 제2 높이에 위치하고,
    상기 제3 불량 검출 배선들과 상기 제1 불량 검출 배선들은 각각 단부들이 서로 중첩되도록 교차하며 배치되고,
    상기 제2 불량 검출 배선은 상기 제1 및 제3 불량 검출 배선들 모두와 중첩되는 반도체 소자.
  9. 제1항에 있어서,
    평면적 관점에서, 상기 제1 불량 검출 배선은 상기 제2 불량 검출 배선과 대응되는 구조를 갖는 반도체 소자.
  10. 셀 영역 및 주변 영역을 포함하는 기판;
    상기 기판의 셀 영역에 배치되는 하부 구조물;
    상기 기판의 셀 영역에 순차적으로 적층된 제1 배선, 제1 콘택 플러그, 제2 배선, 제2 콘택 플러그 및 제3 배선을 포함하는 배선 구조물; 및
    상기 기판의 주변 영역에 순차적으로 적층된 제1 불량 검출 배선, 제3 콘택 플러그, 제2 불량 검출 배선, 제4 콘택 플러그 및 제3 불량 검출 배선들을 포함하는 불량 검출 구조물을 포함하되,
    상기 제3 배선은:
    라인 배선;
    상기 라인 배선을 둘러싸는 제1 링 배선;
    상기 제1 링 배선을 둘러싸는 제2 링 배선;
    상기 제2 링 배선을 둘러싸는 제3 링 배선; 및
    상기 제3 링 배선을 둘러싸는 제4 링 배선을 포함하고,
    상기 제1 내지 제4 링 배선들 중 적어도 하나는 상기 하부 구조물과 중첩되고,
    상기 하부 구조물은 트랜지스터 또는 커패시터이고,
    평면적 관점에서, 상기 제3 불량 검출 배선들은 서로 이격되되 상기 배선 구조물을 둘러싸고,
    상기 제3 배선은 상기 제3 불량 검출 배선들과 동일 높이에 위치하고,
    상기 불량 검출 구조물은 상기 제3 불량 검출 배선들의 각각의 단부들에 연결되는 패드들을 더 포함하는 반도체 소자.
KR1020150018745A 2015-02-06 2015-02-06 반도체 소자 KR102341726B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020150018745A KR102341726B1 (ko) 2015-02-06 2015-02-06 반도체 소자
US14/985,379 US9716048B2 (en) 2015-02-06 2015-12-30 Semiconductor device having a peripheral-region defect detection structure
US15/630,934 US10923407B2 (en) 2015-02-06 2017-06-22 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150018745A KR102341726B1 (ko) 2015-02-06 2015-02-06 반도체 소자

Publications (2)

Publication Number Publication Date
KR20160097436A KR20160097436A (ko) 2016-08-18
KR102341726B1 true KR102341726B1 (ko) 2021-12-23

Family

ID=56566164

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150018745A KR102341726B1 (ko) 2015-02-06 2015-02-06 반도체 소자

Country Status (2)

Country Link
US (2) US9716048B2 (ko)
KR (1) KR102341726B1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10408764B2 (en) 2017-09-13 2019-09-10 Applied Materials Israel Ltd. System, method and computer program product for object examination
US10741560B2 (en) * 2017-10-26 2020-08-11 International Business Machines Corporation High resistance readout FET for cognitive device
KR20220140129A (ko) * 2021-04-09 2022-10-18 삼성전자주식회사 반도체 소자의 검출용 패드 구조물

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040150070A1 (en) * 2003-02-03 2004-08-05 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US20070222078A1 (en) 2006-03-23 2007-09-27 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
JP2008028274A (ja) 2006-07-25 2008-02-07 Renesas Technology Corp 半導体装置の製造方法
US20080181205A1 (en) 2007-01-26 2008-07-31 Maria Rg Azada Method to improve the performance of handoffs between packet switched and circuit switched domains
US20080191205A1 (en) * 2007-02-13 2008-08-14 Hao-Yi Tsai Test structure for seal ring quality monitor
US20130020679A1 (en) 2011-07-20 2013-01-24 Fujitsu Semiconductor Limited Semiconductor device and production method thereof
US20140027928A1 (en) 2012-07-25 2014-01-30 Renesas Electronics Corporation Semiconductor device having crack-resisting ring structure and manufacturing method thereof

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861715B2 (en) * 2002-04-08 2005-03-01 Guobiao Zhang Electrically programmable three-dimensional memory
US7098676B2 (en) * 2003-01-08 2006-08-29 International Business Machines Corporation Multi-functional structure for enhanced chip manufacturibility and reliability for low k dielectrics semiconductors and a crackstop integrity screen and monitor
WO2004097916A1 (ja) * 2003-04-30 2004-11-11 Fujitsu Limited 半導体装置の製造方法、半導体ウエハおよび半導体装置
US20050026397A1 (en) * 2003-07-28 2005-02-03 International Business Machines Corporation Crack stop for low k dielectrics
KR100571675B1 (ko) 2003-08-08 2006-04-17 동부아남반도체 주식회사 웨이퍼 칩핑 디텍팅 시스템
US7098054B2 (en) * 2004-02-20 2006-08-29 International Business Machines Corporation Method and structure for determining thermal cycle reliability
JP2006190839A (ja) * 2005-01-06 2006-07-20 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7649200B1 (en) * 2005-05-04 2010-01-19 Advanced Micro Devices, Inc. System and method of detecting IC die cracks
US7482675B2 (en) * 2005-06-24 2009-01-27 International Business Machines Corporation Probing pads in kerf area for wafer testing
WO2007043116A1 (ja) * 2005-09-30 2007-04-19 Fujitsu Limited 半導体装置とその製造方法
JP2007142131A (ja) 2005-11-18 2007-06-07 Matsushita Electric Ind Co Ltd 基板および半導体装置
JP2008028243A (ja) * 2006-07-24 2008-02-07 Toshiba Corp 半導体装置
US8237160B2 (en) * 2007-05-10 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Probe pad on a corner stress relief region in a semiconductor chip
US7538346B2 (en) * 2007-05-29 2009-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
JP2009021528A (ja) * 2007-07-13 2009-01-29 Toshiba Corp 半導体装置
KR20090058306A (ko) 2007-12-04 2009-06-09 주식회사 동부하이텍 웨이퍼 치핑 감지 시스템 및 웨이퍼 치핑 감지 방법
US7812424B2 (en) * 2007-12-21 2010-10-12 Infineon Technologies Ag Moisture barrier capacitors in semiconductor components
US8159254B2 (en) * 2008-02-13 2012-04-17 Infineon Technolgies Ag Crack sensors for semiconductor devices
US7888776B2 (en) * 2008-06-30 2011-02-15 Texas Instruments Incorporated Capacitor-based method for determining and characterizing scribe seal integrity and integrity loss
US7948060B2 (en) * 2008-07-01 2011-05-24 Xmos Limited Integrated circuit structure
US8125054B2 (en) * 2008-09-23 2012-02-28 Texas Instruments Incorporated Semiconductor device having enhanced scribe and method for fabrication
US8912076B2 (en) * 2008-11-05 2014-12-16 Texas Instruments Incorporated Crack deflector structure for improving semiconductor device robustness against saw-induced damage
US8748295B2 (en) * 2009-06-15 2014-06-10 Infineon Technologies Ag Pads with different width in a scribe line region and method for manufacturing these pads
US7927895B1 (en) * 2009-10-06 2011-04-19 International Business Machines Corporation Varying capacitance voltage contrast structures to determine defect resistance
US20110221460A1 (en) * 2010-03-10 2011-09-15 Heinrich Trebo Integrated Circuit Arrangement Having a Defect Sensor
JP2012227210A (ja) 2011-04-15 2012-11-15 Fujikura Ltd 電子部品、電子部品の製造方法、基板
JP2012243910A (ja) 2011-05-18 2012-12-10 Elpida Memory Inc 半導体チップのクラックのチェックテスト構造を有する半導体装置
US20130009663A1 (en) 2011-07-07 2013-01-10 Infineon Technologies Ag Crack detection line device and method
JP2013048188A (ja) * 2011-08-29 2013-03-07 Elpida Memory Inc 半導体装置の製造方法
US20140191410A1 (en) * 2011-12-27 2014-07-10 Gerald S. Leatherman Damage monitor structure for through-silicon via (tsv) arrays
JP2013197576A (ja) 2012-03-23 2013-09-30 Elpida Memory Inc 半導体装置
JP2013219084A (ja) 2012-04-04 2013-10-24 Elpida Memory Inc 半導体チップ及び半導体装置
US9255894B2 (en) 2012-11-09 2016-02-09 Kla-Tencor Corporation System and method for detecting cracks in a wafer
US9287184B2 (en) * 2013-12-13 2016-03-15 Micron Technology, Inc. Apparatuses and methods for die seal crack detection
US10553508B2 (en) * 2014-01-13 2020-02-04 Nxp Usa, Inc. Semiconductor manufacturing using disposable test circuitry within scribe lanes

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040150070A1 (en) * 2003-02-03 2004-08-05 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US20070222078A1 (en) 2006-03-23 2007-09-27 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
JP2008028274A (ja) 2006-07-25 2008-02-07 Renesas Technology Corp 半導体装置の製造方法
US20080181205A1 (en) 2007-01-26 2008-07-31 Maria Rg Azada Method to improve the performance of handoffs between packet switched and circuit switched domains
US20080191205A1 (en) * 2007-02-13 2008-08-14 Hao-Yi Tsai Test structure for seal ring quality monitor
US20130020679A1 (en) 2011-07-20 2013-01-24 Fujitsu Semiconductor Limited Semiconductor device and production method thereof
US20140027928A1 (en) 2012-07-25 2014-01-30 Renesas Electronics Corporation Semiconductor device having crack-resisting ring structure and manufacturing method thereof

Also Published As

Publication number Publication date
US20170294360A1 (en) 2017-10-12
US9716048B2 (en) 2017-07-25
KR20160097436A (ko) 2016-08-18
US10923407B2 (en) 2021-02-16
US20160233171A1 (en) 2016-08-11

Similar Documents

Publication Publication Date Title
JP6399887B2 (ja) Tsv構造を具備した集積回路素子及びその製造方法
KR102372349B1 (ko) 반도체 칩, 이의 제조방법, 및 이를 포함하는 반도체 패키지
TWI685020B (zh) 包含線圖案的半導體裝置
US11756843B2 (en) Semiconductor devices including scribe lane and method of manufacturing the semiconductor devices
US10141258B2 (en) Semiconductor devices having staggered air gaps
US9786552B2 (en) Methods of forming fine patterns including pad portion and line portion
KR102341726B1 (ko) 반도체 소자
KR20140017294A (ko) 반도체 소자 및 이를 제조하는 방법
JP2009076909A (ja) ストレージノードを有する半導体装置及びその形成方法
KR102372355B1 (ko) 반도체 칩, 이의 제조방법, 및 이를 포함하는 반도체 패키지
KR102357937B1 (ko) 반도체 칩, 이의 제조방법, 및 이를 포함하는 반도체 패키지
TWI550749B (zh) 半導體晶圓、半導體晶片以及半導體裝置及其製造方法
US11749614B2 (en) Through-silicon via (TSV) key for overlay measurement, and semiconductor device and semiconductor package including TSV key
US11393781B2 (en) Semiconductor device and manufacturing method thereof
KR102316160B1 (ko) 반도체 소자 및 이를 제조하는 방법
JP2011071470A (ja) 積層チップパッケージおよびその製造方法
US9929170B2 (en) Semiconductor device
JP5018549B2 (ja) 電子デバイス及びその解析方法
US9793210B2 (en) Power line layout structure of semiconductor device and method for forming the same
KR20220014553A (ko) 다이 위치 검사부들을 포함하는 반도체 패키지
KR20080011495A (ko) 반도체 장치의 배선 구조물 및 이의 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)