JP2021027358A - マイクロストラクチャ向上型吸収感光装置 - Google Patents

マイクロストラクチャ向上型吸収感光装置 Download PDF

Info

Publication number
JP2021027358A
JP2021027358A JP2020131831A JP2020131831A JP2021027358A JP 2021027358 A JP2021027358 A JP 2021027358A JP 2020131831 A JP2020131831 A JP 2020131831A JP 2020131831 A JP2020131831 A JP 2020131831A JP 2021027358 A JP2021027358 A JP 2021027358A
Authority
JP
Japan
Prior art keywords
doped
cases
regions
holes
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020131831A
Other languages
English (en)
Inventor
ワン シーユアン
Shih-Yuan Wang
ワン シーユアン
ワン シー−ピン
Shih-Ping Wang
ワン シー−ピン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
W&wsens Devices Inc
Original Assignee
W&wsens Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/528,958 external-priority patent/US11121271B2/en
Application filed by W&wsens Devices Inc filed Critical W&wsens Devices Inc
Publication of JP2021027358A publication Critical patent/JP2021027358A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/167Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits comprising optoelectronic devices, e.g. LED, photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • H01L27/14607Geometry of the photosensitive area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14649Infrared imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035272Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions characterised by at least one potential jump barrier or surface barrier
    • H01L31/035281Shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier
    • H01L31/105Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier the potential barrier being of the PIN type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier
    • H01L31/107Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier the potential barrier working in avalanche mode, e.g. avalanche photodiode

Abstract

【課題】 ラテラル及び垂直マイクロストラクチャ向上型光検知器及びアバランシェ光検知器がCMOS/BiCMOSASICとモノリシックに集積化されており且つ流体組み立て技術を使用してレーザ装置と集積化させることも可能である。【解決手段】 光検知器は垂直PIN配置又はラテラル金属−半導体−金属配置で構成することが可能であり、その場合に、電極は噛み合い型パターンである。穴及び突起等のマイクロストラクチャがシリコン、ゲルマニウム、及びIII−V物質における量子効率を改善することが可能であり、且つアバランシェフォトダイオードのアバランシェ電圧を減少させることも可能である。適用例としては、データセンタ内及び間での光通信、遠隔通信、LiDAR、及び自由空間データ通信を包含している。【選択図】 図1

Description

本願は、2018年7月23に出願した米国特許出願第16/042,535号、2018年7月23日に出願しWO2019/18846として公開された国際特許出願番号PCT/US18/43289、2017年10月30日に出願した米国特許出願第15/797,821号、2015年11月20日に出願した米国特許出願第14/947,718号、及び2016年12月21日に出願しWO2017/112747として公開された国際特許出願番号PCT/S16/67977、2019年3月8日に出願した米国特許出願第16/296,985号、及び2018年10月29日に出願しWO2019/089437として公開された国際特許出願番号PCT/US18/57963、の各々の一部継続出願である。
本願は、上記特許出願、及びそれらが間接的に又は直接的に引用により取り込む出願であって米国仮出願と、米国非仮出願と、国際出願とを含む出願、の各々を引用により取り込むと共にその各々の出願日の利益を主張する。
本願は、以下の仮出願の各々を引用により取り込むと共にその優先権を主張する。
米国仮出願番号62/713,455 2018年8月1日出願;
米国仮出願番号62/716,310 2018年8月8日出願;
米国仮出願番号62/717,750 2018年8月10日出願;
米国仮出願番号62/719,689 2018年8月19日出願;
米国仮出願番号62/724,449 2018年8月29日出願;
米国仮出願番号62/733、476 2018年9月19日出願;
米国仮出願番号62/737,062 2018年9月26日出願;
米国仮出願番号62/750,016 2018年10月24日出願;
米国仮出願番号62/770,656 2018年11月21日出願;
米国仮出願番号62/772,498 2018年11月28日出願;
米国仮出願番号62/777,157 2018年12月9日出願;
米国仮出願番号62/779,693 2018年12月14日出願;
米国仮出願番号62/784,342 2018年12月21日出願;
米国仮出願番号62/794,330 2019年1月18日出願;
米国仮出願番号62/797,141 2019年1月25日出願;
米国仮出願番号62/797,263 2019年1月26日出願;
米国仮出願番号62/800,371 2019年2月1日出願;
米国仮出願番号62/802,171 2019年2月6日出願;
米国仮出願番号62/802,718 2019年2月8日出願;
米国仮出願番号62/805,850 2019年2月14日出願;
米国仮出願番号62/808,949 2019年2月22日出願;
米国仮出願番号62/819,604 2019年3月17日出願;
米国仮出願番号62/819,669 2019年3月17日出願;
米国仮出願番号62/820,695 2019年3月19日出願;
米国仮出願番号62/828,976 2019年4月3日出願;
米国仮出願番号62/841,798 2019年5月1日出願;
米国仮出願番号62/843,206 2019年5月3日出願;
米国仮出願番号62/846,554 2019年5月10日出願;
米国仮出願番号62/853,280 2019年5月29日出願;
米国仮出願番号62/860,115 2019年6月11日出願;
米国仮出願番号62/863,231 2019年6月18日出願;
米国仮出願番号62/863,852 2019年6月19日出願;
米国仮出願番号62/867,186 2019年6月26日出願;
米国仮出願番号62/868,911 2019年6月29日出願;
米国仮出願番号62/870,533 2019年7月3日出願;
米国仮出願番号62/873,891 2019年7月13日出願;
米国仮出願番号62/874,418 2019年7月15日出願。
前記米国特許出願第16/296,985号は前記米国特許出願第15/797,821号の継続であり、且つ前記米国特許出願第16/042,535号は前記米国特許出願第15/797,821号の一部継続である。
前記米国特許出願第15/797,821号は、以下の仮出願の各々を引用により取り込み且つその優先権を主張している。
米国仮出願番号62/465,734 2017年3月1日出願;
米国仮出願番号62/474,179 2017年3月21日出願;
米国仮出願番号62/484,474 2017年4月12日出願;
米国仮出願番号62/487,606 2017年4月20日出願;
米国仮出願番号62/488,998 2017年4月24日出願;
米国仮出願番号62/500,581 2017年5月3日出願;
米国仮出願番号62/505,974 2017年5月14日出願;
米国仮出願番号62/509,093 2017年5月20日出願;
米国仮出願番号62/510,249 2017年5月23日出願;
米国仮出願番号62/514,889 2017年6月4日出願;
米国仮出願番号62/521,504 2017年6月18日出願;
米国仮出願番号62/522,169 2017年6月20日出願;
米国仮出願番号62/527,962 2017年6月30日出願;
米国仮出願番号62/530,281 2017年7月9日出願;
米国仮出願番号62/533,078 2017年7月16日出願;
米国仮出願番号62/533,603 2017年7月17日出願;
米国仮出願番号62/535,801 2017年7月21日出願;
米国仮出願番号62/540,524 2017年8月2日出願;
米国仮出願番号62/542,243 2017年8月7日出願;
米国仮出願番号62/547,728 2017年8月18日出願;
米国仮出願番号62/553,844 2017年9月2日出願;
米国仮出願番号62/556,426 2017年9月10日出願;
米国仮出願番号62/561,869 2017年9月22日出願。
前記米国特許出願第15/797,821号は、
?2016年11月9日に出願し現在は米国特許第9,818,893であり、2015年11月17日に出願した国際特許出願番号PCT/US15/0611220の§371国内段階である米国特許出願第15/309,922号;
?2015年11月20日に出願した前記米国特許出願第14/947,718号;
?WO2017/112747として公開された2016年12月21日に出願した前記国際特許出願番号14/947,718;
の各々の一部継続である。
前記出願番号第15/309,922号は、(i)米国特許出願第14/943,898号(現在は米国特許第9,530,905)、(ii)2011年11月18日に出願した米国特許出願第14/945,003号(現在は米国特許第9,525,084)の各々の継続であり、且つ国際特許出願番号PCT/US15/061120の§371国内段階であり、且つ以下のものを含む米国仮特許出願の各々を引用により取り込むと共にその各々の出願日の優先権を主張する。
米国仮出願番号62/081,538 2014年11月18日出願;
米国仮出願番号62/090,879 2014年12月11日出願;
米国仮出願番号62/100,025 2015年1月5日出願;
米国仮出願番号62/111,582 2015年2月3日出願;
米国仮出願番号62/139,511 2015年3月27日出願;
米国仮出願番号62/153,443 2015年4月27日出願;
米国仮出願番号62/154,675 2015年4月29日出願;
米国仮出願番号62/157,876 2015年5月6日出願;
米国仮出願番号62/171,915 2015年6月5日出願;
米国仮出願番号62/174,498 2015年6月11日出願;
米国仮出願番号62/175,855 2015年6月15日出願;
米国仮出願番号62/182,602 2015年6月21日出願;
米国仮出願番号62/188,876 2015年7月6日出願;
米国仮出願番号62/197,120 2015年7月27日出願;
米国仮出願番号62/199,607 2015年7月31日出願;
米国仮出願番号62/205,717 2015年8月15日出願;
米国仮出願番号62/209,311 2015年8月24日出願;
米国仮出願番号62/213,556 2015年9月2日出願;
米国仮出願番号62/232,716 2015年9月25日出願。
前記出願番号第14/947,718号は、WO2014/190189として公開された国際特許出願番号PCT/US14/39208の継続であり、且つ以下のものを含む米国仮特許出願の各々を引用により取り込み且つその出願日の優先権を主張している。
米国仮出願番号61/826,446 2013年5月22日出願;
米国仮出願番号61/834,873 2013年6月13日出願;
米国仮出願番号61/843,021 2013年7月4日出願;
米国仮出願番号61/905,109 2013年11月15日出願。
前記国際特許出願番号PCT/US16/67977は以下の米国仮特許出願の各々の出願日の優先権を主張している。
米国仮出願番号62/270,577 2015年12月21日出願;
米国仮出願番号62/290,391 2016年2月2日出願;
米国仮出願番号62/304,907 2016年3月7日出願;
米国仮出願番号62/334,934 2016年5月11日出願;
米国仮出願番号62/338,263 2016年5月18日出願;
米国仮出願番号62/346,850 2016年6月7日出願;
米国仮出願番号62/359,349 2016年7月7日出願;
米国仮出願番号62/366,188 2016年7月25日出願;
米国仮出願番号62/368,109 2016年7月28日出願;
米国仮出願番号62/374,828 2016年8月13日出願;
米国仮出願番号62/376,869 2016年8月18日出願;
米国仮出願番号62/380,364 2016年8月27日出願;
米国仮出願番号62/383,391 2016年9月3日出願;
米国仮出願番号62/383,479 2016年9月4日出願;
米国仮出願番号62/394,222 2016年9月14日出願;
米国仮出願番号62/398,607 2016年9月23日出願;
米国仮出願番号62/401,126 2016年9月28日出願;
米国仮出願番号62/406,999 2016年10月12日出願;
米国仮出願番号62/414,671 2016年10月29日出願;
米国仮出願番号62/415,339 2016年10月31日出願。
2018年7月23日に出願した前記PCT/US18/43289及び2018年10月29日に出願した前記PCT/US18/57963の各々は、前記米国特許出願第15/797,821号の一部継続であり、且つPCT/US18/43289は以下の仮出願の各々を引用により取り込み且つ優先権を主張している。
米国仮出願番号62/535,801 2017年7月21日出願;
米国仮出願番号62/540,524 2017年8月2日出願;
米国仮出願番号62/542,243 2017年8月7日出願;
米国仮出願番号62/547,728 2017年8月18日出願;
米国仮出願番号62/553,844 2017年9月2日出願;
米国仮出願番号62/556,426 2017年9月10日出願;
米国仮出願番号62/561,869 2017年9月22日出願;
米国仮出願番号62/591,072 2017年11月27日出願;
米国仮出願番号62/599,246 2017年12月15日出願;
米国仮出願番号62/607,860 2017年12月19日出願;
米国仮出願番号62/615,314 2018年1月9日出願;
米国仮出願番号62/623,971 2018年1月30日出願;
米国仮出願番号62/628,764 2018年2月9日出願;
米国仮出願番号62/631,630 2018年2月17日出願;
米国仮出願番号62/633,514 2018年2月21日出願;
米国仮出願番号62/634、692 2018年2月23日出願;
米国仮出願番号62/637,945 2018年3月2日出願;
米国仮出願番号62/639,356 2018年3月6日出願;
米国仮出願番号62/639,472 2018年3月6日出願;
米国仮出願番号62/639,920 2018年3月7日出願;
米国仮出願番号62/640,522 2018年3月8日出願;
米国仮出願番号62/643,010 2018年3月14日出願;
米国仮出願番号62/645,810 2018年3月21日出願;
米国仮出願番号62/646,871 2018年3月22日出願;
米国仮出願番号62/651,053 2018年3月30日出願;
米国仮出願番号62/651,087 2018年3月31日出願;
米国仮出願番号62/652,830 2018年4月4日出願;
米国仮出願番号62/659,067 2018年4月17日出願;
米国仮出願番号62/659,072 2018年4月17日出願;
米国仮出願番号62/662,217 2018年4月24日出願;
米国仮出願番号62/666,005 2018年5月2日出願;
米国仮出願番号62/669,194 2018年5月9日出願;
米国仮出願番号62/675,130 2018年5月22日出願;
米国仮出願番号62/677,609 2018年5月29日出願;
米国仮出願番号62/682,909 2018年6月9日出願。
上に引用した仮及び非仮特許出願の全ては、本書において、集約的に「該共通に譲渡され組み込まれた出願」として引用する。
本特許明細書は主に感光装置に関するものである。更に詳細には、幾つかの実施例は、マイクロストラクチャ向上型吸収特性を有する感光装置、及び、同一のチップの上又は中にアクティブ電子回路とモノリシックに集積化した感光装置に関するものである。
光ファイバー通信は、遠隔通信、大型データセンター内の通信、及びデータセンター間の通信等の適用例において広く使用されている。一層短い光学波長(optical wavelength)即ち可視光を使用することに関連する減衰損失のために、殆どの光ファイバーデータ通信は800nm及び一層長い光学波長を使用する。通常使用されるマルチモード及びシングルモード光ファイバーは800nmと1675nmとの間の波長を使用する。光ファイバー通信システムにおいて使用される光学受信器の主要な部品は光検知器であり、それは、通常、フォトダイオード(PD)又はアバランシェフォトダイオード(APD)の形態である。
高品質低雑音APDはシリコンから作ることが可能である。しかしながら、シリコンは可視光及び近赤外線領域における光を吸収するが、それは一層長い光学波長において一層透明性となる。シリコンPD及びAPDは、その装置の吸収「I」領域の厚さを増加することにより800nm及びそれより一層長い光学波長用に作ることが可能である。しかしながら、適切な量子効率(外部量子効率としても知られている)を得るためには、シリコン「I」領域の厚さは非常に大きくなり、該装置の最大帯域幅(「データレート」とも呼称される)が多くの現在及び将来の遠隔通信及びデータセンター適用例に対しては低すぎるものとなる。
シリコンPD及びAPDが一層長い波長及び一層高い帯域幅に関して有している本来的な問題を回避するために、その他の物質が使用される。ゲルマニウム(Ge)APDは2000nmの波長まで赤外を検知するが、比較的に高い増倍雑音を有している。InGaAsAPDは1600nmよりも一層長いものまで検知することが可能であり且つGeよりも増倍雑音は一層少ないが、シリコンAPDよりも増倍雑音を尚且つ一層大きい。InGaAsはヘテロ構造ダイオードの吸収領域として使用されることが知られており、最も典型的には、InPを基板として且つ増倍層として関与する場合である。この物質系は約900乃至1700nmの吸収窓と適合性がある。しかしながら、InGaAsPD及びAPD装置は比較的高価であり且つシリコンと比較した場合に比較的高い増倍雑音を有しており且つ単一チップとしてSiエレクトロニクスと集積化させることは困難である。
光検知器のビジネスにおける主要な会社によって公表されている情報(http://files.shareholder.com/downloads/FNSR/0x0x382377/0b3893ea-fb06-417d-ac71-84f2f9084b0d/Finisar_Investor_Presentation.pdf参照)は、10頁において、光通信装置に対する現在の市場は12%の複合年間成長率で70億米国ドルを超えていることを示している。850−950nm波長用に使用されるフォトダイオード(PD)はGaAs物質を使用し、且つ1550−1650nm波長フォトダイオード用はInP物質をベースしており、それらは両方共高価であり且つSiをベースとしたエレクトロニクスと集積化させることは困難である。従って、そこには大きな市場があり且つより良い装置の開発に対して未だに充足されていない長い間待たれている必要性が存在している。本発明者等が知る限りにおいて、今日まで、上部表面又は底部表面照射型で、データレートが少なくとも25Gb/sであり、且つ市販されている単一チップ上にCMOS/BiCMOSシリコンエレクトロニクスとモノリシックに集積化されている、850−950nm用のSi物質をベースとしたフォトダイオードもアバランシェフォトダイオード(APD)も存在していないし且つ1550−1650nm用のGeオンSi(GeonSi)物質をベースとしたフォトダイオードもアバランシェフォトダイオードも存在していない。しかしながら、この大きな市場に対してのより良い装置を開発しようとする努力が欠如していたわけではない。例えば、Si物質で製造した共鳴フォトダイオードに対する提案がなされいる(エピタキシャルラテラル過剰成長によって成長された共鳴空胴向上型高速Siフォトダイオード(Resonant-Cavity-Enhanced High-Speed Si Photodiode Grown by Epitaxial Lateral Overgrowth), Schaub et al., IEEE PHOTONICS TECHNOLOGY LETTERS, VOL. 11, NO. 12, 1999年212月を参照)が、それは既知の市場に到達してはいない。導波路形態でのその他の形態の高速フォトダイオードも提案されており、例えば、40GHz Si/Ge単一進行キャリア導波路(40 GHz Si/Ge uni-travelling carrier waveguide photodiode)、Piels et al.、DOI 10, 1109/JLT.2014.2310780、Journal of Lightwave Technology (引用におり本書に取り込む);340GHz利得−帯域幅プロダクトを具備するモノリシックゲルマニウム/シリコンアバランシェフォトダイオード(Monolithic germanium/silicon avalanche photodiodes with 340 GHz gain-bandwidth product)、NATURE PHOTONICS | VOL 3 | 2009年1月 | www.nature.com/naturephotonics (引用により本書に取り込み且つ本書において「Kang et al.2009」として参照);大断面シリコン・オン・インシュレータ導波路とモノリシックに集積化した高速Ge光検知器(High-speed Ge photodetector monolithically integrated with large corss-section silicon-on-insulator waveguide)、Feng et al.、Applied Physics Letters 95, 261105 (2009), doi: 10.1063/1.3279129 (引用により本書に取り込む)、その場合には、光は端部から光学導波路内に結合されており且つ1550nmにおけるGeの弱い吸収係数を補償するために、吸収長は100μm又はそれ以上とする場合がある。これらの以前に提案されている導波路フォトダイオード構造においては、光は導波路の長さに沿って伝播し且つ電界がそのPIN導波路を横断して印加されるが、その場合に、光伝播の方向と電界の方向とがこの導波路形態において支配的に垂直であるようにされる。Si内で光は、電子/正孔の飽和速度よりも約1000倍一層早く進行するので、導波路PDは、例えば、200ミクロンの長さである場合があり、且つPIN内の「I」は、例えば、2ミクロンの長さである場合があり、且つ10Gb/sを超える帯域幅を達成することが可能である。この様な光の端部結合は、本書に記載するような表面照射と比較した場合にパッケージングがコスト高であり、光伝播方向における断面の寸法は、典型的に、数ミクロンに過ぎず既知の表面照射型フォトダイオード又はアバランシェフォトダイオードの場合には数十ミクロンであるのと対比される。既知の導波路PD/APDは、しばしば、単一モード光学系であるにすぎないが、一方、本特許明細書に記載する表面照射型PD/APDは単一モード及びマルチモード光学系の両方において使用することが可能である。更に、既知の導波路フォトダイオードはウエハレベルにおいてテストすることが困難であるが、本特許明細書に記載する表面照射型フォトダイオードは容易にウエハレベルにおいてテストすることが可能である。既知の導波路フォトダイオード/アバランシェフォトダイオードは殆どが特殊なフォトニック回路において使用されており且つ多くの場合に慎重な温度制御を必要とし、そのことはコスト高となる場合があり且つ厳しいデータセンター環境においては非効率的なものとなる場合がある。上部又は底部照射型Si及びSiと集積化させることが可能なGe・オン・Si又はGeSi・オン・SiのPD/APDで、850−950nm、1250−1350nm、及び1550−1650nmの波長において25Gb/s以上のデータレートで市販されているものは、本発明者等は不知である。対照的に、本特許明細書に記載するようなSiをベースとした物質上のフォトダイオードは単一のSiチップ上に集積化した電子回路とモノリシックに集積化させることが可能であり、それによりパッケージングコストを著しく減少させる。更に、本特許明細書に記載する850nm、1300nm、及び1550nmの公称波長においてのマイクロストラクチャ型PD/APDは、300メートル未満の距離、或る場合には2000メートル未満、或る場合には10000メートル未満、及びある場合には10000メートルを超える光学データ送信において、支配的に短距離(short haul,)、中距離(medium haul)、長距離(long haul)用のものとすることが可能である。マイクロストラクチャ型PD/APDの入射光ビーム方向及びPIN又はNIP構造の「I」領域における電界は、支配的にコリニア(collinear)及び/又は略コリニアとすることが可能である。本特許明細書に記載するラテラル(lateral)PD及びAPDにおいては、電界及び光伝播は異なる方向とさせることが可能であるが、その吸収層は、同等のデータレート及び/又は吸収及び量子効率に対して本発明者等が知っている装置におけるものよりも、尚且つ一層薄いとすることが可能である。本特許明細書はこの様な装置を可能とするものであり且つ現在のデータセンターをブレード間、ブレード内、ラック間、及び/又はデータセンタ間における略全ての光学的データ送信へ変革させることを期待しており、そのことは、データ送信帯域幅能力を著しく増加させ且つ電力使用を著しく減少させ且つ同時にマイクロストラクチャ穴を具備するモノリシックに集積化させた光検知器アレイの性能を改善させて光学吸収を向上させ、従って容量、インダクタンス、及び抵抗等の寄生効果が一層低いことに起因してCMOS/BiCMOS応用特定集積回路に対する外部量子効率を向上させる。その光検知器はフォトダイオード、アバランシェフォトダイオード、及び/又は単一フォトンアバランシェフォトダイオード(SPAD)とすることが可能である。
データ通信適用例に加えて、高速で且つ効率的なマイクロストラクチャ穴光検知器は、光検知と測距(LiDAR)近赤外波長領域における3Dイメージング等の飛行時間適用例において使用することが可能である。エレクトロニクスと利得有り又は無しでのマイクロストラクチャ型穴光検知器とのモノリシック集積化は性能を改善し且つコストを低下させることが可能である。その市場規模は年当たり数十億ドルの範囲である。
本書の特許請求の範囲に記載する要旨は、何らかの特定の欠点を解消する実施例又は上述した如き環境においてのみ動作する実施例へ制限するものではない。そうではなく、この背景は、本書に記載する幾つかの実施例を実施することが可能な一つの例示的な技術分野を例示するためのものに過ぎない。
本特許明細書において参照する公表されている各文書は引用により本書に取り込むこととする。
エピタキシャルラテラル過剰成長によって成長された共鳴空胴向上型高速Siフォトダイオード(Resonant-Cavity-Enhanced High-Speed Si Photodiode Grown by Epitaxial Lateral Overgrowth), Schaub et al., IEEE PHOTONICS TECHNOLOGY LETTERS, VOL. 11, NO. 12, 1999年212月 40GHz Si/Ge単一進行キャリア導波路(40 GHz Si/Ge uni-travelling carrier waveguide photodiode)、Piels et al.、DOI 10, 1109/JLT.2014.2310780、Journal of Lightwave Technology 340GHz利得−帯域幅プロダクトを具備するモノリシックゲルマニウム/シリコンアバランシェフォトダイオード(Monolithic germanium/silicon avalanche photodiodes with 340 GHz gain-bandwidth product)、NATURE PHOTONICS | VOL 3 | 2009年1月 | www.nature.com/naturephotonics 大断面シリコン・オン・インシュレータ導波路とモノリシックに集積化した高速Ge光検知器(High-speed Ge photodetector monolithically integrated with large corss-section silicon-on-insulator waveguide)、Feng et al.、Applied Physics Letters 95, 261105 (2009), doi: 10.1063/1.3279129
幾つかの実施例によれば、集積化した単一チップ構成体が、基板の一つの面における感光性部分と、該基板の反対側の面におけるアクティブCMOS又はBiCMOS電子回路とを有しており、前記基板の一つの面における前記感光性部分は、Pドープ領域と、Nドープ領域と、Nドープ領域と該Pドープ領域との間であって且つ前記感光性部分内へ延在して故意に形成されている少なくとも1個の穴を有している低ドープ又は未ドープ半導体物質のI領域と、を有しており;前記I領域は本来的な結晶面を具備している基本的に単結晶半導体物質であり且つ前記すくなくとも1個の穴は前記結晶面に沿う側部を具備している反転ピラミッド穴の深さを超える深さへ前記感光性部分内に延在しており;前記基板の反対側における前記アクティブ回路は複数個のアクティブ電子要素を有しており;前記アクティブ電子回路によって処理するために、照射に応答して前記感光性部分によって発生される電気信号を前記アクティブ電子回路へ運ぶために接続用電極が構成されており;及び出力電極が前記アクティブ電子回路へ接続されており且つ該アクティブ電子回路によって処理された電気信号を送り出すべく構成されている。
前記Pドープ領域、Nドープ領域、及びI領域は垂直装置を形成するために垂直に配置させることが可能であり、又はラテラル装置を形成するために横方向に配置させることが可能である。
前記領域が垂直に配置される垂直装置形態においては、該構成体は以下の特徴の内の一つ又はそれ以上を包含することが可能であり、即ち、前記少なくとも一つの穴は前記Pドープ領域及びNドープ領域の内の一方を介して且つ他方へ向かって延在することが可能であり;前記Pドープ領域及びNドープ領域の内の一方の物質は前記すくなくとも一つの穴の側壁部分において包含させることが可能であり;前記I領域は前記すくなくとも一つの穴の閉じた端部において且つその少なくとも側壁部分に沿うものとすることが可能であり;前記Pドープ領域及びNドープ領域の内の一方は前記すくなくとも一つの穴のすくなくとも側壁部分に沿って延在することが可能であり、前記少なくとも一つの穴は前記Pドープ領域及びNドープ領域を貫通して延在することが可能であり;前記少なくとも一つの穴は互いに横方向に離隔された複数個の穴を包含することが可能であり且つ前記感光性部分は複数個の光検知器を包含することが可能であり;少なくとも一つのアバランシェフォトダイオード構成体を該感光性部分に包含させることが可能であり;及び少なくとも一つの単一フォトンアバランシェフォトダイオード(SPAD)を該感光性部分内に包含させることが可能である。
前記Pドープ領域と、Nドープ領域と、I領域とが横方向に配置されるラテラル形態においては、該構成体は以下の特徴の内の一つ又はそれ以上を包含することが可能であり、即ち、前記Pドープ領域とNドープ領域との内の一方は前記少なくとも一つの穴の閉じた端部において且つその側壁部分におけるものとすることが可能であり;前記少なくとも一つの穴は前記Pドープ領域及びNドープ領域の内の少なくとも一方を貫通して延在することが可能であり;前記少なくとも一つの穴は前記Pドープ領域及びNドープ領域の両方の中へ延在することが可能であり;前記少なくとも一つの穴は前記Pドープ領域及びNドープ領域の両方を貫通することが可能であり;前記少なくとも一つの穴は互いに横方向に離隔された複数個の光検知器を包含することが可能であり且つ前記感光性部分は互いに横方向に離隔された複数個の光検知器を包含することが可能であり;前記少なくとも一つの穴は前記Pドープ領域及びNドープ領域の内の一方において閉じた端部を有することが可能であり;及び少なくとも一つの単一フォトンアバランシェフォトダイオード(SPAD)を該感光性部分に包含させることが可能である。
幾つかの実施例によれば、集積化した単一チップ構成体が、第1半導体物質内を延在する故意に形成した複数の第1穴によって互いに横方向に離隔している低ドープ又は未ドープ第1半導体物質からなる複数のI領域;一方の極性へドープされている前記第1半導体物質からなる複数の領域;及び前記第1半導体物質とは異なる第2半導体物質からなり各々が反対極性へドープされており且つ前記一方の極性へドープされている領域の横方向の間にある領域から形成されている複数のトリプレット(triplet)、即ち三つ組み、を有している複数の領域、を有しており、前記第1半導体物質の隣接領域が前記第2半導体物質の前記トリプレットによって互いに横方向に離隔されており、前記I領域及び前記一方の極性へドープされている前記第1半導体物質の領域及び反対極性へドープされている前記第2半導体物質の前記領域は照射に応答して電気信号を発生させる光検知器として構成されており、前記穴の複数個を同時的に照射する照射は前記電気信号の夫々の単一の一つに貢献し;及び前記第2半導体物質の前記領域はアバランシェ構造として構成されている。該構成体は、更に、前記一方の極性へドープされている該第1半導体の前記領域へ及び前記他方の極性へドープされている該第2半導体物質の前記領域へ夫々結合されている第1及び第2の?み合い電極を包含することが可能である。前記一方の導電型へドープされている該第1半導体の前記領域は、該第2半導体物質の前記領域から垂直方向に離隔させることが可能である。該構成体は、更に、前記第1穴の隣接するものの横方向の間で前記第1半導体物質内に付加的な故意に形成した複数の穴を包含することが可能である。
幾つかの実施例によれば、集積化した単一チップ構成体が、低ドープ又は未ドープSi半導体物質のI領域、前記半導体物質内を延在するPドープ領域及びNドープ領域、前記ドープ領域を横方向に離隔させ且つ前記半導体物質内に延在する故意に形成した複数の穴、を有しており、各Pドープ領域は前記穴の内の少なくとも一つによってNドープ領域から横方向に離隔されており、及び第1及び第2?み合い電極が前記Pドープ領域及び前記Nドープ領域へ夫々結合されており、前記構成体は複数個の前記穴へ同時的に入射する光に応答して電気信号を発生して前記光を表す夫々の共通の電気的出力を発生し且つギガヘルツデータレートで動作する構成とされている。
幾つかの実施例によれば、集積化された単一チップ構成体が、低ドープ又は未ドープのGe又はGe/Si合金半導体物質の第1I領域、低ドープ又は未ドープのSi半導体物質の第2I領域、一方の極性へドープされておりGe又はGe/Si物質における複数のドープ領域、反対極性へドープされており前記一方の極性へドープされている前記領域を互いに横方向に離隔させる前記Si半導体物質における複数のドープ領域、前記Ge又はGe/Si合金物質内に故意に形成された複数の穴、及び前記Pドープ領域へ及び前記Nドープ領域へ夫々結合されている複数の第1及び第2?み合い電極、を有しており、前記構成体は光に応答して電気信号を発生し且つギガヘルツデータレートで動作すべく構成されている。
幾つかの実施例によれば、集積化された単一チップ構成体が、低ドープ又は未ドープGe又はGeSi合金半導体物質のI領域、前記半導体物質内を延在する複数のPドープ領域及びNドープ領域、前記半導体物質内に延在し且つ複数の前記ドープ領域を互いに横方向に離隔させている故意に形成した複数の穴、を有しており、各Pドープ領域は前記複数の穴のすくなくとも一つによってNドープ領域から横方向に離隔されており、低ドープSi領域が複数の前記ドープ領域と反対側の側部において前記Ge又はGeSi領域に沿って延在しており、及び複数の第1及び第2?み合い電極が前記一方の導電型へドープした前記領域へ及び反対の導電型へドープした前記領域へ夫々結合されており、前記構成体が光に応答して電気信号を発生し且つギガヘルツデータレートで動作する構成とされている。
幾つかの実施例によれば、集積化した単一チップ構成体が、低ドープ又は未ドープのSi半導体のI領域、一方の極性へドープされた前記Si半導体物質の第1領域、及び反対の極性へドープされた前記Si半導体物質の第2領域及び前記一方の極性へドープされた前記Si半導体物質の第3領域、を有しており、前記第2及び第3領域は複数のトリプレットを形成しておりその各々は反対の極性へドープされ且つ前記一方の極性へドープされた複数の前記第2領域の内の2つの間に横方向に位置されている複数の前記第2領域の内の一つを有しており、前記第1領域の内の隣接するものは前記トリプレットの内の少なくとも一つによって互いに横方向に離隔されており、故意に形成した複数の穴が前記I領域内へ延在しており、複数の前記穴が前記第1領域の内の一つ及び前記トリプレットの内の一つの各隣接する対の間の横方向であり、前記I領域及び前記第2領域は入射に応答して電気信号を発生する光検知器として構成されており、及び前記トリプレットはアバランシェ構成体として構成されている。
幾つかの実施例によれば、集積化された単一チップ構成体が、基板の片側において感光性部分を及び該基板の反対側においてアクティブCMOS又はBiCMOS電子回路を有しており、前記基板の片側における前記感光性部分は複数組の領域を有しており、その各組はPドープ領域と、Nドープ領域と、該Nドープ領域とPドープ領域との間の低ドープ又は未ドープの半導体物質のI領域と、を有しており、複数の穴が前記感光性部分内を延在しており且つ前記組の間に横方向に位置しており、前記基板の反対側における前記アクティブ回路は複数のアクティブ電子要素を有しており、第1及び第2電極が前記Pドープ領域及び前記Nドープ領域を夫々接続しており且つ入射に応答して前記感光性部分によって発生される電気信号を処理のために前記アクティブ電子回路へ運ぶ構成とされており、複数の前記組の内の少なくとも2つがそれらが発生する該電気信号を共通信号へ結合させるために接続されており、及び複数の出力電極が前記アクティブ電子回路へ接続されており且つ該アクティブ電子回路によって処理された電気信号を運ぶ構成とされている。複数の前記組の各々は複数の領域の垂直積層体を有することが可能であり、又は、代替的に、複数の前記組の各々の該領域は互いに横方向に離隔させることが可能である。複数の前記穴は非周期的アレイに配置されている。
「穴(hole)」という用語は、本特許明細書においては、特定した電気的及び/又は光学的特性において周囲物質と異なるように特定した形状及び寸法とされた物質の故意に形成した体積のことを意味している。一つの穴の物質は、この様な異なる電気的/光学的特性を具備する半導体のような固体、又は誘電体、又は空気などの気体、又は真空とすることが可能である。穴は層の上部表面内、又は底部表面内に形成することが可能であり、又は装置の上部層と底部層との間の内部体積とすることが可能である。この様な穴の多数の
例が後に詳細に記載され、且つ幾つかは交換可能に突起と呼称され、例えば、I層の下面における穴が下側の層から突出する物質で充填されている凹み(indentation)である場合である。
「電極」という用語は、本明細書においては、開示する装置において所望の電界を形成し且つ光入射に応答して該装置が発生する所望の電気信号を抽出すべく作用する物質のことを意味している。電極の多数の例が以下に詳細に記載され、例えば、装置のドープ領域とオーミックコンタクトしている電気的に導電性の物質、又はショットキー接合等の他のタイプのコンタクトを構成する電気的に導電性の物質である。
「上部」及び「底部」という用語及び同様の用語は装置の特定した配向を意味しており、以下に記載する装置の上部とは、該装置が反転されるとその底部となり、又は該装置が90度回転されるとその左側又は右側となる。
本特許明細書の要旨の上記及びその他の利点及び特徴を更に明確化させるために、その実施例の特定の例が添付図面に例示されている。これらの図面は例示的な実施例を図示するに過ぎないものであり、従って本特許明細書の範囲又は特許請求の範囲を制限するものとした考えるべきものではない。本特許明細書の要旨は添付の図面を使用して付加的な特定性及び詳細を伴って記載され且つ説明される。
?み合い型金属−半導体−金属(MSM)Ge/GeSi光検知器の概略断面図。 幾つかの実施例に基づいて、CMOS/BiCMOSASICとモノリシックに集積化されたSOI上のマイクロストラクチャ穴を具備する垂直N−I−PGe/GeSiフォトダイオードの概略図。 幾つかの実施例に基づいて、CMOS/BiCMOSASICとモノリシックに集積化されたSOI上のマイクロストラクチャ穴を具備する垂直N−I−PGe/GeSiフォトダイオードの概略図。 幾つかの実施例に基づいて、CMOS/BiCMOSASICとモノリシックに集積化されたSOI上のマイクロストラクチャ穴を具備する垂直N−I−PGe/GeSiフォトダイオードの概略図。 幾つかの実施例に基づいて、CMOS/BiCMOSASICとモノリシックに集積化されたSOI上のマイクロストラクチャ穴を具備する垂直N−I−PGe/GeSiフォトダイオードの概略図。 幾つかの実施例に基づいて、CMOS/BiCMOSASICとモノリシックに集積化されたSOI上のマイクロストラクチャ穴を具備する垂直N−I−PGe/GeSiフォトダイオードの概略図。 幾つかの実施例に基づいて、CMOS/BiCMOSASICとモノリシックに集積化されたSOI上のマイクロストラクチャ穴を具備する垂直N−I−PGe/GeSiフォトダイオードの概略図。 幾つかの実施例に基づくGe及び/又はGeSi・オン・Siラテラル?み合い型アバランシェフォトダイオード(APD)の概略断面図。 幾つかの実施例に基づく、ラテラルアバランシェフォトダイオード(APD)又は単一フォトンアバランシェフォトダイオード(SPAD)の概略断面図。 幾つかの実施例に基づく、光学的吸収がGeにおいて発生し且つ増倍がSiにおいて発生するGe又はGe/GeSi・オン・SiAPDの概略断面図。 幾つかの実施例に基づく、Ge/GeSi層内にマイクロストラクチャ穴412を付加した図4Aと同様の構造の概略断面図。 幾つかの実施例に基づく、単一チップ上の?み合い型Ge/GeSi・オン・SiAPD/SPADを具備する?み合い型Ge/GeSi・オン・Siフォトダイオードの概略断面図。 幾つかの実施例に基づく、吸収を向上させ従って外部量子効率(EQE)を向上させるためにマイクロストラクチャ穴を付加した図5Aと同様のラテラルAPD/SPADと共にラテラルフォトダイオードの概略断面図。 幾つかの実施例に基づく、光検知器がCMOS/BiCMOSASICエレクトロニクス及びレーザとモノリシックに集積化されたチップの簡単な3D斜視図。 幾つかの実施例に基づく、面発光レーザの概略平面図。 上部表面上にタブを具備する面発光レーザチップの概略部分側面図。 幾つかの実施例に基づく、フォトダイオードの断面図。 幾つかの実施例に基づく、フォトダイオードの断面図。 幾つかの実施例に基づく、フォトダイオードの断面図。 幾つかの実施例に基づく、フォトダイオードの断面図。 幾つかの実施例に基づく、フォトダイオードの断面図。 幾つかの実施例に基づく、検知器がCMOS/BiCMOSエレクトロニクスとモノリシックに集積化されている場合の検知器及びレーザアレイを具備している単一チップの簡単化した部分平面図。 幾つかの実施例に基づく、LiDARシステムにおける3Dイメージングのために使用することが可能な複数のレーザ及び2Dアレイのマイクロストラクチャ穴光検知器を具備するチップの簡単化した部分概略平面図。 ラテラル光検知器の簡単化した部分概略断面図。 ラテラル光検知器の簡単化した部分概略断面図。 幾つかの実施例に基づく、P及びN接合を具備するラテラルSiアバランシェフォトダイオード/単一フォトンアバランシェフォトダイオードの部分断面図。 同一の環境において存在することのある異なるLiDAR信号の間を区別するためにLiDAR適用例に対する複数のパルスの或るシーケンスを有する場合があるレーザパルスを示した概略図。 同一の環境において存在することのある異なるLiDAR信号の間を区別するためにLiDAR適用例に対する複数のパルスの或るシーケンスを有する場合があるレーザパルスを示した概略図。 垂直PINマイクロストラクチャ穴光検知器に対する実験結果を示した概略図。 垂直PINマイクロストラクチャ穴光検知器に対する実験結果を示した概略図。 垂直PINマイクロストラクチャ穴光検知器に対する実験結果を示した概略図。 幾つかの実施例に基づく、ネイティブ酸化物が或る場合と無い場合のラテラルSiMSMコンタクトの実験的電流―電圧(IV)特性を示したプロット。 幾つかの実施例に基づく、ネイティブ酸化物が或る場合と無い場合のラテラルSiMSMコンタクトの実験的電流―電圧(IV)特性を示したプロット。 垂直形態でのSiマイクロストラクチャ穴PINフォトダイオードの実験的利得プロット。 垂直形態でのSiマイクロストラクチャ穴PINフォトダイオードの実験的利得プロット。 垂直形態でのSiマイクロストラクチャ穴PINフォトダイオードの実験的利得プロット。 垂直形態でのSiマイクロストラクチャ穴PINフォトダイオードの実験的利得プロット。 幾つかの実施例に基づく、種々のマイクロストラクチャ穴構造の光学フィールドのFDTD(有限差分時間領域)シミュレーションのプロット。 幾つかの実施例に基づく、種々のマイクロストラクチャ穴構造の光学フィールドのFDTD(有限差分時間領域)シミュレーションのプロット。 幾つかの実施例に基づく、種々のマイクロストラクチャ穴構造の光学フィールドのFDTD(有限差分時間領域)シミュレーションのプロット。 幾つかの実施例に基づく、種々のマイクロストラクチャ穴構造の光学フィールドのFDTD(有限差分時間領域)シミュレーションのプロット。 幾つかの実施例に基づく、種々のマイクロストラクチャ穴構造の光学フィールドのFDTD(有限差分時間領域)シミュレーションのプロット。 幾つかの実施例に基づく、種々のマイクロストラクチャ穴構造の光学フィールドのFDTD(有限差分時間領域)シミュレーションのプロット。 幾つかの実施例に基づく、種々のマイクロストラクチャ穴構造の光学フィールドのFDTD(有限差分時間領域)シミュレーションのプロット。 幾つかの実施例に基づく、種々のマイクロストラクチャ穴構造の光学フィールドのFDTD(有限差分時間領域)シミュレーションのプロット。 幾つかの実施例に基づく、金属酸化物半導体(MOS)接合を具備するラテラル?み合い型光検知器の簡単化した部分断面図。 幾つかの実施例に基づく、金属酸化物半導体(MOS)接合を具備するラテラル?み合い型光検知器の簡単化した部分断面図。 幾つかの実施例に基づく、?み合い型ラテラル光検知器の簡単化した部分断面図。 幾つかの実施例に基づく、複数の検知器アレイが一つ又はそれ以上のチップ上にCMOS/BiCMOSASICとモノリシックに集積化されているLiDAR及び/又はカメラシステムの概略図。 幾つかの実施例に基づく、複数の検知器アレイが一つ又はそれ以上のチップ上にCMOS/BiCMOSASICとモノリシックに集積化されているLiDAR及び/又はカメラシステムの概略図。 幾つかの実施例に基づく、複数の検知器アレイが一つ又はそれ以上のチップ上にCMOS/BiCMOSASICとモノリシックに集積化されているLiDAR及び/又はカメラシステムの概略図。 幾つかの実施例に基づく、複数の検知器アレイが一つ又はそれ以上のチップ上にCMOS/BiCMOSASICとモノリシックに集積化されているLiDAR及び/又はカメラシステムの概略図。 幾つかの実施例に基づく、光学信号の吸収が支配的にGe/GeSi内であり及びアバランシェ利得等の増倍がSiにおいて発生するラテラルAPD/SPADGe/GeSi・オン・Siの簡単化した部分概略断面図。 幾つかの実施例に基づく、光学信号の吸収が支配的にGe/GeSi内であり及びアバランシェ利得等の増倍がSiにおいて発生するラテラルAPD/SPADGe/GeSi・オン・Siの簡単化した部分概略断面図。 幾つかの実施例に基づく、光学信号の吸収が支配的にGe/GeSi内であり及びアバランシェ利得等の増倍がSiにおいて発生するラテラルAPD/SPADGe/GeSi・オン・Siの簡単化した部分概略断面図。 幾つかの実施例に基づく、BOX層があるか又は無い場合の?み合い型垂直Ge/GeSi・オン・SiAPD/SPADの簡単な概略断面図。 ?み合いアノード及びカソードが送信線へ接続されており且つCMOS/BiCMOSASICとモノリシックに集積化させることが可能な図22Aに示した構造の簡単な平面図。 幾つかの実施例に基づく、?み合い型ラテラルGe/GeSi・オン・SiAPD/SPADの概略断面図。 幾つかの実施例に基づく、?み合い型ラテラルGe/GeSi・オン・SiAPD/SPADの概略断面図。 幾つかの実施例に基づく、?み合い型ラテラルGe/GeSi・オン・SiAPD/SPADの概略断面図。 幾つかの実施例に基づく、?み合い型ラテラルGe/GeSi・オン・SiAPD/SPADの概略断面図。 幾つかの実施例に基づく、?み合い型ラテラルGe/GeSi・オン・SiAPD/SPADの概略断面図。 幾つかの実施例に基づく、?み合い型ラテラルGe/GeSi・オン・SiAPD/SPADの概略断面図。 幾つかの実施例に基づく、?み合い型ラテラルGe/GeSi・オン・SiAPD/SPADの概略断面図。 幾つかの実施例に基づく、?み合い型ラテラルGe/GeSi・オン・SiAPD/SPADの概略断面図。 Geストリップにおける光学吸収のFDTDシミュレーションにおいて使用するマイクロストラクチャ穴が或る場合及び無い場合のGeストリップ・オン・Siの概略断面図及び平面図。 Geストリップにおける光学吸収のFDTDシミュレーションにおいて使用するマイクロストラクチャ穴が或る場合及び無い場合のGeストリップ・オン・Siの概略断面図及び平面図。 1−1.6ミクロンの波長に対するマイクロストラクチャ穴が無い場合のGeストリップ・オン・Siの吸収のFDTDシミュレーションを示した概略図。 1−1.6ミクロンの波長に対するマイクロストラクチャ穴を具備する場合のGeストリップ・オン・Siにおける光学吸収のFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、トレンチとして構成した穴を具備する半導体表面の簡単化した部分概略平面図。 幾つかの実施例に基づく、トレンチとして構成した穴を具備する半導体表面の簡単化した部分概略平面図。 幾つかの実施例に基づく、イメージング及びLiDAR適用例用のSPAD又はAPD又はPDSi光検知器の簡単化した部分概略断面図。 幾つかの実施例に基づく、イメージング及びLiDAR適用例用のSPAD又はAPD又はPDSi光検知器の簡単化した部分概略断面図。 幾つかの実施例に基づく、Nウエルの上にGe/GeSi層を付加した場合の図31Bと類似した簡単化した部分概略断面図。 幾つかの実施例に基づく、Nウエルの上にGe/GeSi層を付加した場合の図31Bと類似した簡単化した部分概略断面図。 幾つかの実施例に基づく、半導体表面上に形成したマイクロストラクチャ穴の簡単化した部分概略断面図。 幾つかの実施例に基づく、半導体表面上に形成したマイクロストラクチャ穴の簡単化した部分概略断面図。 幾つかの実施例に基づく、半導体表面上に形成したマイクロストラクチャ穴の簡単化した部分概略断面図。 既知の光学モジュールを示した概略図。 幾つかの実施例に基づく、光学モジュールを示した概略図。 既知の光学モジュールを示した概略図。 幾つかの実施例に基づく、光学モジュールを示した概略図。 幾つかの実施例に基づく、?み合い型フォトダイオード及び伸長型マイクロストラクチャ穴の簡単化した部分概略平面図。 幾つかの実施例に基づく、?み合い型フォトダイオード及び伸長型マイクロストラクチャ穴の簡単化した部分概略平面図。 幾つかの実施例に基づく、?み合い型フォトダイオードのM1及びM2電極の両方の下側の金属酸化物半導体接合の電流電圧特性「IV」を示したグラフ。 幾つかの実施例に基づく、?み合い型Ge/GeSi・オン・Siフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、?み合い型Ge/GeSi・オン・Siフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、?み合い型Ge/GeSi・オン・Siフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、?み合い型Ge/GeSi・オン・Siフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、?み合い型Ge/GeSi・オン・Siフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、?み合い型Ge/GeSi・オン・Siフォトダイオードの簡単化した部分概略断面図。 FDTDシミュレーションのために使用したGe・オン・Siピラミッドの簡単化した断面図。 図39Dに示した構造のFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、CMOS/BiCMOSASICを具備するモノリシック集積化した光検知器アレイの簡単化した部分断面図。 幾つかの実施例に基づく、流体自己組立用の形態としたSi表面上にエッチしたピットの簡単化した概略図。 幾つかの実施例に基づく、流体自己組立用の形態としたSi表面上にエッチしたピットの簡単化した概略図。 幾つかの実施例に基づく、流体自己組立用の形態としたSi表面上にエッチしたピットの簡単化した概略図。 幾つかの実施例に基づく、Ge/GeSi・オン・Siフォトダイオードの簡単化した部分断面図。 幾つかの実施例に基づく、Ge/GeSi・オン・Siフォトダイオードの簡単化した部分断面図。 幾つかの実施例に基づく、Ge/GeSi・オン・Siフォトダイオードの簡単化した部分断面図。 幾つかの実施例に基づく、Ge/GeSi・オン・Siフォトダイオードの簡単化した部分断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器のCMOS及び/又はBiCMOSASICとのモノリシック集積化のための基本的な簡単化した段階を示した概略図。 幾つかの実施例に基づく、?み合い型光検知器をCMOS/BiCMOSASICとモノリシックに集積化させるための簡単化した基本的な処理段階を示した概略図。 幾つかの実施例に基づく、?み合い型光検知器をCMOS/BiCMOSASICとモノリシックに集積化させるための簡単化した基本的な処理段階を示した概略図。 幾つかの実施例に基づく、?み合い型光検知器をCMOS/BiCMOSASICとモノリシックに集積化させるための簡単化した基本的な処理段階を示した概略図。 幾つかの実施例に基づく、?み合い型光検知器をCMOS/BiCMOSASICとモノリシックに集積化させるための簡単化した基本的な処理段階を示した概略図。 幾つかの実施例に基づく、?み合い型光検知器をCMOS/BiCMOSASICとモノリシックに集積化させるための簡単化した基本的な処理段階を示した概略図。 幾つかの実施例に基づく、?み合い型光検知器をCMOS/BiCMOSASICとモノリシックに集積化させるための簡単化した基本的な処理段階を示した概略図。 幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシックに集積化させることが可能なGe/GeSi・オン・Si?み合い型フォトダイオードに対する基本的な製造段階を示した概略図。 幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシックに集積化させることが可能なGe/GeSi・オン・Si?み合い型フォトダイオードに対する基本的な製造段階を示した概略図。 幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシックに集積化させることが可能なGe/GeSi・オン・Si?み合い型フォトダイオードに対する基本的な製造段階を示した概略図。 幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシックに集積化させることが可能なGe/GeSi・オン・Si?み合い型フォトダイオードに対する基本的な製造段階を示した概略図。 幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシックに集積化させることが可能なGe/GeSi・オン・Si?み合い型フォトダイオードに対する基本的な製造段階を示した概略図。 幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシックに集積化させることが可能なGe/GeSi・オン・Si?み合い型フォトダイオードに対する基本的な製造段階を示した概略図。 幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシックに集積化させることが可能なGe/GeSi・オン・Siフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴を周囲物質におけるものよりも光学的屈折率が一層低い領域として画定することが可能な場合のマイクロストラクチャ穴の例の簡単化した部分概略断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴を周囲物質におけるものよりも光学的屈折率が一層低い領域として画定することが可能な場合のマイクロストラクチャ穴の例の簡単化した部分概略断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴を周囲物質におけるものよりも光学的屈折率が一層低い領域として画定することが可能な場合のマイクロストラクチャ穴の例の簡単化した部分概略断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴を周囲物質におけるものよりも光学的屈折率が一層低い領域として画定することが可能な場合のマイクロストラクチャ穴の例の簡単化した部分概略断面図。 幾つかの実施例に基づく、?み合い間にマイクロストラクチャ穴を具備するラテラルP及び Nウエルを具備する?み合い型Ge・オン・SiSOIフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、Ge・オン・SiSOIラテラルPIN?み合い型光検知器の簡単化した3D概略図。 図52に示したような?み合い型電極を具備する?み合い型ラテラルPIN光検知器の簡単化した3D概略図。 図52に示したような構造のFDTDシミュレーションした光学的吸収を示したグラフ。 図52に示したような構造のFDTDシミュレーションした光学的吸収を示したグラフ。 図52に示したような構造のFDTDシミュレーションした光学的吸収を示したグラフ。 装置層が1ミクロン厚さである場合のSOI上の?み合い型MSM光検知器の逆バイアス電圧に対する外部量子効率の実験データを示したグラフ。 図55に示したEQEを有する装置の850nm波長におけるインパルス応答を示したグラフ。 図55に示したEQEを有する装置の850nm波長におけるインパルス応答を示したグラフ。 図55に示したEQEを有する装置の850nm波長におけるインパルス応答を示したグラフ。 図55に示したEQEを有する装置の850nm波長におけるインパルス応答を示したグラフ。 幾つかの実施例に基づく、底部照射型CMOS/BiCMOSセンサーアレイの簡単な部分断面図。 幾つかの実施例に基づく、底部照射型CMOS/BiCMOSセンサーアレイの簡単な部分断面図。 幾つかの実施例に基づく、底部照射型CMOS/BiCMOSセンサーアレイの簡単な部分断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴裏面照射型CMOS/BiCMOSセンサーアレイの断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴裏面照射型CMOS/BiCMOSセンサーアレイの底面図。 幾つかの実施例に基づく、マイクロストラクチャ穴底部照射型CMOS/BiCMOSセンサーアレイの簡単化した部分断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴底部照射型CMOS/BiCMOSセンサーアレイの簡単化した部分断面図。 幾つかの実施例に基づく、円形状の穴を具備する裏面照射型CMOS/BiCMOSセンサーアレイの簡単化した部分概略底面図。 幾つかの実施例に基づく、円形状の穴を具備する裏面照射型CMOS/BiCMOSセンサーアレイの簡単化した部分概略底面図。 幾つかの実施例に基づく、六角形格子における六角形穴を例示した概略図。 正方形格子において1300nm周期で1000nmの直径のマイクロストラクチャ穴を具備するSOI上の1ミクロン装置層に対するFDTDシミュレーションを行った光学的波長vs波長の示すグラフ。 SOI構成体上の0.5ミクロンSi装置のFDTDシミュレーションを示すグラフ。 850nm波長照明がある場合及び無い場合のIV特性の線形プロットを示したグラフ。 850nm波長照明がある場合及び無い場合のIV特性の片対数プロットを示したグラフ。 幾つかの実施例に基づく、マイクロストラクチャ穴を具備する?み合い型SiMSMのインパルス応答を示したグラフ。 幾つかの実施例に基づく、マイクロストラクチャ穴を具備する?み合い型SiMSMのアイパターンを示したグラフ。 幾つかの実施例に基づく、マイクロストラクチャ穴を具備する?み合い型SiMSMのインパルス応答を示したグラフ。 幾つかの実施例に基づく、マイクロストラクチャ穴を具備する?み合い型SiMSMのアイパターンを示したグラフ。 幾つかの実施例に基づく、マイクロストラクチャ穴を具備する?み合い型SiMSMのインパルス応答を示したグラフ。 幾つかの実施例に基づく、マイクロストラクチャ穴を具備する?み合い型SiMSMのアイパターンを示しタたラフ。 幾つかの実施例に基づく、マイクロストラクチャ穴を具備する?み合い型SiMSMのインパルス応答を示したグラフ。 幾つかの実施例に基づく、マイクロストラクチャ穴を具備する?み合い型SiMSMのアイパターンを示したグラフ。 マイクロストラクチャ穴が或る場合と無い場合のPIN/NIP垂直構造光検知器の容量変化の百分率を示したグラフ。 プロットに示したようにSi装置層が1000nm−200nmの範囲である場合のSOI上のSi層におけるFDTDシミュレーションした光学的吸収を示したグラフ。 幾つかの実施例に基づく、SiMSMの簡単化した部分断面図。 幾つかの実施例に基づく、SiMSMの簡単化した部分断面図。 図72A−Bに示した装置の平面の簡単化した部分概略図。 幾つかの実施例に基づく、Siが存在する領域上に成長されたGe及び/又はGeSi選択的区域の概略断面図。 幾つかの実施例に基づく、Siが存在する領域上に成長されたGe及び/又はGeSi選択的区域の概略断面図。 図74Aに示した装置の平面図。 図74Bに示した装置の簡単化した平面図。 図74Aに示したような装置の簡単化した平面図。 幾つかの実施例に基づく、スロットとして構成された穴がクロスハッチ等のパターンを形成する場合のSi表面上に付着させたSi二酸化物/誘電体の簡単化した部分断面図。 幾つかの実施例に基づく、スロットとして構成された穴がクロスハッチ等のパターンを形成する場合のSi表面上に付着させたSi二酸化物/誘電体の簡単化した平面図。 幾つかの実施例に基づく、例えばCMPを使用して表面を平坦化させることが可能な場合のGe及び/又はGeSi・オン・Siの選択的区域エピタキシャル成長の簡単化した部分断面図。 幾つかの実施例に基づく、例えばCMPを使用して表面を平坦化させることが可能な場合のGe及び/又はGeSi・オン・Siの選択的区域エピタキシャル成長の簡単化した平面図。 幾つかの実施例に基づく、例えばCMPを使用して表面を平坦化させることが可能な場合のGe及び/又はGeSi・オン・Siの選択的区域エピタキシャル成長の簡単化した部分断面図。 幾つかの実施例に基づく、例えばCMPを使用して表面を平坦化させることが可能な場合のGe及び/又はGeSi・オン・Siの選択的区域エピタキシャル成長の簡単化した部分断面図。 幾つかの実施例に基づく、例えばCMPを使用して表面を平坦化させることが可能な場合のGe及び/又はGeSi・オン・Siの選択的区域エピタキシャル成長の簡単化した平面図。 幾つかの実施例に基づく、SOIウエハ上の?み合い型Siフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、SOIウエハ上の?み合い型Siフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、SOIウエハ上の?み合い型Siフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、SOIウエハ上の?み合い型Siフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴が形成されているSiラテラルPIN光検知器の簡単な部分断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴が形成されているSiラテラルPIN光検知器の簡単な平面図。 幾つかの実施例に基づく、マイクロストラクチャ穴が形成されているSiラテラルPIN光検知器の簡単な平面図。 幾つかの実施例に基づく、SOIウエハ上のマイクロストラクチャ穴の光学的吸収のFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、SOIウエハ上のマイクロストラクチャ穴の光学的吸収のFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、SOIウエハ上のマイクロストラクチャ穴の光学的吸収のFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、SOIウエハ上のマイクロストラクチャ穴の光学的吸収のFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、SOIウエハ上のマイクロストラクチャ穴の光学的吸収のFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、SOIウエハ上のマイクロストラクチャ穴の光学的吸収のFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、薄いSi装置層上のマイクロストラクチャ穴の簡単化した部分断面図。 幾つかの実施例に基づく、薄いSi装置層上のマイクロストラクチャ穴の簡単化した部分断面図。 幾つかの実施例に基づく、マイクロストラクチャ穴光検知器の概略断面図。 幾つかの実施例に基づく、Ge/GeSi・オン・Siマイクロストラクチャ穴光検知器の概略断面図。 幾つかの実施例に基づく、?み合い部がアノードであり且つカソード電極がN+Si上に形成することが可能な場合のSiマイクロストラクチャ穴垂直PINを示した概略図。 幾つかの実施例に基づく、垂直PIN光検知器の概略平面図。 上部装置層表面へ入射する光学フィールドのFDTDシミュレーションを示した概略図。 上部装置層表面へ入射する光学フィールドのFDTDシミュレーションを示した概略図。 上部装置層表面へ入射する光学フィールドのFDTDシミュレーションを示した概略図。 マイクロストラクチャ穴構造のFDTDシミュレーションを示した概略図。 マイクロストラクチャ穴構造のFDTDシミュレーションを示した概略図。 マイクロストラクチャ穴構造の光学フィールドのFDTDシミュレーションを示した概略図。 マイクロストラクチャ穴構造の光学フィールドのFDTDシミュレーションを示した概略図。 マイクロストラクチャ形態の光学フィールドのFDTDシミュレーションを示した概略図。 マイクロストラクチャ形態の光学フィールドのFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、ラテラルPIN?み合い型光検知器の部分概略断面図。 幾つかの実施例に基づく、ラテラルPIN?み合い型光検知器の部分概略断面図。 幾つかの実施例に基づく、ラテラルPIN?み合い型光検知器の部分概略平面図。 幾つかの実施例に基づく、底部照射型CMOSイメージセンサ又は底部照射型CMOS高速垂直PINフォトダイオードの簡単化した部分概略断面図。 幾つかの実施例に基づく、底部照射型CMOSイメージセンサ又は底部照射型CMOS高速垂直PINフォトダイオードの簡単化した部分概略断面図。 図95及び96と同様な部分概略断面図。 図95及び96と同様な部分概略断面図。 図95及び96と同様な部分概略断面図。 図95及び96と同様な部分概略断面図。 SOI構成体におけるBOX層の上の薄いSi層の概略断面図。 該SOI構成体における該BOX層の上の該薄いSi層で吸収される光学フィールドのFDTDシミュレーションを示したグラフ。 図99Aに示したものと同様の構造の部分概略断面図。 図99Aに示したものと同様の構造の部分概略断面図。 幾つかの実施例に基づく、或る構成体の面照射型光学フィールドのFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、或る構成体の面照射型光学フィールドのFDTDシミュレーションを示した概略図。 或る構成体の面照射型光学フィールドのFDTDシミュレーションを示した概略図。 或る構成体の面照射型光学フィールドのFDTDシミュレーションを示した概略図。 或る構成体の面照射型光学フィールドのFDTDシミュレーションを示した概略図。 或る構成体の面照射型光学フィールドのFDTDシミュレーションを示した概略図。 或る構成体の面照射型光学フィールドのFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、イメージング適用例用の稠密2Dアレイとして構成された光検知器アレイの簡単化した部分概略平面図。 幾つかの実施例に基づく、イメージング適用例用の稠密2Dアレイとして構成された光検知器アレイの簡単化した部分概略平面図。 幾つかの実施例に基づく、イメージング適用例用の稠密2Dアレイとして構成された光検知器アレイの簡単化した部分概略平面図。 幾つかの実施例に基づく、イメージング適用例用の稠密2Dアレイとして構成された光検知器アレイの簡単化した部分概略平面図。 幾つかの実施例に基づく、イメージング適用例用の稠密2Dアレイとして構成された光検知器アレイの簡単化した部分概略平面図。 幾つかの実施例に基づく、イメージング適用例用の稠密2Dアレイとして構成された光検知器アレイの簡単化した部分概略平面図。 幾つかの実施例に基づく、イメージング適用例用の稠密2Dアレイとして構成された光検知器アレイの簡単化した部分概略平面図。 幾つかの実施例に基づく、イメージング適用例用の稠密2Dアレイとして構成された光検知器アレイの簡単化した部分概略平面図。 幾つかの実施例に基づく、ピクセル内の単一マイクロストラクチャ穴の簡単化した部分断面図。 幾つかの実施例に基づく、ピクセル内の単一マイクロストラクチャ穴の簡単化した部分断面図。 幾つかの実施例に基づく、ピクセル内の単一マイクロストラクチャ穴の簡単化した部分断面図。 マイクロストラクチャ穴又はマイクロ穴での吸収向上の実験結果を示したグラフ。 幾つかの実施例に基づく、単一マイクロストラクチャ穴を具備するピクセルの簡単化した部分概略平面図。 幾つかの実施例に基づく、単一マイクロストラクチャ穴を具備するピクセルの簡単化した部分概略平面図。 幾つかの実施例に基づく、単一マイクロストラクチャ穴を具備するピクセルの簡単化した部分概略断面図。 Siが30nm厚さでBOX層がSi基板上の100nmである場合のSOI基板上の薄いSi装置層のFDTDシミュレーションを示した概略図。 Siが30nm厚さでBOX層がSi基板上の100nmである場合のSOI基板上の薄いSi装置層のFDTDシミュレーションを示した概略図。 Siが30nm厚さでBOX層がSi基板上の100nmである場合のSOI基板上の薄いSi装置層のFDTDシミュレーションを示した概略図。 幾つかの実施例に基づく、光トラッピング構造の部分概略断面図。 幾つかの実施例に基づく、BOX層上のSiにおける単一穴ピクセルのFDTDシミュレーションした光学的吸収、反射、及び透過を示した概略図。 幾つかの実施例に基づく、BOX層上のSiにおける単一穴ピクセルのFDTDシミュレーションした光学的吸収、反射、及び透過を示した概略図。 幾つかの実施例に基づく、BOX層上のSiにおける単一穴ピクセルのFDTDシミュレーションした光学的吸収、反射、及び透過を示した概略図。
好適実施例の幾つかの例の詳細な説明を以下に記載する。幾つかの実施例を記載するが、本特許明細書に記載される新規な要旨は本書に記載するいずれか一つ又は複数の実施例の組み合わせへ制限されるべきものではなく、多数の代替例、修正例、及び均等物を包含するものであることを理解すべきである。更に、完全なる理解を与えるために以下の記載においては多数の特定の詳細が記載されるが、これらの詳細の全て又は幾つか無しでも幾つかの実施例を実施することが可能である。更に、明確性の目的のために、関連技術において既知である或る技術的資料は、本書に記載する新奇な要旨が不必要にぼかされることを回避するために、詳細には記載していない。本書に記載する特定の実施例の一つ又は幾つかの個々の特徴はその他の記載される実施例の特徴と結合して使用することが可能であることは明白である。更に、種々の図面における同様の参照番号及び記号は同様の要素を表すものである。
本特許明細書において引用される全ての刊行物は引用により本書に取り込まれている。本書に記載される図面の幾つかは簡単化されているが、明確性のためには、当業者が理解するであろうような構造の要素は明示的に示す必要性がないので省略することが可能であり、且つ該図面は図示した部分の繰り返しパターンを有する構造の一部のみを示す場合がある。例えば、或る図面は単一の対の横方向に離隔された電極を具備する装置を示す場合があるが、記載される実際の装置は同一の基板の上又は中に2つ又はそれ以上のこの様な領域の集まりを包含している。ギリシャ文字のν(ニュー)及びπ(パイ)は、本明細書においては、夫々N及びPドーピングへ低ドープされている、例えば、約1012/cmドーピングを超えることのないドーピングへドープされている半導体物質を意味している。本特許明細書において記載される半導体物質領域は、そうでないことの特に断りがない限り、以下に記載する故意に形成される「穴(hole)」を除いて、単結晶又は基本的に単結晶である物質である。穴の又はエッチングの深さに関して「一部」又は「部分的に」という用語は、本明細書においては、領域全体を貫通するのでは無く或る領域内へ途中まで延在する穴のことを意味している。
図1は、Ge/GeSi光検知器の?み合い型(interdigitated)金属―半導体―金属(MSM)の概略断面図である。該Ge/GeSi層はSi及び絶縁体(SOI)上に形成されている。該Si装置層はI又は低ドープP型であり、固有抵抗は5Ω/cm以上であり且つ厚さは略100−1000nmである。Ge/GeSi層は、低温Ge/GeSiバッファ層を伴うか又は伴うこと無しに該Si装置上に選択的区域成長され、且つ該Ge/GeSi層がI又は低ドープである箇所において、及び100−1000nm、及び、幾つかの場合には、200−700nm、及び、幾つかの場合には、300−500nm、の範囲の厚さで成長される。漏れ電流を減少させるために、1−10nmの範囲の厚さで該Ge/GeSi上に薄いAl酸化物層を付着させることが可能である。金属及び/又は金属シリサイドが電極M1及びM2の?み合い部を形成する。マイクロストラクチャ穴112及び114は該Ge/GeSi層内へ完全に又は部分的にドライエッチさせる。幾つかの場合に、該エッチは穴114のように該Ge/GeSi層の底部へ延在することが可能である。幾つかの場合に、Siにおける該ドライエッチの後にウエットエッチを実施して穴112におけるように反転ピラミッドを形成することが可能である。該マイクロストラクチャ穴の横方向寸法は500−1700nm又はそれ以上の範囲とすることが可能である。該マイクロストラクチャ穴の形状は円形状、矩形状、多角形状、反転ピラミッド、及び/又は複数の形状の任意の結合とすることが可能である。該マイクロストラクチャ穴は正方形又は六角形格子におけるものとすることが可能であり、且つ周期的及び/又は非周期的なものとすることが可能である。?み合い電極間隔は500−1000nm又はそれ以上の範囲とすることが可能であり、及び、幾つかの場合には、300乃至1000nm又はそれ以上の範囲とすることが可能である。該?み合い部の長さは5ミクロン乃至100ミクロン又はそれ以上の範囲とすることが可能であり、及び、幾つかの場合には、10−50ミクロンとすることが可能である。
該マイクロストラクチャ穴は、充填しないもの、誘電体又は多結晶半導体で、部分的に充填するもの、又は完全に充填するものとすることが可能である。幾つかの場合に、該Al酸化物層を、10−300nmの範囲の厚さを有するI又は低ドープポリシリコンで置換させることが可能である。該噛み合い型光検知器は、CMOS/BiCMOS応用特定集積回路(ASIC)へモノリシックに集積化させることが可能である。図示していないものは、噛み合い部M1及びM2を該CMOS/BiCMOSエレクトロニクスへ接続させる送信線がある。
幾つかの場合に、噛み合い部M1及びM2は、部分的に該Ge層内へ形成させることが可能である。そして、幾つかの場合に、M1とM2との間にP−I−N接合を形成するために、M1及びM2の下側にP及びNウエルを形成することが可能である。P−I−Nの場合には、M1とM2との間に逆バイアスが印加され、その場合にPはNよりも一層負である。M1とM2とがショットキーコンタクト又は金属酸化物半導体コンタクトである場合には、該噛み合い型検知器は順方向又は逆電圧バイアスの両方で動作させることが可能である。
波長は800nm乃至1800nmの範囲とすることが可能であり、及び、幾つかの場合には、800nm−1600nm、幾つかの場合には、1000nm−1400nm、そして、幾つかの場合には、700−2200nmの範囲とすることが可能である。
P−I−Nに対する逆バイアス電圧は、1乃至10V又はそれ以上の範囲とすることが可能であり、且つ、順方向及び逆バイアスの両方において対称的なものとすることが可能なショットキーコンタクトに対するバイアス電圧は1乃至10V又はそれ以上の範囲とすることが可能である。幾つかの場合には、10V又はそれ以上の電圧において、外部量子効率において利得を観測することが可能であり、そのことは、例えば、アバランシェ利得に起因するものである場合がある。幾つかの場合に、利得は10V未満において観測できる場合がある。光学信号は上部表面から入射することが可能であり、その場合に、該噛み合い部は底部基板表面上にある。図示していないものは、パッシベーション反射防止層である。幾つかの場合に、該マイクロストラクチャ穴は該Ge/GeSi層内に部分的にエッチングさせることが可能であり、及び、幾つかの場合に、それは該Si層内へ部分的にエッチングさせることが可能であり、及び、幾つかの場合に、それは該BOX層へエッチングさせることが可能である。幾つかの場合に、該マイクロストラクチャ穴は該BOX層内へエッチングさせることが可能であり、且つ、幾つかの場合に、該BOX層を貫通してエッチングさせることが可能である。
本特許明細書において言及される殆どの場合におけるマイクロストラクチャ穴の横方向寸法は、何らかの誘電体で充填しておらず且つ空気又は真空のみで充填されているマイクロストラクチャ穴に対するものであって、その場合に、その光学的屈折率は約1である。該マイクロストラクチャ穴が誘電体で完全に又は部分的に充填されている場合には、該マイクロストラクチャ穴の横方向寸法は、該マイクロストラクチャ穴内の誘電体/ボイドの実効光学的屈折だけ減少させることが可能である。例えば、空気で充填されていない場合に800nmの横方向寸法を有するマイクロストラクチャ穴は、約1.5の光学的屈折率を有するSiO2で完全に充填されている場合には、533nmの横方向寸法を有することが可能である。該マイクロストラクチャ穴横方向寸法は、幾つかの場合に、誘電体で充填されている場合に減少させることが可能であり、その場合に、その屈折率は1よりも大きく、例えば、(真空又は空気中のマイクロストラクチャ穴の横方向)/(光学的屈折率)であり、及び、幾つかの場合に、該マイクロストラクチャ穴が誘電体で部分的に充填されており且つ実効光学的屈折率は、1の体積比率か又は該マイクロストラクチャ穴内のより多くの誘電体によって計算することが可能である。
図2A−2Fは、幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシックに集積化されたSOI上にマイクロストラクチャ穴を具備する垂直N−I−PGe/GeSiフォトダイオードの概略図である。
図2Aは、例えば光検知器の下側等の選択的区域内にボロンの拡散又はイオン注入によってドープさせることが可能なP+領域208を有するSOIウエハの断面図である。P+ウエルの形成は該CMOS/BiCMOSプロセスの一部とさせることが可能である。
図2Bは、200−1000nm、そして、幾つかの場合には、300−500nmの範囲の厚さを有しており且つI又は低ドープとさせることが可能なGe/GeSi層を有するP+ウエル領域上に成長させたGe/GeSi選択的区域を示している。該Ge/GeSi層は、該Ge/GeSiと該Si装置層との間にバッファ層を包含することが可能である。該N+ポリシリコン層は、100nm乃至1000nm、及び、幾つかの場合には、100nm−500nmの範囲の厚さで該Ge/GeSi層上に付着させることが可能である。直列抵抗を減少させるために該N又はN+ポリシリコン上に透明導電性金属酸化物を付着させることが可能である。幾つかの場合に、該Nポリシリコン上に複数のカソードを形成することが可能である。アノードは該P+Si上に形成することが可能である。マイクロストラクチャ穴を該ポリシリコン内にエッチングし、且つ、幾つかの場合に、該Ge/GeSi内へ延在させることが可能であり、且つ、幾つかの場合に、該Ge/GeSi層を貫通して延在させることが可能である。マイクロストラクチャ穴212は充填しないままとするか、誘電体及び/又は多結晶半導体で、部分的に充填させるか、又は完全に充填させることが可能である。マイクロストラクチャ穴の寸法は図1のものと同様なものとすることが可能である。
図2Cは、図2Aにおけるような選択的区域成長させたGe/GeSi・オン・SOIを示しており、マイクロストラクチャ穴212はSiO島状部での選択的区域成長によって形成されている。該SiO島状部は図1に示した穴と同様の横方向寸法及び間隔を有することが可能であり、且つ該SiO島状部の厚さは10nm−500nm又はそれ以上の範囲とすることが可能である。Nポリシリコンを該Ge/GeSiの表面上に形成させることが可能であり、及び、幾つかの場合に、該マイクロストラクチャ穴の側壁上に形成させることが可能である。該Nポリシリコンは100乃至500nmの範囲の厚さを有することが可能である。幾つかの場合に、該ポリシリコンの表面上に透明導電性金属酸化物を形成させることが可能である。カソードをNポリシリコン上に形成し、且つアノードを該P+Si上に形成させる。
図2Dは、該Nポリシリコンが該Geの上部表面上にのみ存在しており該穴内には無いという点を除いて、図2Cと同様である。幾つかの場合に、該ポリシリコンは該マイクロストラクチャ穴内に部分的に存在させることが可能である。該マイクロストラクチャ穴は未充填とさせるか、誘電体及び/又は多結晶半導体で部分的に充填させるか又は完全に充填させることが可能である。
図2Eは、図2B、2C、及び2Dのマイクロストラクチャ穴フォトダイオードの概略平面図であり、選択的区域成長させたGe/GeSiの領域250を示しており、及び、幾つかの場合に、エッチしたメサとすることが可能であり、その場合に、カソードリング222が該選択的区域成長及び/又は該Ge/GeSi層250のメサの上に形成され、及びアノード220が該P+Si上に形成される。マイクロストラクチャ穴212が示されており、それは選択的区域成長によって形成するか又はエッチすることが可能である。該穴は未充填とするか、誘電体及び/又は多結晶/非晶質半導体で部分的に又は完全に充填させることが可能である。該光検知器又は光検知器アレイはCMOS/BiCMOSASICとモノリシックに集積化させることが可能である。不図示のものは該光検知器を該CMOS/BiCMOSエレクトロニクスと接続させている送信線である。更に不図示のものは分離トレンチ、光遮蔽、パッシベーション、反射防止等がある。
図2Fは別の平面図であり、それはカソード222へ接続している垂直及び/又は水平金属/金属シリサイド電極224を付加した状態を示している。幾つかの場合に、該金属/金属シリサイドは図示した如くに格子状とすることが可能である。該格子は、該Nポリシリコンの導電性が低い場合に電界の分布を一層一様なものとさせることが可能である。例えば、該Nポリシリコンが100Ω/sq又はそれ以上のシート抵抗を有する場合である。該金属及び/又は金属シリサイド電極の幅は、20nm−300nmの範囲とすることが可能である。反射防止コーティング及び/又は非晶質半導体のナノ構造を該金属電極上に付着させて反射を減少させることが可能である。幾つかの場合に、直列抵抗を減少させるために、該ポリシリコンの表面上に透明金属導電性酸化物を付着させることが可能である。
図2A−Fに例示したカソードへ接続した水平及び/又は垂直電極は、1個又はそれ以上の穴間隔だけ離隔させることが可能であり、且つ、幾つかの場合に、格子パターンにおけるような垂直及び水平電極の両方で、該電極の間隔は1個又はそれ以上の個数の穴によるものとすることが可能である。幾つかの場合に、該カソードへ接続する電極は蛇行型とすることが可能であり必ずしも直線型とすることは必要ではない。この例はN−I−P構造用のものであり、且つ該N及びPは交換可能であり、その場合に、該カソードは該アノードで置換され、且つ該アノードは該カソードで置換される。
図3Aは、幾つかの実施例に基づく、Ge及び/又はGeSi・オン・Siラテラル噛み合い型アバランシェフォトダイオード(APD)の概略断面図である。該フォトダイオードは、横方向P、I又はPN構造での、APD及び/又は単一フォトンアバランシェフォトダイオード(SPAD)とすることが可能である。逆バイアスを電極M1とM2との間に印加させる。幾つかの場合に、該ラテラルAPD/SPADはP、I又は低ドープN構造とすることが可能である。該Ge、及び/又はGeSi層厚さは100nm乃至1000nm又はそれ以上の範囲とすることが可能であり、及び、幾つかの場合に、300nm乃至700nmとすることが可能である。低温Geバッファ層を、Si上のGeの高温成長の前に、包含させることが可能である。例えば、Dehlinger et al..、高速ゲルマニウム・オン・SOIラテラルPINフォトダイオード(High-Speed Germanium-on-SOI
Lateral PIN Photodiodes)、IEEE Phogtonics Technology Letters, Vol. 16, No. 11, 2004年11月、の文献を参照すると良い。これらの構造はCMOS/BiCMOSASICとモノリシックに集積化させることが可能であり、例えば、Koester et al.、高性能光通信適用例用のGe・オン・SOI検知器/Si−CMOS−増幅器受信器(Ge-on-SOI-Detector/Si-CMOS Amplifier Receivers for High-Performance Optical-Communication Applications)、Journal of Lightwave Technology、Vol. 25、No. 1、2007年1月、の文献を参照すると良い。BOX層を包含させることが可能であり、該Si装置層は10nm−1000nm又はそれ以上の範囲の厚さを有することが可能である。光即ち光学信号が該Ge/GeSiの上部表面上に入射することが可能であり、又は底部表面Si又はBOX表面から入射することが可能である。波長は800nm乃至1800nmの範囲とすることが可能であり、及び、幾つかの場合に、800nm−1400nm又はそれ以上、及び、幾つかの場合に、800nm−1550nmの範囲とすることが可能である。
例えば、該M2電極上の該PNP増倍領域を遮蔽するため光遮蔽を包含させることが可能である。
図3Bは、幾つかの実施例に基づく、ラテラルアバランシェフォトダイオード(APD)又は単一フォトンアバランシェフォトダイオード(SPAD)の概略断面図である。該APD/SPAD噛み合い型光検知器は、吸収の向上のためにマイクロストラクチャ穴を包含している点を除いて、図3Aと同様である。マイクロストラクチャ穴312は、図示した如くに、該Ge/GeSi層内に形成され、且つ100nm乃至1000nmの範囲のエッチ深さを有することが可能であり、及び、幾つかの場合に、該マイクロストラクチャ穴は部分的に該Ge内とさせるか又は該Si層へ該Ge/GeSiを貫通してエッチングすることが可能であり、及び、幾つかの場合に、該Si層内へエッチングさせることが可能であり、及び、幾つかの場合に、該Si層を貫通して該BOX層へエッチングさせることが可能である。該マイクロストラクチャ穴は未充填とさせるか、又は誘電体、及び/又は、非晶質/多結晶半導体で部分的に充填させるか又は完全に充填させることが可能である。該マイクロストラクチャ穴の寸法は400nm乃至2000nmの範囲とすることが可能であり、及び、幾つかの場合に、500nm乃至1500nmの範囲とすることが可能である。隣接する穴の間の間隔即ちスペーシングは、0nm(接触しているか又は重畳している)乃至1000nmの範囲とすることが可能であり、及び、幾つかの場合に、100nm乃至500nmとすることが可能である。0nm即ち穴が接触している場合、該穴は円錐状とすることが可能であり、その場合に、該穴の頂部は接触するがその底部は接触していない。該穴の断面形状は円筒状、円錐状、多角形状、及び複数の形状の任意の組み合わせとすることが可能である。該穴は円形状、正方形状、又は多角形状とすることが可能であり、且つ非周期的、及び/又は周期的、及び/又はランダムパターンとすることが可能である。
図3A−Bに不図示のものは、パッシベーション層、CMOS/BiCMOSASIC、反射防止コーティング、光遮蔽、及び分離トレンチ等がある。
図4Aは、幾つかの実施例に基づく、ラテラル噛み合い型Ge・オン・SiAPDの概略断面図であり、その場合に、光学的吸収は該Geにおいて発生し且つ増倍はSiにおいて発生する。その構造は、P(Ge/GeSi)、I又は低ドープGe/GeSi/Si及びPN・オン・Siとすることが可能である。M1電極下側のGeのストリップは選択的区域成長を使用して成長させることが可能であり、又は該ストリップは該Geを該Si層へエッチングすることによって形成することが可能である。該Ge/GeSiはI又は低ドープとすることが可能であり、その厚さは100nm−1000nm又はそれ以上、及び、幾つかの場合には、300nm−700nmの範囲とすることが可能である。Pドープウエルを該Ge内に形成し、且つ該M1電極は該Pドープウエルへのオーミックコンタクトを形成する。P及びNウエルが該Si内に形成され、且つ該M2電極が該Nウエルへのオーミックコンタクトを形成する。該M1及びM2電極間に逆バイアスを印加する。該Si層内の該PN接合において増倍が発生し、且つ電子−正孔対を発生させるフォトン吸収が該Ge/GeSi層において発生する。LiDAR(光検知及び測距)適用例の場合、該噛み合い部の間の間隔は1ミクロン乃至100ミクロン又はそれ以上の範囲とすることが可能であり、及び、幾つかの場合に、5ミクロン−50ミクロンの範囲とすることが可能である。該Geストリップの幅は、M1及びM2電極間の間隔の50%又はそれ以上とすることが可能である。該M1及びM2電極の幅は20nm乃至300nm又はそれ以上の範囲とすることが可能であり、且つ該噛み合い型電極の長さは5ミクロン乃至100ミクロン又はそれ以上の範囲とすることが可能である。該Si装置層は10nm乃至1000nm又はそれ以上の範囲とすることが可能である。BOX層を包含させることが可能である。該光学信号即ち光の波長範囲は1000nm乃至1800nm、及び、幾つかの場合に、800nm−1800nmとすることが可能である。幾つかの場合に、該増倍領域を被覆するために光遮蔽を包含させることが可能である。不図示のものは、パッシベーション層、反射防止コーティング層、CMOS/BiCMOSASIC、送信線等がある。
図4Bは、幾つかの実施例に基づく、該Ge/GeSi層にマイクロストラクチャ穴412を付加した場合図4Aと同様の構造の概略断面図である。図示した如く、M1及びM2電極の間に単一のマイクロストラクチャ穴があり、及び、幾つかの場合に、M1及びM2電極の間の間隔に依存して、M1及びM2電極の間に複数個の穴が存在する場合がある。その穴の寸法は図3Bにおけるもの同様である。マイクロストラクチャ穴412の付加は光学的吸収を向上させ且つ或る波長範囲において、図4Aにおけるような同様な構造のものよりも一層高い外部量子効率を有することが可能である。フォトンは該Si基板の上部表面又は底部表面に入射することが可能である。逆バイアスがM1及びM2電極間に印加され、そのバイアス電圧は1乃至100V又はそれ以上、及び、幾つかの場合には、5−35Vの範囲である。
図4A−Bに例示したような構造において、1000nm又はそれ以上、例えば1000nm、乃至1800nmの波長範囲、及び、幾つかの場合に、1200nm乃至1600nmの波長範囲の場合に、フォトンは該Ge/GeSi層において支配的に吸収され、且つアバランシェ利得等の増倍は、該装置がアノード(M1)とカソード(M2)との間で逆バイアスされる場合に、該SiPN領域において発生し、その場合に、M1における電圧即ち電位はM2における電圧即ち電位よりも一層負であり即ち一層低い。該逆バイアスは−3V乃至−50V又はそれ以上、及び、幾つかの場合に、−10V乃至−45Vの範囲とすることが可能である。図4A及び4Bにおいて、ラテラルPI(又は低ドープ)PN接合が示されており、及び、幾つかの場合に、それはPIPIN接合とすることが可能であり、且つ、幾つかの場合に、PN接合とすることが可能であり、且つ、幾つかの場合に、ショットキー接合とすることが可能であり、及び、幾つかの場合に、金属酸化物半導体接合とすることが可能であり、及び、幾つかの場合に、複数の接合の任意の組み合わせを使用することが可能である。ラテラル分離吸収増倍APD/SPAD(単一フォトンアバランシェダイオード)が図4A及び4Bに示されている。垂直分離吸収増倍APDは参考文献、Zaoui et al.、分離−吸収−電荷−増倍Ge/Siアバランシェフォトダイオードにおける利得―帯域幅−プロダクト向上のオリジン(Origin of the Gain-Bandwith-Product Enhancement in Seprate-Absorption-Charge-Multiplication Ge/Si Avalanche Photodiodes)、OSA/OFCN/NFOEC 2009、に示されている。
図5Aは、幾つかの実施例に基づく、単一チップ上の噛み合い型Ge/GeSi・オン・SiAPD/SPADを具備する噛み合い型Ge/GeSi・オン・Siフォトダイオードの概略断面図である。CMOS/BiCMOSASICと集積化した単一Siチップ上に複数のフォトダイオード及びAPD/SPADを製造することが可能である。幾つかの場合に、フォトダイオード及び/又はAPD/SPADは、トランジットタイム即ち通過時間及び光検知器のRC時間を変化させることが可能な噛み合い型電極間の異なる間隔を有することによって異なる速度即ちデータレート帯域幅を有することが可能である。フォトダイオードとAPD/SPADの2Dアレイは、単一チップ上にCMOS/BiCMOSASICとモノリシックに集積化させることが可能であり、且つ、幾つかの場合に、垂直共振器面発光レーザ(VCSEL)及び/又は端部表面発光レーザ(ESEL)を同一のチップ上に流体組み立て(fluidic assembly)させることが可能である。ロボット組み立てなどのその他の組み立てを使用することも可能である。幾つかの場合に、該フォトダイオード及び/又はAPD/SPADは、分離トレンチ530によって分離されたM1とM2及び/又はM3とM4電極の単一サイクルを有することが可能であり、例えば、その構造はM1とM2及び/又はM3とM4電極の間に広い間隔を有するラテラルフォトダイオード及び/又はAPD/SPADとすることが可能である。その間隔は20ミクロン−20000ミクロン、及び、幾つかの場合に、100ミクロン−2000ミクロン又はそれ以上とすることが可能である。殆どの場合に、該フォトダイオード及び/又はAPD/SPADの噛み合い部は複数サイクルの噛み合い部を有している。
図5Bは、幾つかの実施例に基づく、吸収を向上させ従って外部量子効率(EQE)を向上させるためにマイクロストラクチャ穴512を付加した図5Aと同様のラテラルAPD/SPADと共にラテラルフォトダイオードの概略断面図である。マイクロストラクチャ穴を具備する光検知器は、或る波長領域において、マイクロストラクチャ穴の無い同様の光検知器よりも一層高いEQEを有することが可能である。該フォトダイオードに対して幾つかの場合に、M2を該Ge上に形成することが可能であり、且つ、該APD/SPADに対して幾つかの場合に、M4及び該増倍領域も該Ge上に形成することが可能である。
図6は、幾つかの実施例に基づく、チップの簡単化した3D斜視図であり、光検知器がCMOS/BiCMOSASICエレクトロニクス及びレーザとモノリシックに集積化されている。面発光レーザVCSEL及びESEL610を、流体組み立て及びロボット組み立て等を包含することが可能な技術を使用してSiチップ600上に組み立てることが可能である。出力光622を光学的相互接続適用例用の光ファイバへ結合させることを助けるために、構造620をウエハスケールレベルにおいて該チップの表面上に形成することが可能であり、且つ、LiDAR適用例の場合には、表面に対し垂直からずれた角度の入力光624を指向させるために該面発光レーザの上に構造620を形成することが可能であり、且つ、該光検知器に対しては、表面に対して垂直からずれた或る角度での入力光624を回収するための構造を形成することが可能である。幾つかの場合に、構造620はレーザ及び光検知器の両方に対して表面に対して垂直な光を指向させることが可能である。垂直からずれた角度でレーザからの光を指向させる場合に、幾つかの場合に、レンズと共にマイクロプリズムを使用することが可能である。同様に、ずれた角度で入ってくる光を回収するために、光検知器612用にマイクロプリズムとレンズとを使用することが可能である。この様に、単一のチップがLiDAR適用例のために広い角度をカバーすることが可能である。該チップは、1D又は2Dアレイにおいて複数のレーザ610及び検知器612を包含することが可能である。光を案内し及び/又は光をフォーカスさせるため及び/又は光を指向させるための構造620は、マイクロプリント技術及び/又はリソグラフィ技術を使用して形成することが可能である。幾つかの場合に、リソグラフィ技術、及び/又はマイクロプリンティング技術と共に、レーザアブレーションを使用することが可能である。
図6に例示するような構造を使用する光学的データ通信の場合、構造が垂直又はラテラルとすることが可能でありCMOS/BiCMOSASICとモノリシックに集積化されたSi及び/又はGe・オン・Si光検知器の1又は2Dアレイ、及び垂直共振器面発光レーザ及び/又は端部表面発光レーザとすることが可能な面発光レーザの1又は2Dアレイを、該光検知器及びCMOS/BiCMOSASICとして同一のSiチップ上に組み立てることが可能である。該CMOS/BiCMOSASICは該光検知器アレイへ接続され且つ別の組のCMOS/BiCMOSASICを、バックエンド(BEQL)処理方法を使用してウエハスケールレベルにおいて該面発光レーザアレイへ接続させることが可能である。例えば、この単一チップは光学送信機の複数チャンネル及び光学受信機の複数チャンネルを提供することが可能である。幾つかの場合に、光学送信機の該アレイは発光ダイオードとすることが可能である。該レーザ又は発光ダイオードアレイは、同一又は異なる波長を有することが可能な単一又は複数のチップに組み立てることが可能であり、及び、幾つかの場合に、単独又はアレイとすることが可能な面発光レーザ及び発光ダイオードを、単独又はアレイとすることが可能な光検知器のアレイとして、同一のSiチップ上に組み立てることが可能である。必要である場合には、入力信号の異なる波長を選択するために該光学検知器の上に光学的バンドパスフィルタを形成することが可能である。
図6に例示したような構造をLiDAR適用例の場合、信号処理のためにCMOS/BiCMOSASICへ接続されているモノリシックに集積化した光検知器を包含するSiチップ上に、例えば、流体組み立て又はロボット組み立てを使用してレーザ及び/又は発光ダイオードのアレイを組み立てることが可能である。同一のチップ上の別の組のCMOS/BiCMOSASICを該レーザ及び/又はLEDアレイを駆動するために使用することが可能であり、及び、その場合に、該レーザ及びLEDは単独又はアレイとすることが可能である。LiDAR適用例の場合、該光学送信機は単独又は複数又はストリームの光学パルスであって、光学的検知器の感度を向上させ及び/又は他のLiDARシステムによって発生された信号から該光学パルスを区別するためにコード化させることが可能である。LiDARの場合、該パルスは約100Hz又はそれ以下からMHz、及び、幾つかの場合に、KHzまでの範囲の繰り返しレートを有する場合があり、一方、例えば、データセンター相互接続における光学データ通信の場合には、光学パルス繰り返し即ちデータレートは1Gb/s乃至50Gb/s又はそれ以上、及び、幾つかの場合には、25Gb/s乃至50Gb/s、及び、幾つかの場合には、50Gb/sより大きな範囲である。自動車又は航空等の或る光学データ通信の場合、データレートは数十Mb/s乃至10Gb/s又はそれ以上、及び、幾つかの場合に、0.5Gb/s乃至10Gb/s又はそれ以上の範囲である場合がある。
図6に例示したような構造を使用する光学データ通信に対する波長範囲は、800nm乃至1750nmの範囲である場合があり、且つ、LiDAR用の波長範囲は800nm乃至1600nmの範囲である場合がある。
図7Aは、幾つかの実施例に基づく、面発光レーザの概略平面図である。この場合には、垂直共振器面発光レーザ702がモノリシックシリコンチップ700上に流体組み立てにより組み立てられており、その場合に、光検知器及びCMOS/BiCMOSASICが集積化されている。レーザ702が流体組み立てによって組み立てられると、レーザ702の底部をレーザ702を受納するためにシリコンチップ700内にエッチングされた穴の底部表面へ半田付けさせるために熱が付与することが可能である。レーザ702は発光区域710及びレーザ702がひっくり返ることを防止するためにその上部表面近くの一つ又はそれ以上のタブ740を有している。レーザ702が該シリコンチップ上に組み立てられると、レーザ駆動エレクトロニクス等のCMOS/BiCMOSASICエレクトロニクスからの送信線730及び732をレーザ702のアノード720及びカソード722へ夫々取り付けることが可能である。幾つかの場合に、単一の幅広送信線を該カソードへ取り付けることが可能であり、且つ単一の幅広送信線をアノード720へ取り付けることが可能である。幾つかの場合に、送信線730は、図7Aに図示されているように、該送信線が2か所でアノード720へコンタクトするように分岐、例えばY分岐、させることが可能である。同様に、カソード用の送信線732も、それがカソード722上の2か所で取り付けられるようにY形状に分岐させることが可能である。該レーザの端部におけるタブのいずれかが該送信線と同じ位置にある場合には、該分岐の内の少なくとも一つはアノード又はカソードとコンタクトすることが可能である。送信線730及び732は、標準のCMOSプロセス及びリソグラフィを使用して適用することが可能である。
レーザに対する送信線の複数の分岐はアノード及びカソードへの有効な電気的コンタクトの形成を確保する。
図7Bは、流体組み立て期間中にレーザチップ702がひっくり返った状態で指定された穴内に落下することがないようにその上部表面上にタブ740を具備している面発光レーザチップ702の部分概略側面図である。更に、半田を溶融させるための短い熱サイクル動作の後に面発光レーザチップをSiウエハへ取り付けることを助けるために該チップの底部に半田バンプ750を付加させることが可能である。面発光レーザチップの底部上の半田の重さが流体組み立て又は任意のその他の自己組み立て方法のプロセスを容易化させることが可能である。何故ならば、該チップの底部が一層重たいからである。
図8A−8Cは幾つかの実施例に基づくフォトダイオードの断面図である。図8A−8Cに図示されるフォトダイオードは噛み合い電極間隔、穴寸法、データレート及び帯域幅の観点において同様の特性を共有している。
図8Aは、噛み合い部の間にマイクロストラクチャ穴を有するシリコン噛み合い型フォトダイオードの概略断面図である。例えば噛み合い部M1の下側にPウエルを形成することが可能であり、且つ噛み合い部M2の下側にNウエルを形成することが可能である。P及びNウエルの深さは10nm−1000nm又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、該シリコン装置層の厚さの約半分とすることが可能であり、且つ、幾つかの場合に、該BOX層へ延在するものとすることが可能である。該シリコン噛み合い型フォトダイオードは、埋込酸化物(BOX)層を有するSOIウエハ(シリコン・オン・インシュレータ)上に製造され、BOX層の厚さは10nm−2000nm又はそれ以上の範囲であり、且つ、該シリコン装置層は300nm−1000nm又はそれ以上の範囲の厚さを有することが可能であり、且つ、幾つかの場合に、その厚さは500nm−1000nmの範囲とすることが可能であり、且つ、幾つかの場合に、その厚さは1000nm−5000nm又はそれ以上の範囲とすることが可能である。該Si装置層は、未ドープ又は低ドープとすることが可能であり、且つ、幾つかの場合に、P--(π)のような低Pドープとすることが可能であり、且つ、幾つかの場合に、該Si装置層はN---(π)とすることが可能である。M1とM2との間の間隔は300nm又はそれ以下とすることが可能であり、且つ、幾つかの場合に、M1とM2との間の間隔は300nm−2000nmの範囲とすることが可能であり、且つ、幾つかの場合に、M1及びM2電極の間の間隔は5000nm−50,000nmの範囲とすることが可能である。光学的吸収を向上させ、従って外部量子効率を向上させるためのマイクロストラクチャ穴が300nm−1000nm又はそれ以上の範囲の深さで該Si内にエッチングされ、且つ、幾つかの場合に、該装置層の約半分へエッチングされ、且つ、幾つかの場合に、該BOX層へエッチングされる。該穴の断面は反転ピラミッドとすることが可能であり、且つ、幾つかの場合に、円筒状とすることが可能であり、且つ、幾つかの場合に、多角形状とすることが可能である。該穴の深さは変動することが可能であり、且つ該穴の上部横方向寸法も幅が変動することが可能である。該穴の間隔はゼロ(重畳)とすることが可能であり、且つ、幾つかの場合に、10nm−500nmの範囲とすることが可能であり、且つ、幾つかの場合に、0nm−300nmの範囲とすることが可能であり、そして、幾つかの場合に、500nmを超えるものとすることが可能である。該穴の上部横方向寸法は500nm−2000nmの範囲とすることが可能であり、且つ、幾つかの場合に、600nm−1,600nm、及び、幾つかの場合に、600nm−1000nmの範囲とすることが可能である。該穴は周期的及び/又は非周期的とすることが可能であり、且つ、幾つかの場合に、ランダム配置とさせることが可能である。波長は750nm−1000nmの範囲とすることが可能であり、且つ、幾つかの場合に、800nm−980nm、及び、幾つかの場合に、800nm−900nm、の範囲とすることが可能である。P(M1)とN(M2)との間に逆バイアスを印加するが、その場合のバイアス電圧は−1V乃至−100V又はそれ以上、及び、幾つかの場合に、−1V乃至−35Vの範囲とすることが可能である。データレートは1Gb/s乃至50Gb/s又はそれ以上、及び、幾つかの場合に、10Gb/s乃至25Gb/s、及び、幾つかの場合に、25Gb/s乃至50Gb/sの範囲とすることが可能である。LiDAR適用例の場合には、光のインパルス、例えばレーザ光のインパルス、に対する噛み合い型フォトダイオードのインパルス応答、該インパルス応答のライズタイムは数ピコ秒乃至数ナノ秒の範囲である必要がある。必要とされる距離の分解能に依存して、例えば30cm分解能の場合、しばしばピーク応答の10−90%として定義されるライズタイム(上昇時間)は略1nsecである必要があり、及び、一層高い距離分解能の場合には、例えば、1cm距離分解の場合、インパルス応答のライズタイムは、約30psec又はそれ以下である必要がある。LiDAR用の毎秒当たりのパルス数は5パルス/秒乃至1000パルス/秒又はそれ以上の範囲とすることが可能である。この場合に、フォールタイム(下降時間)はライズタイムよりも著しく一層長いものとすることが可能である。例えば、Hallman et al.、二重パルストリガ動作でのパルス型飛行時間LiDARのジッタ検知(Detection of jitter of pulsed time-of-flight lidar with dual pulse triggering)、Review of Scientific Instruments 85、036105(2014) を参照すると良い。
幾つかの場合に、図8A−Eに例示したような構造においては、逆バイアスが増加されると、アバランシェ利得又は倍増に起因して該(EQE)が増加することが可能である。幾つかの場合に、このラテラルPIN、尚そのI領域はπ又はνとすることが可能、はアバランシェフォトダイオード及び/又は単一フォトンアバランシェフォトダイオードとして機能することが可能である。幾つかの場合に、これらのラテラルPINフォトダイオードの高密度アレイはLiDARイメージングのために使用することが可能である。該高密度アレイはn×mのフォトダイオードを有することが可能であり、尚n及びmは任意の数字であり、例えば10×10、100×100、1,000×1,000等である。
図8A−Eに例示したような構造においては、EQEは或る波長において20%−80%の範囲とすることが可能であり、且つ、幾つかの場合に、EQEは或る波長において40%−90%又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、EQEは或る波長におけるアバランシェ利得で40%−100%超えの範囲とすることが可能である。マイクロストラクチャ穴を具備する噛み合い型フォトダイオードのEQEは、或る波長において、マイクロストラクチャ穴の無い同様の噛み合い型フォトダイオードのEQEよりも一層大きい場合がある。
図8A−Cに例示したような構造においては、該Pウエルは支配的に該M1噛み合い電極の下側にあり、且つ該Nウエルは支配的に該M2電極の下側にあり、且つ、幾つかの場合に、該P及びNは交換可能である。
図8A−Cに例示したような構造においては、噛み合い部M1及びM2の幅、及び、幾つかの場合に、2個を超える噛み合い部は300nm乃至3nmの範囲とすることが可能であり、且つ、幾つかの場合に、150nm乃至90nm、及び、幾つかの場合に、90nm乃至15nm、及び、幾つかの場合に、10nm乃至3nmの範囲とすることが可能である。
図8Bは、噛み合い型電極を具備するGe/GeSi・オン・Si又はオン・SOIウエハを示しており、該Ge/GeSi層内にマイクロストラクチャ穴を有しており且つラテラルP、I又は低ドープ、N構造を有していて、該Pは該Ge/GeSi内であり且つ該NはSi内である。幾つかの場合に、ラテラルPINはそのPとNとを交換させた場合のNIPとすることが可能である。該Ge/GeSiはI又は低ドープであり且つπ又はνとすることが可能である。該Ge/GeSiの厚さは200nm−1000nm又はそれ以上、及び、幾つかの場合に、300nm−1000nmの範囲とすることが可能である。該Si層は、厚さが10nm乃至1000nm又はそれ以上の範囲で、π又はν又はIとすることが可能であり、且つ該BOX層の厚さはSi基板上で10nm乃至1000nm又はそれ以上の範囲とすることが可能である。該Ge/GeSi層内に形成されるPウエルは10nm乃至1000nm又はそれ以上の範囲のウエル深さを有することが可能であり、且つ該PウエルはM1電極の下側に閉じ込められている。Si内のM2電極の下側に閉じ込められているNウエルは10nm乃至1000nm又はそれ以上の範囲の深さを有することが可能である。マイクロストラクチャ穴が該Ge/GeSi層内にエッチされ且つ該Si層に向かって該Ge/GeSi層を介して部分的に又は完全に延在することが可能であり、且つ、幾つかの場合に、該Si層内へ延在することが可能である。該穴の横方向寸法は600nm乃至1800nmの範囲とすることが可能であり、且つ該穴の間の間隔は50nm乃至300nm又はそれ以上の範囲とすることが可能である。該マイクロストラクチャ穴は円形、楕円、正方形、多角形、及び/又は複数の形状の任意の組み合わせとすることが可能である。該マイクロストラクチャ穴の断面形状は、円筒、円錐、多角形、又は複数の形状の任意の組み合わせとすることが可能である。幾つかの場合に、該PウエルはM1を超えて延在することが可能であり且つ該Ge/GeSiの上部表面を部分的に又は完全に被覆することが可能である。該Ge/GeSi下側のSi層はNドープしたものとすることが可能であり、且つ、幾つかの場合に、Nドープしたものとすることが可能である。この場合に、該PIN構造は垂直型とすることが可能である。
図8A−Eに例示したような構造においては、波長範囲は800nm乃至1,600nm又はそれ以上とすることが可能であり、且つ、幾つかの場合には、800nm−1,100nm、そして、幾つかの場合には、1,000nm乃至1,400nm、そして、幾つかの場合には、1,250nm乃至1,350nm、そして、幾つかの場合には、1,500nm乃至1,600nm又はそれ以上とすることが可能である。逆バイアスを該PとNとの間に印加させるが、その電圧は−1V乃至−100V又はそれ以上、そして、幾つかの場合には、−1V乃至−35Vである。逆バイアス電圧が増加すると、アバランシェ利得即ち増倍を発生させることが可能である。EQEは20%乃至80%の範囲とすることが可能であり、且つ、幾つかの場合には、40%乃至90%、そして、幾つかの場合には、或る波長におけるアバランシェ利得/増倍を伴って40%乃至100%又はそれ以上とすることが可能である。マイクロストラクチャ穴噛み合い型フォトダイオードのEQEは、或る波長において、穴の無い同等の噛み合い型フォトダイオードよりも一層高いEQEを有することが可能である。図8Aにおける如く、該マイクロストラクチャ穴は周期的、非周期的、及び/又はランダム配列とすることが可能である。該噛み合い部間の間隔は、同様のデータレート帯域幅及びインパルス応答のライズタイムで、図8Aにおけるものと同様のものとすることが可能である。M1はアノードとし且つM2をカソードとすることが可能であり、且つ逆電圧バイアスをM1とM2との間に印加させる。幾つかの場合に、M1とM2との間の電圧バイアスは順方向バイアスとすることが可能であり、その場合には、M1における電圧はM2における電圧よりも一層大きい。幾つかの場合に、M1とM2とが逆又は順方向バイアスされた場合にアバランシェ利得を観察することが可能であるが、アバランシェ利得に起因する雑音は、逆バイアスの場合に一層低いものとすることが可能であり、その場合には増倍はSiにおいて発生する。
図8Cは、Nウエルが図示した如くにGe/GeSi層内にあることを除いて図8Bと同様である。逆バイアスをPアノードとNカソードとの間に印加させる。光学信号は上部表面(噛み合い部表面)又は底部表面、即ち基板表面に入射することが可能である。該光学信号の波長は800nm−1800nm、そして、幾つかの場合には、800nm−1600nmの範囲とすることが可能である。幾つかの場合に、該波長範囲は1000nm−1400nm、そして、幾つかの場合には、1250nm−1550nmである。該Ge/GeSiの厚さは300nm−1000nm又はそれ以上、そして、幾つかの場合に、500nm−1000nmの範囲とすることが可能である。光学的相互接続適用例に対するデータレートは、10Gb/s−50Gb/s又はそれ以上、そして、幾つかの場合に、25Gb/s−50Gb/s、そして、幾つかの場合に、100Gb/s又はそれ以上、の範囲とすることが可能である。
図8A−Eに例示したような構造においては、該噛み合い部の幅は300nm乃至100nm、そして、幾つかの場合に、100nm乃至20nm、そして、幾つかの場合に、20nm乃至3nm、の範囲とすることが可能である。幾つかの場合に、該噛み合い部の幅は300nmよりも大きなものとすることが可能である。
図8A−Eに例示したような構造を使用するLiDAR適用例の場合、該10%−90%ライズタイムは1psec乃至100psec、そして、幾つかの場合に、100psec乃至1000psec又はそれ以上、の範囲とすることが可能であり、且つそのフォールタイムはライズタイムよりも一層長いものとすることが可能である。
図8A−Eに例示したような構造において逆バイアスとした場合、そのEQEはアバランシェ利得で10%乃至100%又はそれ以上の範囲となる場合があり、幾つかの場合に、アバランシェ利得があるか又は無い場合で20%−80%、そして、幾つかの場合に、或る波長においてのアバランシェ利得で100%を超えるものとすることが可能である。マイクロストラクチャ穴を具備する噛み合い型光検知器は、或る波長において、マイクロストラクチャ穴の無い同様の噛み合い型光検知器よりも一層高いEQEを有することが可能である。
図8Dは、PN接合が金属/ポリSi/半導体、及び/又は、金属誘電体半導体、及び/又は金属半導体接合で置換されていることを除いて、図8Bと同様の構造を示している。漏洩電流を減少させるために、ポリSi及び/又はSi酸化物、Al酸化物、Hf酸化物(層808)等の誘電体を金属と半導体との間に使用することが可能である。該酸化物又は誘電体は0.5nm乃至5nm又はそれ以上、そして、幾つかの場合に、1−2nmの範囲の厚さを有することが可能である。図8Dに示した如く、漏洩を減少させるために、ポリSi層又は誘電体/酸化物層808をM1電極とGe/GeSiとの間に挿入させることが可能である。幾つかの場合に、M1電極は直接に該Ge/GeSi上とさせることが可能である。幾つかの場合に、ポリSi808がM1とGe/GeSiとの間の界面に使用される場合に、該ポリSiはN又はP型へドープさせるか、または未ドープとするか、又は低ドープとすることが可能であり、且つ1−100nm又はそれ以上の範囲の厚さを有することが可能である。図8Dに示した如く、M2電極は、MOS(金属酸化物半導体)接合を形成するために、M2と該Si表面との間に薄い酸化物/誘電体層810を有することが可能である。幾つかの場合に、M2は、ショットキー接合を形成するために、直接該Si表面上とさせることが可能である。マイクロストラクチャ穴812は該Ge/GeSi層上に形成する。該Ge/GeSi層は300nm−1000nm又はそれ以上、そして、幾つかの場合に、300nm−900nmの範囲の厚さを有することが可能である。逆バイアスはM1及びM2電極間に印加させることが可能であり、その場合にM1電極はM2電極よりも一層負の電圧を有している。このバイアス条件下において、アバランシェ利得を観察することが可能であり且つM1電極をM2電極よりも一層高い電圧でバイアス
する場合よりも一層低いノイズ即ち雑音とすることが可能である。幾つかの場合に、M1電極がM2電極よりも一層高い電位を有するようにM1及びM2電極へ電圧を印加することが可能であり、そして、幾つかの場合に、M1電極は或るバイアス条件においてM2電極よりも一層低い電位を有することが可能である。
アバランシェ利得が観察される電圧バイアス条件において、図8Bに例示した如くに、最低の雑音でアバランシェ利得即ち増倍がSi内において発生することが望ましく、このことが発生するためには、M1とM2との間の電圧バイアスは、M1がM2よりも一層低い電圧即ち電位を有するように逆バイアスとすべきである。
光学信号は、図8A−Eに例示した如くに、構成体における上部表面(電極表面)又は底部表面(Si基板)に入射させることが可能である。該BOX層はオプションとすることが可能であり、且つGe/GeSi・オン・Si光検知器の場合には、該BOX層はオプションとすることが可能である。幾つかの場合に、該Ge/GeSi層は、トレンチ等の該Siの凹設領域に成長させることが可能であり、従ってM1及びM2電極は略同一の面上とさせることが可能である。
ラテラルGe/GeSi光検知器は、単独で又はアレイにおいて、図8A−Eに例示した如き構造において、CMOS/BiCMOS応用特定集積回路(ASIC)とモノリシックに集積化させることが可能である。該CMOS/BiCMOSASICはこの図には示されていない。Ge/GeSi・オン・Siラテラル光検知器の適用例は、光学データ通信、イメージング、及びLiDAR等を包含することが可能である。データレートに依存する光学通信の場合には、該光検知器の横方向寸法は30ミクロン−300ミクロン又はそれ以上、そして、幾つかの場合に、20ミクロン乃至200ミクロンの範囲とすることが可能である。イメージング及び/又はLiDAR適用例の場合、該光検知器の横方向寸法は50ミクロン乃至1000ミクロン又はそれ以上の範囲とすることが可能である。
図8Eは図8Dと同様であるが、マイクロストラクチャ穴無しで形成されている。幾つかの場合に、ラテラルGe/GeSi・オン・Si光検知器は、CMOS/BiCMOSASICとモノリシックに集積化させることが可能な同一のチップ上に、マイクロストラクチャ穴を有するもの及びマイクロストラクチャ穴無しのものとすることが可能である。該BOX層はGe/GeSi・オン・Si光検知器の場合にはオプションとすることが可能である。
同一のチップの上又は中に光検知器とアクティブ電子回路、例えばASIC、とを具備している図8A−Eに例示したような構造においては、混合P及びN接合、MOS接合、ショットキー接合、金属ポリSi半導体接合を任意の組み合わせでラテラル噛み合い型光検知器へ適用させることが可能である。
参考文献のDushaq et al.、低温RF−PECVDを使用してシリコン上に成長させた金属−ゲルマニウム−金属光検知器(Metal-germanium-metal photodetector grown on silicon using low temperature RF-PECVD)、Optics Express Vol.. 25, No. 25, 11 Dec 2017は、一方の電極がGe上であり且つ他方の電極がGeとコンタクトしている図8Eにおけるのとは異なり両方の電極がGeとコンタクトしている金属−ゲルマニウム−金属光検知器を示している。
図9は、幾つかの実施例に基づく、検知器がCMOS/BiCMOSエレクトロニクスとモノリシックに集積化されており検知器とレーザアレイとを具備する単一チップの簡単化した部分平面図である。単一Siチップ900上に、Si/GeSiマイクロストラクチャ穴光検知器912がCMOS/BiCMOSASICとモノリシックに集積化されている。レーザ910は、流体組み立て等の方法を使用してチップ上に組み立てることが可能な垂直共振器面発光レーザ又は端部表面発光レーザとすることが可能である。同一又は異なる波長における複数のレーザ910のアレイを使用して、LiDAR適用例の場合におけるターゲットを照射することが可能であり、且つ該光検知器912は同一の波長又は異なる波長において検知することが可能である。異なる波長で検知する検知器912の場合には、インクジェット印刷又は3D印刷等の技術を使用して光検知器912上にバンドパスフィルタ922を形成することが可能である。この図においては、4つの波長例λ、λ、λ、λが示されている。各レーザ910は異なる波長λ、λ、λ、λで射出し且つ該検知器アレイは4つの異なる波長λ、λ、λ、λで検知することが可能である。同一又は異なる波長を有する複数のレーザはLiDAR適用例の精度を増加させることが可能であり、例えば、参考文献のJo et al.、偏光変調ポケットセル及びマイクロポラライザCCDカメラを使用した高分解能三次元フラッシュLiDARシステム(High resolution three-dimensional flash LiDAR system using a ;polarization modulating Pockets cell and a micro-polarizer CCD camera)、Optics Express Vol. 24, No.26, Dec 2016、を参照すると良い。他の自動車システム等の他のLiDARシステムからの干渉を減少させるために、LiDAR信号パルスを複数のパルスへコード化させることが可能であり、且つ、幾つかの場合に、このコード化及び/又はシーケンスが個々のLiDARシステムにとって固有であるか又は典型的に固有であることが可能であるように或るシーケンスの信号又は複数のパルスで発射される異なる波長でコード化させることが可能である。
図9に例示したような構造において、異なる波長レーザを使用し且つマイクロストラクチャ穴を有する光検知器及び異なるは波長を選択することが可能な該光検知器の各々の上にバンドパスフィルタを有している光学的相互接続適用例の場合に、このトランシーバチップの総合データレートは疎波長多重分割(CWDM)を使用する単一の光ファイバ上で100Gb/s−400Gb/sの範囲とすることが可能である。そして、幾つかの場合に、波長分割多重又は密波長分割多重(DWDM)を使用することが可能である。
図10は、幾つかの実施例に基づく、複数のレーザとLiDARシステムにおける3Dイメージングのために使用することが可能な複数のマイクロストラクチャ穴光検知器の2Dアレイとを有するチップの簡単化した部分概略平面図である。該光検知器1012のアレイは、5×5乃至1000×1000又はそれ以上の範囲とすることが可能であり、例えば、参考文献の浜松、LiDAR用光検知器、https://www.hamamatsu,com/resources/pdf/ssd/Photodetector_lidar_kapd0005e.pdfを参照すると良い。チップ1000は1個又はそれ以上のレーザを包含することが可能であり、且つ、幾つかの場合に、レーザが包含されない場合がある。マイクロストラクチャ穴を有する光検知器アレイはCMOS/BiCMOSASICとモノリシックに集積化させることが可能である。幾つかの場合に、LiDAR適用例における一層正確な3Dイメージングのために該アレイ内の光検知器の幾つか又は全てへバンドパスフィルタを適用することが可能である。
この様に高い密度のマイクロストラクチャ穴光検知器を具備する図10に例示したような構造は、光学的相互接続適用例における超高総合データレートにおいて使用することも可能である。単一又は複数の光ファイバーバンドル又はリボンで、1Tb/sの総合データレートを達成することが可能である。異なる波長における光学信号の複数のストリームをCWDMを使用して単一ファイバー内に包含させることが可能である。
図11AはCMOS/BiCMOSエレクトロニクスとモノリシックに集積化させることが可能な単一チップ上にラテラルSi光検知器とラテラルGe/GeSi光検知器との両方を包含することが可能なラテラル光検知器の簡単化した部分概略断面図である。該光検知器は単独、及び/又は、1D又は2Dアレイとすることが可能である。該光検知器はMSM及び/又はラテラルPIN及び/又はラテラルPIPN光検知器とすることが可能であり、それは、光検知器モード「増倍又は利得無し」で、又は増倍即ち利得のあるアバランシェフォトダイオードモードで、動作することが可能であり、及び、幾つかの場合に、時折ガイガーモードとしても知られている単一フォトンアバランシェフォトダイオードモードで動作することが可能である。参考文献のRenker、ガイガーモードアバランシェフォトダイオード、歴史、特性及び問題(Geiger-mode avalanche photodiodes, history, properties and probles)、Nuclear Instruments and Methods in Physics Research A 567 (2006) 48-56、を参照すると良い。マイクロストラクチャ穴を該Si(1112)及び/又はGe/GeSi(1114)光検知器に形成させることが可能である。幾つかの場合に、マイクロストラクチャ穴は或る適用例においては必要でない場合があり、且つ幾つかのラテラル光検知器はマイクロストラクチャ穴を有していない場合がある。幾つかの場合に、図11Aに示した該BOX層はオプションである場合がある。そして、幾つかの場合に、該光検知器の一つ又はそれ以上が図2A−2Dに示したようにハイブリッド垂直構造である場合がある。
図11A−Bに例示したような構造の幾つかの場合に、M1及びM2は異なる金属とすることが可能であり、例えばM1はAlでM2はCrとすることが可能であり、且つ、幾つかの場合に、M1がAlでM2がAu,Ni,Pt,W等とすることが可能である。幾つかの場合に、M1又はM2はAl,Au,Ni,V,Hf,Ti,Ta等とすることが可能であり、その場合にM1とM2とは異なる金属又は金属合金又はシリサイドを有することが可能である。M1及び/又はM2は、Si及び/又はGe/GeSi等のM1と半導体との間の界面に酸化物層を有することが可能である。幾つかの場合に、M1及び/又はM2と半導体との間のバリア層は、酸化物又は金属酸化物又はSi窒化物、Si炭化物等のSi酸化物以外の物質とすることが可能である。該バリア層は、例えば、SiOx、HfOx、TiOx、SiNx、SiC等とすることが可能であり、それは0.2nm乃至10nmの範囲の層厚を有することが可能であり、且つ、幾つかの場合に、該バリア層はポリ及び/又は非晶質Si又はGe/GeSi等のその他の半導体とすることが可能である。同じことがM3及びM4電極についても言える。該Si装置層は低ドープN、又は低ドープP型とすることが可能であり、且つ、幾つかの場合に、イントリンシック即ち真性とすることが可能である。M1,M2,M3,M4の幅は異なるものとすることが可能であり且つ3nm−300nm又はそれ以上の範囲の幅を有することが可能である。該BOX層は、幾つかの場合に、オプションとすることが可能である。該BOX層が無い場合には、SiMSMをその上に製造する該Si基板は低ドープN、又は低ドープP、又は真性とすることが可能であり、その場合に1乃至100Ω/cm又はそれ以上の範囲の固有抵抗を有する。幾つかの場合には、図11Aにおけるような該Si光検知器は700乃至1100nmの範囲内の波長で動作することが可能である。
図11Bは、図11Aと同様にラテラルSi光検知器及びGe/Ge・オン・Si光検知器の両方を包含することが可能なラテラル光検知器の簡単化した部分概略断面図であり、尚P及びN接合が金属半導体ショットキー接合及び/又は金属酸化物半導体接合の代わりに使用されている。該光検知器はフォトダイオードモード、アバランシェフォトダイオードモード、単一フォトンアバランシェモードで動作することが可能である。幾つかの場合に、少なくとも1個の光検知器が該フォトダイオードモードで動作することが可能であり、及び/又は少なくとも光検知器は該アバランシェフォトダイオードモードで動作することが可能であり、及び/又は少なくとも1個のフォトダイオードは該単一フォトンアバランシェフォトダイオードモードで動作することが可能である。
図11A−Bにおける該BOX層はオプションとすることが可能であり、且つ該Si層又はウエハは低ドープN又は低ドープP又は真性とすることが可能であり、その場合の固有抵抗は1乃至100Ω・cm又はそれ以上の範囲である。該Ge/GeSi層は低ドープN又は低ドープP又は真性とすることが可能である。
図12は、幾つかの実施例に基づく、P及びN接合を具備しているラテラルSiアバランシェフォトダイオード/単一フォトンアバランシェフォトダイオードの部分断面図である。該P及びN接合は該BOX層へ延在することが可能である。幾つかの場合に、該ラテラルアバランシェフォトダイオードはPPPNを有しており、且つ、幾つかの場合に、PPN構造であり、及び、幾つかの場合に、P及びNは交換させることが可能である。逆バイアスをアノードM1とカソードM2との間に印加させ、そのバイアス電圧は−3V乃至−200Vの範囲であり、且つ、幾つかの場合に、−10V乃至―50Vである。該P−−(π)Si層は1ミクロン乃至10ミクロン又はそれ以上、及び、幾つかの場合に、2ミクロン乃至10ミクロン、の範囲とすることが可能である。該PとNとの間の間隔は1ミクロン乃至10ミクロン又はそれ以上の範囲とすることが可能であり、及び、幾つかの場合に、PとNとの間の間隔は1ミクロン乃至10ミクロンの範囲とすることが可能である。該感光性区域の横方向寸法は、直径、又は対角線、又は矩形又は多角形の側部とすることが可能であり、それは30ミクロン乃至1000ミクロン又はそれ以上、そして、幾つかの場合に、30ミクロン乃至800ミクロンの範囲とすることが可能である。マイクロストラクチャ穴1212は該P−−Si内に形成することが可能であり、及び、幾つかの場合に、該マイクロストラクチャ穴は該P層等のドープ層にも形成することが可能である。該マイクロストラクチャ穴は反転ピラミッド、円錐、円筒、矩形、及び/又は、複数の形状の任意の組あわせとすることが可能である。該穴の間隔は0(接触、及び/又は、重畳)乃至1000nmの範囲とすることが可能であり、且つ、幾つかの場合に、0乃至300nmとすることが可能である。該マイクロストラクチャ穴は異なる横方向寸法を有することが可能であり、及び/又は、異なる深さを有することが可能である。該マイクロストラクチャ穴は部分的に該P−−(π)領域内へ延在することが可能であり、且つ、幾つかの場合に、該マイクロストラクチャ穴は該BOX層へ延在することが可能である。該穴の横方向寸法は直径又は対角線又は矩形の側部の内の一つとすることが可能であり、500nm乃至1500nm又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合には、400nm乃至1200nm、及び、幾つかの場合に、600nm乃至1200nmの範囲とすることが可能である。光学信号は上部表面から入射することが可能であり、且つ、幾つかの場合に、該Si基板の底部表面から入射することも可能であり、その場合には、該BOX層へウィンドウをエッチングすることが可能である。光学信号は780nm乃至1100nm、及び、幾つかの場合に、800nm乃至1000nm、の範囲の波長を有することが可能である。データレートは、LiDAR適用例の場合には、数百パルス/秒乃至数千パルス/秒又はそれ以上の範囲とすることが可能であり、且つ、データ通信適用例の場合には、1Gb/s乃至25Gb/sの範囲とすることが可能である。幾つかの場合に、プラスチック光ファイバを使用する動作の場合には、そのデータレートは100Mb/s乃至数十Gb/sの範囲とすることが可能である。幾つかの場合に、該APD/SPADは利得の無いフォトダイオードとして動作することが可能であり、且つ、幾つかの場合に、1より大きな倍増係数、そして、幾つかの場合に、10より大きな増倍係数、そして、幾つかの場合に、1乃至1000又はそれ以上の範囲の増倍係数、を有するアバランシェフォトダイオードとして動作することが可能である。該SPADモードにおいては、該増倍係数は500乃至100,000又はそれ以上の範囲とすることが可能である。幾つかの場合に、該SiAPD/SPADは1D及び/又は2Dアレイとすることが可能であり、それはCMOS/BiCMOSASICとモノリシックに集積化させることが可能である。PD/APD/SPADの該2Dアレイはイメージング及び/又は3Dイメージング用に使用することが可能である。従来のSiAPDについては、例えば、参考文献のLaforce、SiAPDを使用した低ノイズ光学的受信器(Low noise optical receiver using Si APD)、SPIE Vol. 7212, 721210 (Feb. 6, 2009)、参考文献の浜松技術情報SD−28 SiAPD(アバランシェフォトダイオード)の特性及び使用を参照すると良く、尚、その場合に、I又は低ドープ層は20−100ミクロンの厚さの範囲とすることが可能である。
幾つかの適用例においては、マイクロストラクチャ穴は図3A、4A,5Aにおけるように必要ではない場合があり、且つ、幾つかの場合には、マイクロストラクチャ穴を有する光検知器とマイクロストラクチャ穴を有することのない光検知器とがCMOS/BiCMOSASICでモノリシックに集積化されている同一のチップ上に存在する場合がある。これは図11A、11B、12に示した装置に適用することが可能である。幾つかの場合に、該マイクロストラクチャ穴光検知器及び/又はマイクロストラクチャ穴の無い光検知器をCMOS/BiCMOSASICとモノリシックに集積化させることが可能である。
図12に例示したような構造においては、アノードM1とカソードM2との間に逆バイアスを印加させることが可能であり、その場合の電圧は−3V乃至−100V又はそれ以上、そして、幾つかの場合には、−3V乃至−45Vの範囲である。幾つかの場合に、M1及び/又はM2はショットキーコンタクトを形成することが可能であり、及び、幾つかの場合に、M1又はM2は金属酸化物半導体接合を形成することが可能であり、且つ、幾つかの場合に、M1及び/又はM2はP及び/又はN接合を形成することが可能である。該接合がショットキー及び/又はMOS(金属酸化物半導体)のいずれかである幾つかの場合に、その電流電圧特性は対称的及び/又は殆ど対称的なものとすることが可能であり、従ってアバランシェ利得等の利得はAPD/SPADに対する順方向及び/又は逆電圧バイアス方向において観察することが可能である。
マイクロストラクチャ穴を有するラテラル光検知器は、或る波長において、及び/又は、或るバイアス電圧において、マイクロストラクチャ穴の無い同等のラテラル光検知器よりも一層高いEQEを有することが可能である。
PN接合が形成され且つ該噛み合い電極の内の一つが、例えば、図12に示されているM1などのP接合上に形成され、且つ第2組の噛み合い電極がN接合上に形成される場合には、該ラテラルフォトダイオードはPD/APD/SPAD用にアノード(M1)とカソード(M2)との間の逆バイアス電圧で動作される。
該マイクロストラクチャ穴は、幾つかの場合に、I又は低ドープPSi領域内とすることが可能であり、且つ、幾つかの場合に、図12に例示したような構造においてはP領域内とすることも可能である。該マイクロストラクチャ穴は該I又は低ドープP領域内へ部分的に延在することが可能であり、且つ、幾つかの場合に、該BOX層へ延在することが可能である。幾つかの場合に、該P又は低ドープ領域におけるマイクロストラクチャ穴は必要ではない場合があり且つ上部構造を該P又は低ドープ領域の表面上に製造することが可能であり、それはHf酸化物等の高屈折率誘電体から構成することが可能である。図12において、該P、P、Nは該装置層内へ部分的に延在することが可能であり、且つ、幾つかの場合に、該BOX層へ延在することが可能である。参考文献のIiyama et al.、標準0.18ミクロンCMOSプロセスによって製造したシリコンラテラルフォトダイオード(Silicon Lateral Photodiodes Fabricated by Standard 0.18 microns CMOS Process)、ECOC 2009,
September 2009, Vienna, Austria、は吸収を向上させるためにマイクロストラクチャ穴無しのラテラルアバランシェフォトダイオードを示している。参考文献、Wegrzecksa et al.、シリコンアバランシェフォトダイオードの設計及び特性(Design and properties of Silicon avalanche photodiodes)、Opto-electronics Review 12(1), 95-104(2004)。
図13A−Bは、同一の環境内に存在することのある異なるLiDAR信号の間の区別をするためにLiDAR適用例用のパルスの或るシーケンスを有することが可能なレーザパルスを示している。幾つかの場合に、図13Aに示したような光学的パルスのシーケンスは、近くで動作している他のLiDARの信号から更に区別するためにコード化させることが可能である。図13Bに図示したパルスの組はLiDAR光検知器によって検知されるような反射された光学信号である。この受信した電気信号のシーケンスは出力する光学信号のシーケンスと比較して、これらの光学パルス及び電気信号が同一のLiDARユニットからのものであることを検証することが可能である。そのコード化手法は例示した如くのものとすることが可能であり、パルス位置変調、又はパルス幅変調、又は波長変調等とすることが可能である。
レーザ又は発光ダイオード(LED)から発生する光学信号の波長は800nm乃至1800nmの範囲とすることが可能であり、且つ、幾つかの場合に、該光学パルスは全て同一の波長を有することが可能であり、且つ、幾つかの場合に、該光学パルスは異なる波長を有することが可能である。複数の光学パルスが異なる波長を有している場合には、同一のチップ上の夫々の光検知器は反射された光学パルスの選択した波長を検知するためにバンドパスフィルタを有することが可能である。
幾つかの場合に、LiDAR適用例におけるイメージングの精度を改善するために、レーザ又はLED等の光源を2個以上使用することが可能であり、例えば、参考文献のChen et al.、3つのレーザビームを使用して時間相関単一フォトンカウントに基づいたイメージングLiDARの精度改善(Accuracy improvement of imaging lidar based on time-correlated single-photon counting using three laser beams)、Optics Communications、https://doi.org/10.1016/j.optcom.2018.08.017、を参照すると良い。
図14A−Cは、図14Aに示した垂直PINマイクロストラクチャ穴光検知器に対する実験結果を示す図14Bと図14Cの2つのプロットを包含している。図14Aに示したPINSiマイクロストラクチャ穴光検知器は、厚さが1−2ミクロンのI又は低ドープ層と、約0.5ミクロンの厚さを有するNSi層と、横方向寸法が約600−800nmで間隔が約100nmで反転ピラミッドであるマイクロストラクチャ穴1412を有しており約0.3ミクロンの上部PSi層とを有している。そのアノードは該P層の上に形成されており且つそのカソードは該N層の上に形成されており、且つ逆バイアスが該アノードと該カソードとの間に印加される。
図14Bは850nmにおける光学信号に対する逆バイアスの関数としての応答性を示している。曲線1422はマイクロストラクチャ穴の無いフォトダイオードに対するものであり、且つ曲線1420はマイクロストラクチャ穴を有するフォトダイオードに対するものである。理解されるように、マイクロストラクチャ穴を有するフォトダイオードは、マイクロストラクチャ穴の無い同様のフォトダイオードと比較してほぼ3倍も一層高い応答性を有している。更に、アバランシェフォトダイオードモードで動作するマイクロストラクチャ穴フォトダイオードに対する利得即ち応答性の増加は、マイクロストラクチャ穴の無い同様のフォトダイオードよりも一層低い電圧において利得即ち増倍を達成している。
図14Cは、図14Bと同様の曲線を示しているが、応答性の代わりに、増倍が示されている。曲線1432はマイクロストラクチャ穴の無いフォトダイオードに対するものであり、曲線1430はマイクロストラクチャ穴を有するフォトダイオードに対するものである。マイクロストラクチャ穴アバランシェフォトダイオードに対する増倍は1000を超えており、マイクロストラクチャ穴の無い同様のフォトダイオードの増倍が700未満であることと比較される。
マイクロストラクチャ穴は電界を向上させることが可能であり、そのことはアバランシェ効果がより低い電圧において発生することを可能とし且つ一層高い電界の場合には、アバランシェ利得及び/又は増倍は、例えば同じ電圧において、マイクロストラクチャ穴の無い同様のフォトダイオードよりも一層高いものとすることが可能である。幾つかの場合に、アバランシェモードで動作しているラテラル構造PIN及び/又はPIPNマイクロストラクチャ穴フォトダイオードは、与えられた逆バイアス電圧において、マイクロストラクチャ穴の無い同様のフォトダイオードよりも一層高いアバランシェ利得及び/又は増倍を有することが可能である。幾つかの場合に、MSMラテラル光検知器も順方向又は逆バイアスにおいてアバランシェ利得を示すことが可能であり、且つ与えられた電圧において、マイクロストラクチャ穴の無い同様の光検知器よりも一層高いアバランシェ利得及び/又は増倍を有することが可能である。
本特許明細書に記載する垂直又はラテラル光検知器においてマイクロストラクチャ穴を付加した場合には、しばしばI又は低ドープ層であり且つ幾つかの場合にドープした層である吸収層は、300nm−5,000nm、そして、幾つかの場合には、500nm−2,000nmの範囲の厚さで薄くすることが可能であり、尚且つ或る波長において、マイクロストラクチャ穴の無い同等の光検知器よりも一層高い外部量子効率を達成することが可能である。垂直又はラテラルのいずれかのマイクロストラクチャ穴光検知器構造のAPD/SPADの場合には、該吸収領域又は層は、或る波長において、従来のSiAPD/SPADよりも10倍も一層薄くさせることが可能である。幾つかの場合に、10倍を超えて一層薄くさせることが可能であり、且つ、幾つかの場合に、或る波長において、同等の応答性及び/又は増倍を達成するために5倍を超えて一層薄くさせることが可能である。更に、マイクロストラクチャ穴APD/SPADは、従来のSiAPD/SPADと比較して、応答性及び/又は増倍を達成するために一層低い逆バイアス電圧を有することが可能である。
高い増倍を有しておりCMOS/BiCMOSASICとモノリシックに集積化されているシリコンアバランシェフォトダイオードは、例えば、参考文献のYoun et al.、モノリシックに集積化した850nmアバランシェ光検知器を有する12.5Gb/sSiGeBiCMOS光学受信器(A 12.5 Gb/s SiGe BiCMOS Optical Receiver with a Monolithically Integrated 850-nm Avalanche Photodetector)、OFC/NFOEC Technical Digest 2012, OSA、において記載されており、その場合の応答性は850nmにおいての増倍係数が1に対して0.01A/W以下の程度である。
図15A−Bは、幾つかの実施例に基づく、ネイティブ酸化物がある場合と無い場合のラテラル噛み合い部(interdigit)SiMSM又はショットキーコンタクトの実験による電流−電圧(IV)特性を示すプロットである。そのSi層は低P型ドーピングで固有抵抗は10−20Ω・cmである。
図15Aにおいて、曲線1510は、850nm波長における照射でのS型特性を示しており、その場合に、バッファ酸化物エッチによってネイティブ酸化物は除去されておりAl噛み合い部が付着されている。図15Bにおいて、曲線1520は850nm波長で照射された場合のダイオードのような特性を示しており、その場合にネイティブ酸化物を除去されておらず且つAl噛み合い部が付着されている。実線(非照射)の曲線1512及び1522が図15A及び15Bに夫々示されている。
図15BにおけるようなダイオードのようなIV特性は一層高いEQEを有することが可能であり且つそのEQEが±3V又はそれ以上の低バイアスにおいて100%以上となることが可能であるようなアバランシェ利得を有することが可能である。Al噛み合い部の間の間隔は約1μmであり、且つ該噛み合い部の幅は約300nmであった。
図15Aの構造は、感光性利得等の利得を有することが可能であり、且つ、幾つかの場合に、一層高いバイアスにおいて、アバランシェ利得を有することが可能である。図15Bの構造は一層高いバイアスにおいてアバランシェ利得を有することが可能である。参考文献のLi et al.、室温において高い感光性利得を有するシリコンフォトダイオード(Silicon photodiodes with high photoconductive gain at room temperature)、Optics Express, Vol. 20, No. 5, 27 Feb 2012、を参照すると良い。
図16A−16Dは、垂直形態でのSiマイクロストラクチャ穴PINフォトダイオードの実験による利得プロットを示している。該プロットは、マイクロストラクチャ穴の無い同様のフォトダイオードと比較したマイクロストラクチャ穴フォトダイオードの850nm乃至990nmの異なる波長における実験によるアバランシェ利得を示している。
図16Aは、850nm@約8μWにおける照射に対する実験による利得を示している。曲線1610は、約30Vの逆バイアス(曲線1612での)約0.3A/Wの応答性を有する穴の無い同様のSiPINフォトダイオードと比較した場合の約30Vの逆バイアスにおいての約80A/Wのマイクロストラクチャ穴PINフォトダイオード応答性を示している。図16A−16Dにおけるマイクロストラクチャ穴は、700nmの直径及び正方形格子において1000nmの周期を有している。
図16Bは、900nm波長照射@約8μWの下での同一の装置に対する実験による利得を示している。図16Cは、940nm波長表面照射@約15μWでの同一の装置を示している。図16Dは、990nm波長表面@約10μWでの同一の装置を示している。全ての場合に、マイクロストラクチャ穴フォトダイオードでのアバランシェ利得の開始は、穴無しの同様のSiフォトダイオードよりも一層低い逆バイアス電圧において発生している。マイクロストラクチャ穴フォトダイオードの応答性は、或るバイアス電圧において、マイクロストラクチャ穴の無い同様のフォトダイオードの応答性よりも一層高いものであることが可能である。
図17A−17Hは、幾つかの実施例に基づく、垂直又はラテラル形態のいずれかとすることが可能な種々のマイクロストラクチャ穴構造の光学フィールド(光場)のFDTD(有限差分時間領域)シミュレーションを示すプロットである。図17Aは、675ミクロン厚さの400nmGe・オン・Siバルクウエハを有するマイクロストラクチャ穴構成体の光学フィールドのFDTDシミュレーションを示している。該マイクロストラクチャ穴は、直径が1100nmで、400nmの周期で正方形格子内にある。円筒状の穴が200nmの深さへエッチされている。縦軸はGe層における吸収を示しており、且つ横軸は波長を示している。その吸収は外部量子効率(EQE)に直接的に比例している。EQE/吸収の比は0.1−1の範囲にわたる。光発生されたキャリアーが再結合によって失われず且つ効率的に回収することが可能である場合には、EQEはほぼ吸収に等しく、又は等価的に、EQE/吸収の比は0.8−1の範囲となることが可能である。光学信号が垂直入射で表面照射(ウエハのマイクロストラクチャ穴の側)される。吸収又はEQEは、700nm−1350nmの或る波長範囲においては、60%又はそれ以上となることが可能であり、且つ、幾つかの場合に、該吸収又はEQEは700nm乃至1350nmの或る波長範囲においては40%又はそれ以上となることが可能である。マイクロストラクチャ穴PD/APD/SPADは、或る波長において及び/又は或るバイアス電圧において、マイクロストラクチャ穴の無い同等のPD/APD/SPADよりも一層高いEQEを有することが可能である。
図17Bは、マイクロストラクチャGe・オン・Siにおける光学フィールドのFDTDシミュレーションを示している。光学信号が、+10度乃至−10度の角度分布又は垂直入射にわたって平均化されて穴表面を照射する。該Ge層はSiウエハ上で800nm厚さである。円筒状の穴が400nmの深さに形成されている。実線の曲線1720は正方形格子において1400nm周期で且つ1000nm直径の穴に対するものであり、且つ点線の曲線1722は正方形格子において1600nm周期で且つ1200nm直径の穴に対するものである。その縦軸は該Ge層における吸収であり、且つ横軸は800乃至1800nmの波長である。吸収及び/又はEQEは800−1800nmの範囲における幾らかの波長において40%を超える場合がある。
図17Cは、Ge・オン・Siにおけるマイクロストラクチャ穴の光学フィールドのFDTDシミュレーションを示している。該Ge層はSiウエハ上で600nmである。直径1200nmで正方形格子において1600nmの周期で穴の深さは300nmである円筒状の穴が実線の曲線1730に示されている。点線の曲線1732は、1000nmの直径で正方形格子において1400nmの周期である穴を示している。吸収及び/又はEQEは800−1800nmの範囲における或る波長において30%以上となることが可能である。
図17Dは、図17Cと同様であるが、円筒状の穴がSiウエハへ600nmの深さへエッチされている場合である。
図17Eは、図17Bと同様であるが、円筒状の穴がSiウエハへ800nmの深さへエッチされている場合である。
図17Fは、円筒状の穴が該Geを該Siへ600nmの深さへエッチされていることを除いて図17Cと同様である。
図17Gは、円筒状の穴が該Geを貫通して該Si内へ全深さ800nmでエッチされていることを除いて図17Cと同様である。
図17Hは、円筒状の穴が該Geを貫通して該Si内へ全深さ1000nmでエッチされていることを除いて図17Bと同様である。
図17A−17Hの全てにおいて、入射光学フィールドは表面に対する垂線に対して−10乃至10度にわたって平均化されている。該吸収及び/又はEQEは、800−1800nmの波長範囲における或る波長において40%以上となることが可能である。
図18A−18Bは、幾つかの実施例に基づく、金属酸化物半導体(MOS)接合を有するラテラル噛み合い型光検知器の簡単化した部分断面図である。図18Aにおいて、M1及びM2はAl電極であり2nmAl酸化物層1808の上にある。Al酸化物1808が原子層付着を使用してSi上に形成される。該Si基板又は層は低ドープP型であり、固有抵抗は10−30Ω/cmであり、且つ、幾つかの場合に、10Ω/cm以上である。Al電極がAl酸化物1808の上に300nmの幅で付着され、且つ、幾つかの場合に、その幅は5nm−600nmの範囲とすることが可能である。電極M1とM2との間の間隔は100nm乃至10,000nm又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、該間隔は30nm−1,000nmの範囲とすることが可能である。幾つかの場合に、該間隔は30nm未満とすることが可能である。光は該電極を有する上部表面へ入射させることが可能であり、又はその底部表面へ入射させることが可能である。バイアスをM1及びM2電極へ順方向又は逆方向に印加させることが可能である。噛み合い光検知器に対する波長範囲は600nm−1,100nm、及び、幾つかの場合に、600nm未満の範囲とすることが可能である。
図18Bは、図18Aと同様であるが、BOX層が付加されており、その厚さは10nm−2,000nm又はそれ以上の範囲とすることが可能である。該BOXの上のSi装置層は、真性又は低ドープP又はN型で固有抵抗が1Ω/cm又はそれ以上で厚さ範囲が100nm−5,000nm又はそれ以上とすることが可能である。Si酸化物、Al酸化物、Hf酸化物、Ti酸化物、Ta酸化物等の酸化物層をSi装置層の上に形成することが可能である。該酸化物層は、1nm−5nmの範囲の厚さで、幾つかの場合には、5nmより大きな厚さを有することが可能である。幾つかの場合に、該酸化物は、酸化物以外の炭化物等の誘電体層とすることが可能であり、例えば、Si炭化物、W炭化物等であり、且つ、幾つかの場合には、窒化物層、例えばSi窒化物とすることが可能である。金属電極が該酸化物層上に形成されてMOS接合を形成する。幾つかの場合に、該電極の内の一つはMOS接合とすることが可能であり、且つ他の電極はショットキー接合とすることが可能である。マイクロストラクチャ穴1812は、該Si装置層内へのエッチ深さでその表面上に形成することが可能であり、且つ、幾つかの場合に、該BOX層へ該Si装置層を貫通して形成することが可能である。該マイクロストラクチャ穴は、円筒状、漏斗状、反転ピラミッド、円錐状、矩形状、アメーバ状、及び多角形状、又はそれらの任意の組み合わせとすることが可能である。該表面における該マイクロストラクチャ穴の横方向寸法は、500nm−1,200nmの範囲とすることが可能である。該穴の間の間隔は、0nm−1,000nm又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、0nm−500nmとすることが可能である。該穴はSi酸化物、Si窒化物、Al酸化物等の誘電体で部分的に又は完全に充填させることが可能である。電圧バイアスは順方向又は逆バイアスのいずれかでM1及びM2電極間に印加させることが可能である。該電圧バイアスの極性は、該噛み合い型ラテラル光検知器の性能、例えば、高EQE、高速、高利得、低雑音等を最適化させるために選択される。M1及びM2はMOS接合を形成する金属とすることが可能であり、該金属は、Al、Cu、W、Mo、Ni、Cr、Pt、Au、等を包含することが可能であり、且つ、幾つかの場合に、金属合金とすることが可能であり、且つ、幾つかの場合に、金属シリサイドとすることが可能である。光は上部表面(電極表面)へ入射させることが可能であり、又は、幾つかの場合に、底部表面(基板表面)へ入射させることが可能である。幾つかの場合に、該BOX層はオプションとすることが可能である。
図19は、幾つかの実施例に基づく、噛み合い型ラテラル光検知器の簡単化した部分断面図である。該ラテラル光検知器は、PN,PIN、PIPN等の適切なP及びNドーピング分布を有する、フォトダイオード、アバランシェフォトダイオード、単一フォトンアバランシェフォトダイオード等とすることが可能である。アノード(M1)とカソード(M2)との間に逆バイアスを印加する。該噛み合い型電極は金属及び/又は金属シリサイドとすることが可能である。該噛み合い型光検知器はSi・オン・インシュレータ(SOI)上に製造され、且つその噛み合い型電極を具備する該光検知器は非晶質Siで埋設され、且つ該非晶質Si内にマイクロストラクチャ穴が形成され、且つ該非晶質Si(a−Si)及びマイクロストラクチャ穴1912はSiに酸化物等の酸化物又は誘電体で充填させることが可能である。該マイクロストラクチャ穴1912の横方向寸法は、該噛み合い型電極間の間隔よりも一層大きいものとすることが可能であり、且つ幾つかの場合に、該マイクロストラクチャ穴の横方向寸法内に複数の電極を包含させることが可能である。光は基板表面とは反対側の上部表面に入射する。複数の光検知器のアレイを製造し且つ単一チップ上のCMOSASICへ接続させることが可能である。該BOX層の厚さは10nm乃至2,000nm又はそれ以上の範囲とすることが可能であり、且つI又は低ドープP又はNとすることが可能な該結晶性装置層の厚さは10nm乃至100nmの範囲とすることが可能であり、且つ、幾つかの場合に、30nm乃至300nm、そして、幾つかの場合に、50nm乃至500nm、そして、幾つかの場合に、500nm乃至1,000nmの範囲とすることが可能である。該P及びNウエルの深さは、該装置層内へ部分的に又は該BOX層へ該装置層全体にわたり形成することが可能である。電極M1及びM2の間の間隔は、100nm乃至1,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、1,000nmを超えるものとすることが可能である。幾つかの場合に、M1及びM2電極の間の間隔は周期的なものとすることが可能であり、且つ、幾つかの場合に、非周期的なもの、且つ、幾つかの場合に、ランダムなものとすることが可能である。P及びNウエルは該電極の下側に形成することが可能であり、且つ、幾つかの場合に、該P及びNのドーピングは該電極の幅を超えて延在することが可能であり、且つ幾つかの場合に、該ドーピングは、例えば、PIPNを包含することが可能である。該電極の厚さは、50nm乃至500nm、及び、幾つかの場合に、1,000nm以上の範囲とすることが可能である。該a−Siは、プラズマエンハンストCVD、CVD、電子ビーム等の熱付着、及び/又はCMOS処理において使用される任意のその他の方法を使用して該光検知器上に付着させることが可能である。該a―Siの厚さは、100nm−1,000nの範囲とすることが可能であり、及び、幾つかの場合に、1,000nmを超えるものとすることが可能である。該マイクロストラクチャ穴は円形状、矩形状、楕円状、及び/又は任意のその他の形状とさせることが可能であり、且つ円筒状、台形状、円錐状、及び/又は任意の断面の組み合わせとすることが可能であり、且つ400nm乃至1,300nm、そして、幾つかの場合に、700nm−1,000nmの範囲の表面横方向寸法を有することが可能である。該マイクロストラクチャ穴1912及び該a―Siは、例えばSi二酸化物やSi窒化物等の該a−Siとは異なる屈折率を有する誘電体によって部分的に又は完全に埋設させることが可能である。該逆バイアスは1V−35V、そして、幾つかの場合に、0.7V−3.3V、そして、幾つかの場合に、3.3V−15Vの電圧範囲を有することが可能である。データ通信用等の変調信号又は飛行時間適用例又は光学画像用等の反射信号とすることが可能な入射フォトンの波長範囲は700nm乃至1,100nmの範囲とすることが可能である。
本特許明細書において言及される殆どの場合におけるマイクロストラクチャ穴1912の横方向寸法は、いかなる誘電体でも充填されていないマイクロストラクチャ穴に対するものであって、例えば、空気又は真空のみで充填されており、その光学的屈折率はほぼ1である。該マイクロストラクチャ穴が誘電体で部分的に又は完全に充填されている場合には、該マイクロストラクチャ穴の横方向寸法はそのマイクロストラクチャ穴内の誘電体/ボイドの実効光学的屈折率によって減少される場合がある。例えば、充填されていない(例えば、空気内)800nmの横方向寸法を有するマイクロストラクチャ穴は、光学的屈折率が約1.5であるSiOで完全に充填されている場合には、533nmの横方向寸法を有することとなる場合がある。マイクロストラクチャ穴横方向寸法は、幾つかの場合に、誘電体で充填される場合には減少される場合があり、その場合にその屈折率は1よりも大きく、例えば(真空又は空気中のマイクロストラクチャ穴の横方向寸法)/(光学的屈折率)であり、且つ、該マイクロストラクチャ穴が部分的に誘電体で充填されている場合には、実効光学的屈折率は該マイクロストラクチャ穴内の1個又はそれ以上の誘電体の体積の比によって計算することが可能である。
図20A−Dは、幾つかの実施例に基づく、検知器アレイが1個又はそれ以上のチップ上にCMOS/BiCMOSASICとモノリシックに集積化されているLiDAR及び/又はカメラシステムの概略図である。図20Aにおいて、検知器アレイ2050はCMOS/BiCMOSASIC2060とモノリシックに集積化させることが可能であり、且つ検知器アレイ2050は、電荷結合素子(CCD)、CMOSをベースとしたイメジャー、光検知器、APD及び/又はSPADとすることが可能である。レーザ源2040を流体組み立て及び/又はロボット組み立てを使用してSiチップ2030上に組み立てることが可能であり、且つ該レーザへの電気的接続はバックエンド(BEOL)プロセスとすることが可能である。光源は、発光ダイオード(LED)、レーザダイオードとすることが可能であり、それは端部表面発光レーザ、垂直共振器面発光レーザ、及び/又はその任意の組み合わせとすることが可能である。光源単一又は複数ビームをスキャニングMEMSミラー2020へ指向させることが可能であり、従ってターゲット物体を照射するためにラスタースキャンを実施することが可能であり(ターゲット2010への出力光ビーム2042)、そしてターゲット物体から反射された光源(ターゲット2052からの入力光ビーム)を検知器アレイ2050上に画像形成させることが可能である。ラスタースキャンのために使用するSiマイクロミラー2020は該光源の上方にマウントされた別個のチップとすることが可能である。例えば、参考文献のHofmann、低コストレーザスキャナー用MEMSミラー(MEMS mirror for low cost laser scanners)、Fraunhofer, www.minifaros.euを参照すると良い。
幾つかの場合に、図20A−Dに例示されている例における光源は、或る波長でターゲット物体を照射するためのパルス型又はCW(連続波)とすることが可能であり、その波長は800−1,600nmの範囲である。該光源によって照射される該ターゲット物体からの反射光は、検知器アレイ内へフォーカスさせることが可能であり且つCCD及び/又はCMOSセンサーを使用するカメラ要素とすることが可能である。そして、幾つかの場合に、一層高い感度のために、該センサーアレイはAPD/SPADアレイとすることが可能である。
幾つかの場合に、図20A−Dに例示した例における光源はパルス動作させることが可能であり、且つ該検知器アレイによって検知される該光パルスの該ターゲット物体への飛行時間はLiDARモードで使用するすることが可能である。複数のレーザビームでMEMSマイクロミラースキャナー2020の場合には、ラスタースキャンはより一層大きいな空間分解能で実施することが可能であり、且つ単一ビームLiDARの場合よりも一層短い時間で実施することが可能である。非常に基本的な要素のみしか図示していないが、不図示のものは、レンズ、マイクロミラードライバー、及びイメージング及び/又はLiDARシステムを完成するために必要なその他の要素である。該光源の単一及び/又は複数波長を使用することが可能であり、且つ該検知器アレイは単一又は複数の波長を検出するためにフィルターを有することが可能である。幾つかの場合に、或る波長を検出するために各アレイ上に適切なフィルタを具備する単一Siチップ上に複数のアレイを製造させることが可能である。参考文献のVasile et al.、高利得アバランシェフォトダイオードアレイでのフォトン検知(Photon Detection with High Gain Avalanche Photodiode Arrays)、IEEE transactions on Nuclear Science, Vol. 45, No. 3, June 1988を参照すると良い。幾つかの実施例によれば、該Ge光検知器は700−2,200nmの範囲の波長を有することが可能であり、且つ該Si光検知器は700−1,100nmの波長範囲を有することが可能である。幾つかの実施例によれば、該Si光検知器は次の波長の内の一つ又はそれ以上で動作することが可能であり、即ち、905nm、940nm、980nm、及び1040nmである。幾つかの実施例によれば、該Ge/GeSi光検知器は以下の波長の内の一つ又はそれ以上で動作することが可能であり、即ち、905nm、940nm、980nm、1040nm、1550nm、1650nm、及び1850nmである。
図20Bは、光源アレイが一つのチップ2032上にあり且つ一つ又は複数の検知器アレイが別のチップ2034上にある点を除いて、図20Aと同様である。注意すべきことであるが、この場合には、チップ2032及び2034の各々がそれ等自身の夫々のCMOS/BiCMOSASIC2060及び2062を有していることである。幾つかの場合に、ターゲット物体の3D検知を改善し且つ太陽等のその他の発生源からの干渉を最小とさせるたけに、複数光源アレイ及び複数検知器アレイを1個又はそれ以上の波長において使用することが可能である。
図20Cは、図20Bと同様のLiDARシステムの簡単化した概略図を示しており、その場合には、MEMSミラーなどのスキャニング用マイクロミラーを具備する面発光レーザ等の光射出器のアレイが同一又は異なる波長にある複数のビームで自動車などのターゲットをスキャンし且つその反射ビームがCMOS/BiCMOSASICとモノリシックに集積化されているPD/APD/SPADの2組の高密度2Dアレイ上に入射することが可能である。該高密度検知器アレイ2034及び2036は付加的な深さ情報を与えるために2乃至20cm又はそれ以上だけ離隔させることが可能であり、且つ、幾つかの場合に、付加的な深さ情報を与えるために2個を超える数の高密度光検知器アレイを使用することが可能である。幾つかの場合に、その照射器はLED及び/又はレーザとすることが可能であり且つLiDARモードに加えて使用することが可能であり及び/又はターゲットを照射するために使用することが可能であり、且つ該高密度検知器アレイはカメラと同様の態様で機能することが可能であり、且つ6cm又はそれ以上だけ離隔させた2個又はそれ以上の高密度アレイを使用することは人間の目と同様の3Dイメージング等の深さ情報を与えることが可能である。
図20Dは、LiDAR及び/又はカメラシステムの簡単化した概略図を示しており、その場合に、面発光レーザ2042等の複数の照射器の高密度アレイをターゲット2010を照射するために使用することが可能であり且つ該ターゲットからの反射が高密度アレイ2038上に入射する。幾つかの場合に、該高密度光射出器及び該高密度光検知器アレイは単一のチップ上に実現させることが可能であり、その場合、該検知器はCMOS/BiCMOSASIC2066とモノリシックに集積化され、且つ該射出器は、III−V物質の選択的ヘテロエピタキシー、III−V物質のSiへのウエハボンディング、流体自己組み立てを使用して、該Si上に製造させることが可能である。幾つかの場合に、該高密度射出器及び該高密度検知器アレイは別々のチップとすることが可能であり、且つ幾つかの場合に、図20Cにおける如く、離隔させた複数の検知器の複数のアレイを深さ情報を与えるために使用することが可能である。幾つかの場合に、光学射出器の複数の高密度アレイを使用することが可能である。幾つかの場合に、スキャニング用のマイクロミラーは省略することが可能である。
CMOSASICとモノリシックに集積化されたSPADは参考文献のZhang et al.、衝突検知及び単一フォトン計数用の128個の動的再割り当て用TDC及び3D飛行時間イメージングを具備するCMOSSPAD撮像器(A CMOS SPAD Imager with Collision Detection and 128 Dynamically Reallocating TDCs for Single-Photon Counting and 3D Time-of-Flight Imaging)、Sensors 2018, 18, 4016; doi: 10.3390/s18114016 に記載されている。
幾つかの場合に、スキャニングミラーを使用すること無しにフラッシュLiDARを実現することが可能である。例えば、参考文献のBaba et al.、フラッシュLIDAR用のInGaAsSPAD2Dアレイの開発(Development of an InGaAs SPAD 2D array for flash LIDAR)、doi: 10..1117/12.2289270 を参照すると良い。
参考文献のBeer et al.、自動車適用例用の高周囲光拒否を有するSPADをベースとしたフラッシュLiDARセンサー(SPAD-based flash LiDAR sensor with high ambient light rejectionfor automotive a;pplications)、Proc. Of SPIE Vol. 10540, 105402G-3 はフラッシュLiDAR適用例のためにSPAD光検知器を使用することについて記載している。
参考文献のNiclass et al.、MEMSをベースとしたレーザスキャニング飛行時間センサー用のCMOSでの256×64ピクセル単一フォトン撮像器の設計及び特性(Design and characterization of a 256x64-pixel single-photon imager in CMOS for a MEMS-based laser scanning time-of-flight sensor)、Optics Express, 11863 Vol. 20, No. 11, 21 May 2012 はフラッシュLiDAR又はスキャニングLiDARのいずれかとして使用するCMOSASICと集積化したイメージング用のSPADアレイを使用することについて記載している。Niclass文献の図3において、該SPADの断面が示されているが、本特許明細書に記載されているように、該深いNウエル内及び/又は該P基板内へのマイクロストラクチャ穴を付加した場合には近赤外フォトンの吸収を向上させることが可能なものである。
厚いSi光検知器のCMOSASICとのモノリシック集積化は、基板を光検知器として使用することにより実現させることが可能であり、例えば、参考文献のLee et al.、LiDAR適用例用のSOIをベースとした完全に枯渇させた検知器技術での裏面照射型飛行時間イメージセンサー(A Back-Illuminated Time-of-Flight Image Sensor with SOI-Based Fully Depleted Detector Technology for LiDAR Application)、Proceedings 2018, 2, 789, doi: 10.3390/proceedings2130789 を参照すると良い。しかしながら、該Si吸収層の厚さに起因して、該光検知器の10−90%ライズタイムはナノ秒の範囲である。このことは深さ分解能を約1メートル又はそれ以上に制限することとなる。
図21A−21Cは、幾つかの実施例に基づく、ラテラルAPD/SPADGe/GeSi・オン・Siの簡単化した部分概略断面図であり、その場合には、光学信号の吸収は支配的に該Ge/GeSi内であり、且つアバランシェ利得等の増倍は該Si内において発生する。該ラテラルAPD/SPADは面照射型であり、その場合に、光は該上部表面に入射することが可能であり、且つ、幾つかの場合に、底部(基板表面)に入射することが可能であり、且つ、幾つかの場合に、該基板は薄いものとすることが可能であり、及び/又は底部照射用にビア(via)をエッチングさせることが可能である。図21Aにおいて、該構成体はGe/GeSiを有しており、それはI又は低ドープPSi(π)上に選択的区域成長させることが可能である。そして、幾つかの場合に、該Ge/GeSiは該I又はSi層上に一様に成長させることが可能であり、且つGe/GeSiはM2即ちカソード2122を形成する区域をエッチングすることが可能である。P及びN領域を該又は低ドープSi内に形成することが可能であり、且つ噛み合い部M2(2122)が該N領域とオーミックコンタクトを形成することが可能である。該I又は低ドープSi上の該Ge/GeSiは200乃至2,000nm又はそれ以上、そして、幾つかの場合に、300−1,000nmの範囲の厚さを有することが可能であり、且つI又は低ドープP又はN型とすることが可能であり、且つPGe/GeSi層又は領域2130を該I又は低ドープGe上に形成させることが可能である。該PGe/GeSi2130は10nm乃至300nm又はそれ以上の範囲の厚さを有することが可能であり且つM1噛み合い電極2120は該PGe2130上に形成することが可能であり、オーミックコンタクトを形成する。幾つかの場合に、複数のM1(2120)を該PGe/GeSi2130上に形成することが可能である。マイクロストラクチャ穴2112を該PGe2130内にエッチングさせることが可能であり、且つ、幾つかの場合に、該PGeを貫通してI又は低ドープGe内へエッチングさせることが可能であり、且つ、幾つかの場合に、該I又は低ドープGeを貫通して該I又は低ドープSi内へエッチングさせることが可能である。穴2112の断面は円筒状、矩形状、台形状、円錐状等とすることが可能であり、且つ該表面において、600nm乃至1,500nm又はそれ以上、そして、幾つかの場合に、100nm−600nmの範囲の横方向寸法を有することが可能である。隣接する穴2112の間の間隔は、0nm(接触及び/又は重畳)乃至1,000nm又はそれ以上、そして、幾つかの場合に、100nm−600nmの範囲とすることが可能である。該穴は周期的、及び/又は非周期的、及び/又はランダムに離隔されているものとすることが可能である。逆バイアスをM1(アノード)2120とM2(カソード)2122との間に印加させる。電界はPGe/GeSi2130から該I又は低ドープGe/GeSiを介して且つ該I又は低ドープSiを介して該Nへ延在する。
参考文献のNovak et al.、ラテラルアバランシェ光検知器(Lateral Avalanche Photodetector)。米国特許商標庁2017/0338367A1は、ラテラル構造について記載しており、その場合に、該Geはアノードにもカソードにも電気的に接続されておらず且つ支配的に導波路モードで動作する。本特許明細書においては、例えば図21A−Cに例示されているように、該Ge/GeSiは直接的にアノード及び/又はカソードへ接続されており、且つ電界は該P領域から該N領域へ浸透しており、更に、光学信号は表面照射されている。
図21A−Cに例示されるように装置内の波長範囲は、800nm乃至1,700nm、そして、幾つかの場合に、800nm−1,800nm、そして、幾つかの場合に、1,100nm−1,550nm、そして、幾つかの場合に、1,150nm−1,550nm範囲とすることが可能である。逆バイアス電圧を該アノードと該カソードとの間に印加させ、その逆バイアス電圧は−2V乃至−50V又はそれ以上、そして、幾つかの場合に、−10V乃至−45Vの範囲とすることが可能である。該BOX層はオプションとすることが可能である。
参考文献のZhu et al.、別個の垂直SEG−Ge吸収、ラテラルSi電荷、及び増倍形態を有する導波路型Ge/Siアバランシェフォトダイオード(Waveguided Ge/Si Avalanche Photodiode Witth Separate Vertical SEG-Ge Absorption, Lateral Si Charge, and Multiplication Configuration)、IEEE Electron Device Letter, Vol. 30, No. 9, September 2009 は、ラテラルGe・オン・SiAPDを記載しており、その場合に、光は導波路モードで結合される。
図21Bは、そのSi層がN又はNであり且つM2が該N又はNSiに対してオーミックコンタクトを形成している点を除いて、図21Aと同様である。
図21Cは、PとNとが交換された状態(従って、電極M2がアノード2016で且つ電極M1がカソード2124である)で図21Bと同様である。
図21B及び21Cは、逆バイアスで動作することが可能であり、その場合に、逆バイアス電圧は該アノードと該カソードとの間に印加され、そのバイアス電圧は−1乃至―10V又はそれ以上であり、且つ、幾つかの場合に、アバランシェ利得が一層高い逆バイアス電圧において発生することが可能である。
図21A−Cに例示したラテラルPD/APD/SPADGe/GeSi・オン・Si光検知器は、信号処理、及び/又は、向上、及び/又は、通信のために、CMOS/BiCMOSASICとモノリシックに集積化させることが可能である。
図21A−Cに例示されているようなマイクロストラクチャ穴ラテラルPD/APD/SPADは、或る波長において、及び/又は、或るバイアス電圧において、マイクロストラクチャ穴の無い同等のラテラルPD/APD/SPADよりも一層高いEQEを有することが可能である。幾つかの場合に、マイクロストラクチャ穴は或る適用例に対しては必要ではない場合がある。
図21A−Cに例示されているようなラテラル噛み合い型PD/APD/SPADは、アレイ又は複数のアレイで製造することが可能であり、且つ、幾つかの場合に、高密度アレイの1,000×1,000光検知器又はそれ以上として製造することが可能であり、且つ、光学的相互接続、イメージング、3Dイメージング、及び/又はLiDARにおける適用例のためにCMOS/BiCMOSASICとモノリシックに集積化させることが可能である。
図22Aは、幾つかの実施例に基づく、BOX層があるか又は無い場合の噛み合い型垂直Ge/GeSi・オン・SiAPD/SPADの簡単化した概略断面図を示している。NSi装置層又はNSi基板の上にP層Siをエピタキシャル成長させることが可能であり、次いでI又は低ドープSi、次いで低温Ge/GeSiバッファ層を伴うか又はない場合のI又は低ドープGe層、次いでPGe/GeSi層2210を形成する。該PSi層の厚さは、5乃至100nmの範囲とすることが可能であり、該I又は低ドープSiの厚さは5乃至500nmの範囲とすることが可能であり、且つ該I又は低ドープGeの厚さは50乃至1,000nm又はそれ以上の範囲とすることが可能である。該PGe2210の厚さは10乃至300nmの範囲とすることが可能である。噛み合い型アノード2220は該PGe2210上に形成され、且つ噛み合い型カソード2222は該NSi上に形成する。逆バイアス電圧を該アノードと該カソードとの間に印加させる。該アノード及びカソード噛み合い部の幅は、5nm乃至300nm又はそれ以上の範囲とすることが可能である。該噛み合いアノード、カソード電極の厚さは、50乃至300nm又はそれ以上の範囲とすることが可能であり、且つ金属、又は金属合金、又はシリサイドとすることが可能である。マイクロストラクチャ穴2212は、該P+Ge層2210を介して該PGe層内へ及び該I又は低ドープGe/GeSi層内へエッチングさせることが可能であり、及び/又は、該マイクロストラクチャ穴は該I又は低ドープSi層へエッチングさせることが可能である。幾つかの場合に、該マイクロストラクチャ穴2212は、該Si内へエッチングさせることが可能である。光は該上部表面及び/又は底部表面(基板側)に入射することが可能である。その波長は750nm乃至1,800nm、そして、幾つかの場合に、850nm−1,550nm、そして、幾つかの場合に、1,040nm−1,550nmの範囲とすることが可能である。データレートは1Gb/s又はそれ以下乃至50Gb/s又はそれ以上の範囲とすることが可能である。
マイクロストラクチャ穴2212は、円筒状、台形状、矩形状、反転ピラミッド、漏斗状、とすることが可能であり、且つ600nm−1,700nm又はそれ以上、そして、幾つかの場合に、600nm−1,300nmの範囲の直径を有することが可能である。該穴の間の間隔は、100nm−500nm、そして、幾つかの場合に、500nm以上、の範囲とすることが可能である。該マイクロストラクチャ穴は、例えば正方形又は六角形等の周期的な格子に配設させることが可能であり、且つ、幾つかの場合に、該マイクロストラクチャ穴は非周期的、及び/又は、間隔及び/又は形状及び/又は深さにおいてランダムなものとすることが可能である。
図22Bは図22Aに示した構造の簡単化した平面図を示しており、その場合に、幾つかの実施例によれば、該噛み合いアノード及びカソードは送信線へ接続されており且つCMOS/BiCMOSASICとモノリシックに集積化させることが可能である。
図23A−B、24A−B、25A−B、及び26A−Bは、幾つかの実施例に基づく、噛み合い型ラテラルGe/GeSi・オン・SiAPD/SPADの概略断面図である。図23Aには噛み合い型ラテラルGe/GeSi・オン・SiPIPNAPD/SPADが示されている。該ラテラルGe/GeSiSiAPD/SPADはI又は低ドープSi基板上又はI又はSOIウエハ上の低ドープSi装置層上に形成させることが可能である。該I又は低ドープSiは、図23Aに示した如く、低ドープN又は低ドープPとすることが可能である。該Si層は低ドープP又はπである。P及びNウエルは該Si層内に形成させることが可能であり、そのM2電極は該Nウエルとオーミックコンタクトを形成する。該I又は低ドープGe/GeSi2310は、100nm−1,000nm又はそれ以上の範囲の厚さで該I又は低ドープSi上に選択的区域成長させることが可能である。Pウエルは該Ge/GeSi2310内に形成することが可能であり、且つ該M1噛み合い電極は該PGe/GeSiウエルとオーミックコンタクトを形成することが可能である。該Geストリップ2310の幅は、200nm乃至2,000nm又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、該Ge/GeSiストリップの幅は、1,000nm乃至10,000nm又はそれ以上の範囲とすることが可能である。該Ge/GeSiストリップの長さは1ミクロン乃至1,000ミクロン又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、20ミクロン乃至1,000ミクロン又はそれ以上、そして、幾つかの場合に、10,000ミクロン又はそれ以上とすることが可能である。該噛み合い電極M1及びM2の幅は、5nm乃至300nm又はそれ以上の範囲とすることが可能である。該Ge/GeSi内の該Pウエルの深さは、部分的に該Ge/GeSi内とすることが可能であり、且つ、幾つかの場合に、該Ge/GeSi2310の全深さを貫通させることが可能である。Si内の該P及びNウエルは、部分的に該I又は低ドープSi装置層内に、そして、幾つかの場合に、該BOX層へ該I又は低ドープSi装置層の底部へ延在することが可能である。逆バイアス電圧がM1(アノード)とM2(カソード)との間に印加される。M1及びM2は送信線へ接続されており、それはAPD/SPAD検知器又は検知器アレイと集積化されているCMOS/BiCMOSASICへ電気信号を運ぶ。光は該上部表面へ入射することが可能であり、且つ、幾つかの場合に、該底部表面に入射することが可能である。該光の波長は、800nm−1,800nmの範囲とすることが可能であり、且つ、幾つかの場合に、1,040nm乃至1,550nm、そして、幾つかの場合に、900nm乃至1,350nmとすることが可能である。データレートは1Gb/s以下乃至50Gb/s以上の範囲とすることが可能であり、且つ、幾つかの場合に、10Gb/s乃至50Gb/s、そして、幾つかの場合に、100Gb/s又はそれ以上とすることが可能である。或る適用例においては、光学パルスがイメージングのために使用され、且つ、幾つかの場合に、LiDAR等の測距のために使用され、その光学パルスのレートは1K乃至1M又はそれ以上のパルス数/秒の範囲とすることが可能である。そして、幾つかの場合に、10M−100M又はそれ以上のパルス数/秒で検知することが可能である。該APD/SPADのライズタイムは、5ピコ秒又は乃至300ピコ秒の範囲とすることが可能であり、且つ、幾つかの場合に、10ピコ秒乃至50ピコ秒とすることが可能である。利得即ち増倍係数は、100乃至1,000又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、1,000乃至1,000,000又はそれ以上とすることが可能であり、幾つかの場合に、該利得即ち増倍係数は、3乃至1,000又はそれ以上、そして、幾つかの場合に、500乃至5,000又はそれ以上とすることが可能である。
幾つかの場合に、該Ge/GeSi2310は、該Si層上に成長させることが可能であり、且つGe/GeSiストリップを該Si層へ該Ge/GeSiをエッチング除去することによって形成させることが可能である。幾つかの場合に、該Ge/GeSiの成長の前に、低温Ge/GeSiバッファ層を該Si層上に形成させることが可能である。
幾つかの場合に、図23Aに示したウエルに加えて、P又はNの複数のウエルを形成させることが可能である。
図23Bは、Si内にPウエルが無いが、図23Aと同様の簡単な部分概略断面図を示している。
図24Aは、Ge/GeSi内にマイクロストラクチャ穴を有している図23Aと同様の簡単化した部分概略断面図を示している。マイクロストラクチャ穴2412は該Ge/GeSi層又は領域2410内にエッチングさせることが可能であり、その直径は600nm―1,800nmの範囲及び間隔は0nm−300nm又はそれ以上、そして、幾つかの場合に、0nm−1,000nmの範囲とすることが可能である。該マイクロストラクチャ穴2412の間隔は、異なる方向に対して異なるものとすることが可能であり、例えば、該ストリップの長さに沿っては該間隔は0nm(接触状態)で且つ該ストリップの幅に沿っては該間隔は300nm−600nmとすることが可能である。該マイクロストラクチャ穴が接触状態にある場合には、該穴は反転ピラミッド又は円錐形状とさせることが可能である。該Ge/GeSi2410における該マイクロストラクチャ穴の深さは部分的に該Ge/GeSi内とすることが可能であり、且つ、幾つかの場合に、該Ge/GeSiを貫通して該Si層へ延在することが可能である。
図24Bは、該Si内に該Pウエルが無い場合の図24Aと同様である。
図25Aは、Ge/GeSi2510がSi2508の凹設トレンチ内に成長されており、従って該Ge/GeSi2510が、例えば、選択的区域成長を使用して支配的にSi2508内のトレンチ内に埋設されているという点を除いて、図23Aと同様である。該表面は化学的機械的研磨などの方法を使用して平坦化させることが可能である。Pウエル該Ge/GeSi内に形成することが可能であり、且つP及びNウエルをSi内に形成することが可能である。M1は該P(アノード)に対するオーミックコンタクトを形成することが可能であり、且つM2は該N(カソード)に対するオーミックコンタクトを形成することが可能であり、且つ逆バイアスをM1とM2との間に印加させる。
参考文献のZhu et al.、別個の垂直SEG−Ge吸収、ラテラルSi電荷、及び増倍形態を有する導波路型Ge/Siアバランシェフォトダイオード(Waveguided Ge/Si Avalanche Photodiode Witth Separate Vertical SEG-Ge Absorption, Lateral Si Charge, and Multiplication Configuration)、IEEE Electron Device Letter, Vol. 30, No. 9, September 2009 は、導波路モードでのGe・オン・SiAPDについて記載している。この特許明細書においては、全ての光検知器は上部表面及び/又は底部表面照射型である。
図25Bは、Si2508内にPウエルが無いが図25Aと同様である。
図26Aは、Ge/GeSi内にマイクロストラクチャ穴2612を付加しているが、図25Aと同様である。該マイクロストラクチャ穴は図24Aにおいて説明したものと同様である。
図26Bは、Si2508内にPウエルが無いが図26Aと同様である。参考文献のKang et al.、340GHz利得−帯域幅積を有するモノリシックゲルマニウム/シリコンアバランシェフォトダイオード(Monolithic germanium/silicon avalanche photodiodes with 340 GHz gain-bandwidth product)、Nature Photonics 7 Dec 2008, DOI: 10.1038/NPHOTON, 2008, 247 は面照射型Ge・オン・SiAPDを記載している。参考文献のMartinez et al.、導波路結合Ge・オン・Siラテラルアバランシェフォトダイオードにおける単一フォトン検知(Single photon detection in a waveguide-coupled Ge-on-Si lateral avalanche photodiode)、Optics Express, Vol. 25, No. 14, 10, July 2017 は導波路結合型ラテラルAPD構造を記載している。
マイクロストラクチャ穴を具備する光検知器は、マイクロストラクチャ穴が無い同等の光検知器よりも一層高い外部量子効率(EQE)を有することが可能である。該光検知器は、データ通信、LiDAR,又はイメージングのために使用されるPD又はAPD又はSPADとすることが可能である。該マイクロストラクチャ穴を具備する光検知器は、マイクロストラクチャ穴が無い同等の光検知器のEQEよりも2X乃至10X又はそれ以上の範囲のEQEを有することが可能である。
図27A−Bは、Geストリップにおける光学的吸収のFDTDシミュレーションにおいて使用するためのマイクロストラクチャ穴が有る場合とない場合とのGeストリップ・オン・Siの概略断面図及び平面図を示している。該FDTDシミュレーション結果は、図28及び29に示してある。該Geストリップの幅は3600nmであり且つ該ストリップ間のギャップは400nmであり、且つ該Geストリップの厚さは800nmである。該ストリップの長さはシミュレーション目的のためには無限大である。FDTDシミュレーションのために、該ストリップの全ては、マイクロストラクチャ穴2712を有するものではないか、又は該ストリップの全てがマイクロストラクチャ穴を有するものであるかのいずれかである。シミュレーションのためのマイクロストラクチャ穴の直径は1200nmであり、且つその周期は正方形格子において1600nmである。該穴は円形状及び円筒状である。該マイクロストラクチャ穴の深さは400nm乃至800nmである。
図28は、マイクロストラクチャ穴無しで1乃至1.6ミクロンの波長にわたりGeストリップ・オン・Siの吸収のFDTDシミュレーションを示している。このシミュレーションは光学信号の垂直入射から±10度にわたって平均化しており且つ偏光にわたって平均化している。EQEに直接的に比例する吸収は1−1.6ミクロンの波長範囲においては40%以下である。
図29は、マイクロストラクチャ穴有りで1乃至1.6ミクロン波長にわたってのGeストリップ・オン・Siにおける光学吸収のFDTDシミュレーションを示している。該シミュレーションは垂線から±10度の角度にわたっての入射フォトンの角度を平均化しており且つ該Geストリップの長さに沿っての一つの偏光と該Geストリップの幅に沿っての別の偏光との2つの直交する偏光によって平均化している。点線の曲線2910は、400nmの深さへエッチングした穴の場合に対するものである。そして、実線の曲線2910は800nmの深さへ該Ge層を貫通してエッチングしたマイクロストラクチャ穴の場合に対するものである。吸収は1乃至1.6ミクロンの波長範囲にわたって60−80%又はそれ以上の範囲である。そのEQEは吸収に直接的に比例している。
マイクロストラクチャ穴を有する光検知器のEQEは、或る波長において、マイクロストラクチャ穴の無い光検知器のEQEよりも一層高いものとすることが可能である。マイクロストラクチャ穴を有するGeストリップ・オン・Siの穴直径が1200nmで、周期が正方形格子において1,600nmで、円筒状断面で、エッチ深さがGeにおいて400nm及び800nmである。
図30A−Bは、幾つかの実施例に基づく、トレンチとして構成された穴を具備している半導体表面の簡単化した部分概略平面図である。半導体3010及び3008は、Si、Ge、GeSi、及び/又はIII−V物質、例えばInP、GaAs、GaN等とすることが可能である。この場合に、マイクロストラクチャ「穴」は「接続」されてトレンチ3060及び3062を形成している。該マイクロストラクチャ穴/トレンチ3060及び3062は、更に、半導体3010及び3008の表面を夫々十字形に交差するように構成されている。図30A−Bにおけるトレンチ形状の穴が直線で示されているが、それらは、代替的に、曲線又は直線と曲線との組み合わせで形成することが可能である。各トレンチの幅は、ほぼ1波長であり、且つ、幾つかの場合に、波長未満とすることも可能であり、且つ、幾つかの場合に、1波長より大きなものとすることも可能であり、且つ、幾つかの場合に、600nm乃至1,600nmの範囲の幅を有することが可能であり、且つ該感光性区域の長さを走行することが可能である。該トレンチの深さは、100nm乃至1,000nm又はそれ以上、そして、幾つかの場合に、100nm−600nmの範囲とすることが可能である。
図31A−Bは、幾つかの実施例に基づく、イメージング及びLiDAR適用例用のSPAD又はAPD又はPDSi光検知器の簡単化した部分概略断面図を示している。該光検知器/APD/SPADは、CMOS/BiCMOSASICとモノリシックに集積化されておりBOX層が有るか又は無い場合でSi内に製造されたPD/APD/SPADの高密度アレイの単一ピクセルを表すことが可能である。P型Siにおいては、図示した如くに、Nウエル3106を形成し、次いでPウエル3130及び3132とP浅いウエル3140である。図31Aに示した如く、アノード3120を該P領域上に形成し、且つカソード3122もP領域3142上に形成する。その構造はPNP光検知器であり、且つ逆バイアスを該アノードと該カソードとの間に印加させる。幾つかの場合に、カソード3122はN領域3106上に形成することが可能である。幾つかの場合に、その構造はPNとすることが可能であり、且つ、幾つかの場合に、それはNPNとすることが可能であり、且つ、幾つかの場合に、それはP低ドープN又はPNとすることが可能であり、且つ、幾つかの場合に、該P及びNは交換可能である。反転ピラミッド等のマイクロストラクチャ穴3112を穴寸法が400nm乃至1,200nmで形成することが可能であり、且つ、幾つかの場合に、600nm−1,000nm、そして、幾つかの場合に、700−1,200nm、そして、幾つかの場合に、1,200nmより大きいものとすることが可能である。円筒状の穴も形成することが可能であり、且つ、幾つかの場合に、漏斗状の穴、そして、幾つかの場合に、円錐状の穴とすることも可能である。該穴は正方形、矩形、多角形、アメーバ状等とすることが可能である。該穴の間隔は、50nm乃至600nmの範囲とすることが可能であり、且つ、幾つかの場合に、100nm−1,000nm、そして、幾つかの場合に、50nm−300nmとすることが可能である。該穴の深さは、50nm−1,000nm又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、100nm−500nm、そして、幾つかの場合に、50nm−800nmとすることが可能である。該マイクロストラクチャ穴は周期的、及び/又は非周期的、及び/又はランダムとすることが可能である。周期的なマイクロストラクチャ穴の場合には、それは正方形格子又は六角形格子を有することが可能である。物体から反射される光学信号即ち光は700nm乃至990nmの範囲の波長を有する場合があり、且つ、幾つかの場合に、700nm乃至1,050nmの場合がある。不図示のものは、マイクロストラクチャ穴内のパッシベーション層、及び、幾つかの場合に、該マイクロストラクチャ穴は誘電体層で充填させることが可能である。更に不図示のものは、集積化したCMOS/BiCMOSASIC及び該PD/ADP/SPADからCMOS/BiCMOSエレクトロニクスへの接続用送信線である。マイクロストラクチャ穴を具備するPD/ADP/SPADのEQEは、マイクロストラクチャ穴の無い同等のPD/ADP/SPADよりも一層高いものとすることが可能である。参考文献のNiclass et al;、同軸レーザ距離測定器用の0.18μmCMOS単一フォトンセンサー(A 0.18 um CMOS Single-Photon Sensor for Coaxial Laser Rnagefinders)、IEEE Asian Solid-State Circuits Conference, Nov 8-10, 2010 の図1は、CMOSエレクトロニクスと集積化させることが可能なSPADマイクロピクセルを示している。参考文献:Niclass et al.、100−m−距離10−フレーム/s200×96−ピクセル飛行時間深さセンサー用の0.18μmCMOSSoC(A 0,180um CMOS SoC for a 100-m-Range 10-Frames/s 200X96-Pixel Time-of-Flight Depth Sensor)、IEEE Journal of Solid-State Circuits, VOL. 49, NO. 1, Jan 2014。参考文献:Niclass et al.、0.18μmCMOSにおける100−m距離10−フレーム/s340×96−ピクセル飛行時間深さセンサー(A 100-m Range 10-Frames/s 340X96-Pixel Time-of-Flight Depth Sensor in 0.18 um CMOS)、IEEE Journal of Solid State Circuits, VOL. 48, NO. 2, Feb 2013。参考文献:Ito et al.、自動化案内乗物用の小イメージング深さLiDAR及びDCNNをベースとしたローカリゼーション(Small Imaging Depth LiDAR and DCNN-Based Localization for Automated Guided Vehicle)、Sensors 2018, 18, 177; doi:10.3390/s18010177。参考文献:Villa et al.、単一フォトンタイミング及び3D飛行時間用の1024個のSPAD及びTDCを具備するCMOS撮像器(CMOS Imager With 1024 SPADs and TOCs for Single-Photon Timing and 3-D Time-of-Flight)、IEEE Journal of Selected Topics in Quantum Electronics, VOL. 20, NO. 6, Nov/Dec 2014。
図31A−Bに例示したような構造のCMOSSPAD撮像器においてマイクロストラクチャ穴を付加した場合には、870nm、905nm、940nm波長においてのEQEは、マイクロストラクチャ穴の無い同等のCMOSSPAD撮像器よりも2×−10×又はそれ以上一層高いものとすることが可能である。CMOS撮像器においてマイクロストラクチャ穴でのEQEの増加は、SPADCMOS撮像器に加えて、PD/APDに対しても適用可能である。
図31Bは、マイクロストラクチャ穴3112が最初にエッチングされ、次いでボロン等のPドーパントの浅い拡散によって浅いPウエル3144を形成していることを除いて、図31Aと同様のものである。
図32A−Bは、Nウエルの上にGe/GeSi層を付加した場合の図31Bと同様の簡単化した部分概略断面図を示している。該Ge/GeSi3208はI又は低ドープPとすることが可能であり、且つ、幾つかの場合に、該Ge/GeSi3208内にエッチングしたマイクロストラクチャ穴3212を有するNとすることが可能である。P層3240をマイクロストラクチャ穴3212に従って上部表面上に成長させることが可能であり、且つ、幾つかの場合に、該P層3240又は領域はボロン等のP型イオンで拡散させることが可能である。幾つかの場合に、該P3240は多結晶シリコンとすることが可能であり、且つ、幾つかの場合に、P非晶質シリコンとすることが可能である。アノード電極3220を該P層3240上に形成することが可能であり、且つカソード3222をNウエル3206上に形成することが可能である。逆バイアスを該アノードとカソードとの間に印加させる。幾つかの場合に、該BOX層はオプションとすることが可能である。該光検知器は、PD/APD/SPADとすることが可能であり、且つイメージングLiDAR適用例用の高密度2Dアレイとすることが可能であり、且つCMOS/BiCMOSASICとモノリシックに集積化させることが可能である。図示した如く、該構造はPINであり、且つ、幾つかの場合に、PNとすることが可能であり、且つ、幾つかの場合に、PNPとすることが可能であり、且つ、幾つかの場合に、NPとすることが可能であり、且つ、幾つかの場合に、NPNとすることが可能であり、且つ、幾つかの場合に、PINPとすることが可能であり、且つ、幾つかの場合に、NIPNとすることが可能である。傾斜ドーピング領域を含むその他のドープ領域を包含させることも可能である。光即ち光学信号が上部表面に入射することが可能であり、且つ、幾つかの場合に、底部基板表面に入射することも可能である。検知用波長は800nm乃至1,800nmの範囲とすることが可能であり、且つ、幾つかの場合に、800nm−1,550nm、そして、幾つかの場合に、900nm−1,000nm、そして、幾つかの場合に、900nm−1,550nmとすることが可能である。該Nウエルの厚さは100nm乃至2,000nm又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、100nm未満とさせることも可能である。
マイクロストラクチャ穴3212は、500nm乃至1,600nmの範囲の横方向表面寸法を有することが可能である。該マイクロストラクチャ穴の間の間隔は50nm乃至1,600nmの範囲とすることが可能である。幾つかの場合に、該間隔は800nmを超えるものとすることが可能である。幾つかの場合に、円錐状の穴の場合に、該間隔は0nmとすることが可能であり、且つ、幾つかの場合に、交差状とすることが可能である。該マイクロストラクチャ穴の深さは100nm乃至1,000nmの範囲とすることが可能であり、そして、幾つかの場合に、1,000nmを超えるものとすることが可能である。
該Ge/GeSi層3206は、100nm−1,000nmの範囲の厚さを有することが可能であり、且つ、幾つかの場合に、1,000nmを超えるものとすることが可能である。該Ge/GeSiは、Ge/GeSiの低温バッファ層と共に又は無しで、該SiNウエル上に選択的区域成長させることが可能であり、且つ、幾つかの場合に、該Ge/GeSiは歪がある状態とすることが可能であり、又は、幾つかの場合に、歪が無い状態とすることが可能である。
幾つかの適用例において、マイクロストラクチャ穴3212は該Ge内において必要ではない場合があるが、マイクロストラクチャ穴を有する光検知器は、マイクロストラクチャ穴の無い同等の光検知器よりも、或る波長において、一層高いEQE又は応答性を有することが可能である。この光検知器のライズタイムは数十ピコ秒以下の程度とすることが可能であり、且つLiDAR適用例において高い深さ分解能を提供することが可能である。毎秒のパルス数は1K−1Mの範囲とすることが可能であり、且つ、幾つかの場合に、1Mより大きなものとすることも可能である。
本特許明細書に記載する新規な構造を使用する光データ通信の場合、そのデータレートは1Gb/s−25Gb/sの範囲とすることが可能であり、且つ、幾つかの場合に、25Gb/s−50Gb/s、そして、幾つかの場合に、50Gb/sを超えるものとすることが可能である。図32A−Bに例示したような構造の場合、PAM4はデータレートを更に2×だけ増加させることが可能である。参考文献のKerrebrouck et al.、直接変調型長波長VCSELを具備する高速PAM4をベースとした光学SDM相互接続(High-Speed PAM4-Based Optical SDM Interconnects With Directly Modulated Long-Wavelength VCSEL)、Journal of Lightwave Technology, DOI 10..1109/JLT.2018.2875538 を参照すると良い。
図32Bは、マイクロストラクチャ穴3212をエッチングする前に該Ge/GeSi層3208上にP層3242を形成することを除いて、図32Aと同様である。
マイクロストラクチャ穴3212は、非晶質半導体及び/又は誘電体でパッシベーションすることが可能であり、且つ、幾つかの場合に。該マイクロストラクチャ穴は誘電体で充填させることが可能である。
例えば、図23A−B、24A−B、25A−B、26A−B、及び32A−Bに例示したような構造の幾つかの場合には、ノイズ即ち雑音を更に減少させるためにバランス型光検知器(PD/APD/SPAD)を使用することが可能であり、例えば、参考文献のWang et al.、SOIナノ導波路上にヘテロ的に集積化させたInPをベースとしたバランス型フォトダイオード(InP-based Balanced Photodiodes Heterogeneously Integrated on SOI
Nano-Waveguides)、IEEE 976-1-5090-1602-0/16; Runge et al.、コヒーレント受信器用導波路集積化バランス型光検知器(Waveguide Integrated Balanced Photodetectors for Coherent Receivers)、IEEE Journal of Selected Topics in Quantum Electronics, Vol. 24, No. 2, March/April 2018; Islam et al.、ブロードバンドノイズ抑圧用の分散型バランス型光検知器(Distributed Balanced Photodetectors for Broad-Band Noise Suppression)、IEEE Transactions on Microwave Theory and Techniques, Vol. 47, No. 7, July 1999等を参照すると良い。マイクロストラクチャ穴は、或る波長においてEQEを向上させるためにバランス型光検知器上に包含させることが可能である。参考文献のZheng et al.、流体的ヘテロマイクロシステム組立及びパッケージング(Fluidic Heterogeneous Microsystems Assembly and Packaging)、Journal of Microelectrochemical Systems, Vol. 15, No. 4, August 2006 を参照すると良い。更に、参考文献のPark et al.、自動化リール対リール流体自己組立マシンの最初の実現(A First Implementation of an Automated Real-to-Real Fluidic Self-Assembly Machine)、https://doi.org/10.1002/adma.201401537, June 27, 2014 を参照すると良い。
図33A−Cは、幾つかの実施例に基づく、半導体表面上に形成したマイクロストラクチャ穴の簡単化した部分概略断面図を示している。図33Aにおいて半導体物質3302内に形成した穴3312は、円錐又は反転ピラミッドの形状を有することが可能である。穴3312は、図示した如くに、有限の距離、例えば50nm乃至1,000nm、だけ離隔させることが可能である。図33Bの穴3314は、幾つかの場合に、該円錐又は反転ピラミッドのマイクロストラクチャ穴はタッチ即ち接触することが可能であることを例示している。図33Cの穴3316は、幾つかの場合に、該円錐又は反転ピラミッドのマイクロストラクチャ穴は交差することが可能であることを例示している。
幾つかの場合に、EQEを向上させるための光検知器の表面上マイクロストラクチャ穴は、図33A−Cに示した3つの場合全ての組み合わせを包含することが可能である。該穴は誘電体又は半導体でパッシベーションさせることが可能であり、それは、結晶、多結晶、及び/又は非晶質とすることが可能であり、且つその上に該マイクロストラクチャ穴が形成されている半導体とは異なる半導体とすることが可能である。幾つかの場合に、該マイクロストラクチャ穴は部分的に又は完全に誘電体で充填させることが可能である。
図33A−Cに例示したような構造のEQEを向上させるためのマイクロストラクチャ穴の使用は、半導体以外の物質へ適用することが可能であり、例えば、ポリマー、グラフェン等がある。幾つかの場合に、逆バイアスを印加して光発生したキャリアーを掃引させるために電界を発生させることが可能である。幾つかの場合に、光発生したキャリアーを掃引させるための電界を発生するために順方向バイアスを印加させることも可能である。
本特許明細書に記載されている全ての新規な構造であるマイクロストラクチャ穴での逆方向又は順方向のバイアスの下での光検知器のEQEは、或る波長において逆方向又は順方向のバイアス下にある同等の光検知器のEQEよりも一層大きなものとすることが可能である。
図34Aは、既知の光学モジュールを示している。図示した例の更なる詳細については、IBMの参考文献のDoany、高性能コンピューティング用の高密度光学的相互接続(High Density Optical Interconnects for High Performance Computing)、OFC 2014M3G1 を参照すると良い。該モジュールは、4個の別個のコンポーネントから構成されている。図34Bは、本特許明細書の幾つかの実施例に基づく縮合型光学モジュールである。この場合に、図34Aに示した該既知の例における別々のコンポーネントは単一のコンポーネント3400へ「縮合」されている。特に、該コンポーネントの内の3個はモノリシックに集積化されており、即ち、(1)光検知器アレイ、(2)垂直共振器面発光レーザ(VCSEL)アレイを駆動するためのCMOS/BiCMOSASIC、(3)該検知器アレイからの電気信号を増幅し且つ条件付けを及び/又は処理するためのASIC、である。4番目のコンポーネントであるVCSELアレイは、モノリシックに集積化された検知器アレイ及びCMOS/BiCMOSASICへ、流体自己組立、ロボット組立、ファンデルワールスエピタキシャルリフトオフアタッチメント、ウエハボンディング、ヘテロエピタキシャル等を使用して、取り付けることが可能である。該VCSELアレイの電極は、バックエンドプロセスを使用して、CMOS/BiCMOSドライバの送信線へ取り付けることが可能である。このコンポーネント数の減少は著しいコスト低下となる場合がある。図34Bに示した如く、単一コンポーネント3400はPCB、マザーボード、ピンゲートアレイコネクタ(PGA)へ直接的に取り付けることが可能である。その総合データレートは、4×12アレイのVCSEL及び光検知器で400Gb/s以上とすることが可能であり、その場合に、各チャンネルは10Gb/s又はそれ以上とすることが可能である。
オンボード光学モジュール用に48チャンネル有する高密度VCSELアレイ及び検知器アレイの更なる詳細については、参考文献のDoany et al.、ホーリーCMOSトランシーバーICに基づくテラビット/秒VCSELをベースとした48チャンネル光学モジュール(Terabit/Sec VCSEL-Based 48-Channel Optical Module Based on Holey CMOS Transceiver IC)、Journal of Lightwave Technology, Vol. 31, No. 4, February 15, 2013 を参照すると良い。
図35Aは、既知のオンボード光学モジュールを示している。該光学モジュールは6個のコンポーネントから構成されており、即ち、インターポーザ(interposer)、有機基板、VCSELドライバ、相互インピーダンス増幅器(TIA)、VCSELアレイ、及び光検知器(PD)アレイである。該モジュールはマザーボード/PCBへ直接取り付けられる。参考文献のNasu et al.、高密度光学的相互接続用の超1.3Tb/sVCSELをベースとしたオンボード並列光学トランシーバーモジュール(1.3 Tb/s VCSEL-Based On-Board Parallel-Optical Transceiver Module for High-Density Optical Interconnects)、Journal of Lightwave Technology, Vol. 31, No. XX, January, 2018 を参照すると良い。図35Bは本特許明細書の幾つかの実施例に基づく光学モジュールを示している。この例においては、該6個のコンポーネントが単一のコンポーネントへ減少されている。該光検知器アレイはSi、GeSi、Ge・オン・Siとすることが可能であり、且つCMOS/BiCMOSASICとモノリシックに集積化されており、該ASICは、レーザドライバ及びTIA及び処理用、条件付け用、及び該レーザ及び光検知器アレイ用の通信用のその他のエレクトロニクスを包含している。24チャンネルに対するその総合データレートは、600Gb/sを超えることが可能であり、その場合に、各チャンネルは25Gb/s乃至28Gb/sのデータレートを有することが可能である。該VCSELアレイは、流体自己組立、ロボット組立、又はその他のウエハスケール組立を使用してウエハスケールレベルにおいてモノリシックに集積化されたチップへ取り付けることが可能であり、且つ該VCSELアレイの電極はバックエンドプロセスを使用して該レーザドライバASICの送信線へ取り付けることが可能である。このコンポーネント数の減少は、パッケージング複雑性を著しく減少させ、従ってオンボード光学モジュールのコストを著しく減少させる。
検知用吸収層の表面上の反転ピラミッド穴を有するCMOSASICでのイメージング用の高密度光検知用アレイのモノリシック集積化は、1,000nm波長への光学感度の拡張を示しており、例えば、参考文献のYokogawa et al.、回折性光トラップ用ピクセルを有するCMOSイメージセンサーのIR感度向上(IR sensitivity enhancement of CMOS Image Sensor with diffractive light trapping pixels)、Scientific Reports 7:3832, DOI:
10.1038s41598-017-04200-y を参照すると良い。該CMOSイメージセンサーは非常に低い毎秒当たりのフレーム数で動作し、典型的にミリ秒又はそれより一層長い。更に、Yokogawa文献は、例えば、逆バイアス等の外部バイアス電圧を印加することの暗示無しで該光センサーの吸収の表面上に反転ピラミッドアレイを示している。その光検知動作は、CMOSトランジスタの回収電極に対して発生された光キャリアの拡散のみに依存する可能性がある。
図35Bに例示したような構造においては、PD/APD/SPADアレイをCMOS/BiCMOSエレクトロニクスとモノリシックに集積化させており、そのアレイ寸法は48チャンネルより大きなものとすることが可能であり、且つ、幾つかの場合に、そのアレイ寸法は100チャンネルより大きなものとすることが可能であり、且つ、幾つかの場合に、該アレイ寸法は1,000チャンネルより大きなものとすることが可能である。各チャンネルに対するデータレートは10Gb/s−25Gb/s又はそれ以上の範囲とすることが可能である。モノリシックに集積化させることによって、チャンネル又はPD/APD/SPADを該エレクトロニクスへ接続させることに起因する寄生効果は、該エレクトロニクスとモノリシックに集積化されていない場合の検知器アレイチップの送信線へ取り付けることが必要とされる場合のあるワイヤボンディングや半田バンプ技術と比較した場合に、著しく低下させることが可能である。該寄生効果は容量、インダクタンス、及び/又は抵抗から構成される。
図36A−Bは、幾つかの実施例に基づく、噛み合い型フォトダイオード及び伸長型マイクロストラクチャ穴の簡単化した部分概略平面図を示している。該噛合い部は2つの直交する配向とすることが可能であり、且つ該マイクロストラクチャ穴又は島状部は該噛合い電極の方向に沿って伸長させることが可能である。幾つかの場合に、該マイクロストラクチャ穴又は島状部は矩形状(図36Aにおける穴3212)、楕円状(図36Bにおける穴3214)、及び/又は多角形状等とさせることが可能である。該穴が噛み合い電極の方向に沿って伸長される場合には、該噛み合い電極の間隔を一層狭く、例えば1,000nm未満、とさせることが可能である。該矩形状の穴又は島状部の寸法は、100nm−1,500nm又はそれ以上の範囲とすることが可能である。例えば、該矩形状の穴の狭い寸法は、50nm−1,000nmの範囲の寸法を有することが可能であり、且つ、長い方向においては、その寸法は400nm−2,000nm又はそれ以上とすることが可能である。
図36A−Bに例示したような構造の幾つかの場合には、該噛み合い電極の間即ちp及びn接合の間の間隔は、100nm乃至1,000nm又はそれ以上の範囲とすることが可能である。該電極の幅p及びn接合の幅は、10nm乃至500nmの範囲とすることが可能である。M1(3620)及び/又はM2(3622)噛み合い電極は、ショットキー、金属酸化物半導体、及び/又は、半導体へのオーミック、及び/又は、p及び/又はn接合へのオーミックを形成することが可能である。
図36A−Bに例示したような構造及び本特許明細書に記載されるその他の新規な構造のデータレートは、1乃至50Gb/s又はそれ以上、そして、幾つかの場合に、10乃至30Gb/sの範囲とすることが可能である。幾つかの場合に、ライズタイム(パルス振幅の10−90%)は1乃至100ピコ秒の範囲とすることが可能であり、且つ、幾つかの場合に、10乃至50ピコ秒、そして、幾つかの場合に、100ピコ秒乃至10ナノ秒とすることが可能である。
図36A−Bに例示したような構造及び本特許明細書に記載されるその他の新規な構造においては、光検知器、PD/APD/SPAD、のアレイは、4乃至1,000個又はそれ以上の光検知器を有することが可能であり、且つ、幾つかの場合に、CMOS又はBiCMOSASICへモノリシックに集積化されており且つ該ASICへ電気的に接続されていてp及び/又はn接合の場合に逆バイアスで動作する数万乃至数百万個の光検知器
を有することが可能であり、且つショットキー及び/又はMOS接合の場合には、該光検知器は逆方向及び/又は順方向で動作することが可能である。該半導体へのオーミック接合である場合には、バイアスは順方向又は逆方向のいずれかとすることが可能である。
図36A−Bに例示されているような構造及び本特許明細書に記載されているその他の新規なマイクロストラクチャ穴構造の感光性領域の横方向寸法は、1ミクロン乃至数千ミクロンの範囲とすることが可能であり、且つ、幾つかの場合に、1,000ミクロンを超えるものとすることが可能である。
図36Bは、マイクロストラクチャ穴3214が楕円形状であることを除いて、図36Aと同様である。注意すべきことであるが、幾つかの場合に、該穴は、ダイアモンド形状の穴等のその他の形状を有することが可能である。全てのこの様な場合において、一方の横方向寸法は、他の直交する寸法よりも一層大きい。該楕円形状の穴は該電極を密接して離隔させることを可能としており、例えば、その電極間隔は200nm−1,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、高いデータレートの場合、例えば20Gb/s又はそれ以上の場合には、200nm−500nmの範囲とすることが可能である。該伸長型の穴の2つの横方向寸法は、100nm−1,500nmの範囲とすることが可能であり、且つ、幾つかの場合に、第1の横方向寸法は100nm−1,000nmの範囲とすることが可能であり、且つ第2の横方向寸法は500nm−2,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、第1の横方向寸法は300nm−500nmの範囲で且つ第2の横方向寸法は500nm−1,500nmの範囲とすることが可能である。
図37は、幾つかの実施例に基づく、噛み合い型フォトダイオードのM1及びM2の両方の電極の下側の金属酸化物半導体接合の電流電圧特性「IV」を示している。点線のIV曲線は、逆方向又は順方向の電圧バイアスのいずれにおいても約2.5Vにおいてシャープなブレークダウンを示している。このブレークダウンはツェナーブレークダウンである場合があり、それは酸化物層を介してのトンネリングに起因するものであって、該半導体内に吸収されたフォトンの強度によって制御することが可能である。その吸収されたフォトンは該半導体内において少数キャリアーの数を変化させ、そのことがツェナーブレークダウン電圧に影響を与えるこのツェナーブレークダウンは、7A/W又はそれ以上の850nmにおける応答性となることが可能である。噛み合い型金属半導体金属フォトダイオードにおいては3つのタイプの利得を観測することが可能である。ツェナー利得と、光導電性利得と、アバランシェ利得である。
図38A−Cは、幾つかの実施例に基づく、或る構造のFDTDシミュレーションを例示している。その構造は図38Cに示されており、その場合に、GeSi層は10%−30%の範囲でGeの濃度が変化しており且つSi基板上の2,000nmのBOX層の上の200nmのSi装置層の上に成長されていて1,000nmの厚さを有している。マイクロストラクチャ穴3812は、700nmの直径と六角形格子における1,000nmの周期とを有しており、且つ光は該GeSi層の表面上に入射する。その光学信号は垂直入射から±10度の角度にわたって平均化される。図38Aは、マイクロストラクチャ穴を有するGeSiにおいてGe濃度が10、20、30%でのFDTDシミュレーションを示している。縦軸はフォトンの吸収であり、且つ横軸は波長である。吸収は外部量子効率と直接的に比例している。実線の曲線3820はGeが10%でのGeSiの吸収を示しており、ダッシュの曲線3222はGeが20%でのGeSiの吸収を示しており、且つ点線の曲線3224はGeが30%でのGeSiの吸収を示している。
図38Bは、図38Cにおけるのと同様構造の光学フィールドのFDTDシミュレーションを示しているが、マイクロストラクチャ穴がない場合で且つGeSiにおいてのGe濃度が10%(曲線3830)、20%(曲線3832)、30%(曲線3834)の場合である。理解されるように、マイクロストラクチャ穴を有する構造の吸収即ち外部量子効率は、或る波長において、マイクロストラクチャ穴の無い同様の構造よりも一層高い吸収を有することが可能である。
GeSi、尚xは0.05乃至1の範囲とすることが可能で1は純粋のGe、におけるGeの付加により、該GeSiの波長は、図38Aに示されるように、1,000nmを超えて拡張させることが可能である。GeSiにおけるx=0.1乃至0.3の場合、図38A−Cに例示されるような構造及びEQEに直接的に比例する本特許明細書に記載されているその他のGeSiマイクロストラクチャ穴の新規な構造における吸収は、800−1,200nmの波長及び、幾つかの場合に、1,350nmまでで50%よりも一層大きいことが可能である。GeSiを有する光検知器PD/APD/SPADは、CMOS/BiCMOSASICとモノリシックに集積化させることが可能である。幾つかの光データセンター相互接続(DCI)適用例において、その波長は950nm−1,080nmの範囲である場合がある。例えば、参考文献のSimpanen et al.、長距離1,060nmVCSEL−SMF光相互接続(Long-Reach 1060 nm VCSEL - SMF Optical Interconnects)、DOI: 10.1109/ECOC.2018.8535524 を参照すると良い。その場合には、長距離(2Km)の光データ通信のために1,060nmが使用されていた。
図39A−Cは、幾つかの実施例に基づいて、噛み合い型Ge/GeSi・オン・Siフォトダイオードの簡単化した部分概略断面図を示している。幾つかの場合に、該噛み合い型Ge/GeSi・オン・Siフォトダイオードは、アノードとカソードとを有する垂直構造のGe/GeSi・オン・Siフォトダイオードとすることが可能である。例えば、M2がカソードで、M1がアノードとすることが可能である。図39Aに示した構造において、マイクロレンズ3930がSi基板の底部上に形成されている。不図示のものは、反射防止コーティングで、それは該マイクロレンズへ付与することが可能である。光即ち光学信号が底部表面から入射し、且つ該マイクロレンズが該光をフォトダイオードへフォーカスさせる。該Ge/GeSiはI又は低ドープでバッファ層と共に又は無しでSi上に成長させることが可能である。該I又は低ドープGe/GeSiの上に、PGe/GeSi又はポリSiを形成することが可能である。該I又は低ドープGe/GeSiは100−1,000nm又はそれ以上の範囲の厚さを有することが可能であり、且つ該PGe/GeSi又はポリSiは、50nm−500nm又はそれ以上の厚さ範囲を有することが可能である。Nウエルは、I又はP又はNSiとすることが可能なSi内に形成することが可能である。マイクロストラクチャ穴3912を、部分的に該P領域内へ、該P領域を介して該I又は低ドープGe/GeSi領域内へ、又は該P領域を介して且つ該I又は低ドープGe/GeSi領域を介して該Siへ形成することが可能である。カソード即ちM2を該Nウエル上に形成することが可能であり、且つアノード即ちM1を該P領域上に形成することが可能である。逆バイアスを該アノード即ちM1とカソード即ちM2との間に印加させる。このフォトダイオードのアレイは、単一Siチップ上に製造しCMOS/BiCMOSASICとモノリシックに集積化させることが可能である。該アレイ内の光検知器の数は4−1,000個以上の範囲とすることが可能であり、且つ、幾つかの場合に、100,000個の範囲、そして、幾つかの場合に、1,000、000個の範囲とすることが可能である。波長範囲は1,000nm−1,800nmである。
図39Bは、Ge/GeSi領域がSiから構成されているピラミッド「穴」3914を有しているという点を除いて、図39Aと同様である。SiはGe/GeSiよりも一層低い光学屈折率を有しており且つ光は底面から入射されるので、該Siピラミッドは
Siで充填されている穴であるように見え、先の例におけるように、マイクロストラクチャ穴は例えば二酸化シリコン等の誘電体で充填させることが可能である。
図39Cは図39Aと同様であり、その場合に、マイクロストラクチャ穴3916,3917,3918は該Si領域へエッチされており、且つ、幾つかの場合に、該Si領域内へエッチされており(3917)、そして、幾つかの場合に、該Siへエッチしウエットエッチによって反転ピラミッドを形成(3918)している。該穴は円形状、矩形状、正方形状、多角形状、楕円状、アメーバ状、及び/又は複数の形状の任意の組み合わせとすることが可能である。幾つかの場合に、該穴は、円筒状、漏斗状、台形状、ピラミッド状、又は反転ピラミッド状とすることが可能である。
図39A−Eに例示したような構造におけるマイクロストラクチャ穴は、100nm−2,500nmの範囲の横方向寸法を有することが可能であり、且つ、幾つかの場合に、500nm−1,200nm、そして、幾つかの場合に、600nm−1,200nm、そして、幾つかの場合に、12,00nm以上とすることが可能である。該穴の間の間隔は0nm(接触又は重畳)−1,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、100nm−2,000nm、そして、幾つかの場合に、300nm−600nm、そして、幾つかの場合に、1,000nm以上とすることが可能である。該穴は周期的、及び/又は、非周期的、及び/又はランダム、及び/又は、周期的、非周期的、及び/又は、ランダムの任意の組み合わせとすることが可能である。
逆バイアスを、図39A−Eに例示したような構造のPアノードとNカソードとの間に印加させることが可能であり、その逆バイアス電圧は−1V乃至−35V又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、−1V乃至−4Vの範囲とすることが可能である。不図示のものは、該アノード及びカソードを該CMOS/BiCMOSASICへ接続させる送信線である。PD/APD/SPADを有する高密度アレイを形成することが可能である。該アレイの寸法は4個乃至100個又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、1,000個又はそれ以上とすることが可能であり、且つ、幾つかの場合に、数十乃至数十万個又はそれ以上、そして、幾つかの場合に、数百万個とすることが可能である。
図39Dは、FDTDシミュレーションのために使用したGe・オン・Siピラミッドの簡単な断面図を示している。Siピラミッド3914は、Si基板3902上に形成されており、そのベース側寸法は750nmで、正方形格子内で周期が1,000nmである。該Siピラミッド上に成長されたGeは厚さが1ミクロンである。光及び/又は光学信号が底部表面へ入射され、該底部表面は反射防止コーティングを有している。
図39Eは、1,200nm乃至1,450nmの光学信号のFDTDシミュレーションを示しており、縦軸は向上された吸収である。理解されるように、点線の曲線3910は該波長範囲にわたって50%より大きな向上された吸収を示している。EQEは該吸収に対して直接的に比例しており、或る波長においてこの波長範囲にわたり50%又はそれ以上のEQEを有しており、且つ、幾つかの場合に、或る波長においては30%又はそれ以上のEQEであり、且つ、幾つかの場合に、或る波長においては20%又はそれ以上のEQEである。
図40は、幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシック集積化された光検知器アレイの簡単化した部分断面図を示している。該アレイ内の光検知器は、フォトダイオード、及び/又は、アバランシェフォトダイオード、及び/又は、単一フォトンアバランシェフォトダイオードとすることが可能である。該光検知器の吸収層はGe濃度が1%乃至100%の範囲であるGe/GeSiとすることが可能であり、尚100%とは純粋なGeのことを表しており、且つ、幾つかの場合に、Ge濃度は10%−30%とすることが可能であり、且つ、幾つかの場合に、Ge濃度は10%−60%とすることが可能である。図39A−Dにおけるようなマイクロレンズ4050がSi4000の底部表面上に形成されていて光及び/又は光学信号を該光検知器内へフォーカスさせる。光検知器アレイ4010内の要素数は1乃至1,000,000又はそれ以上の範囲となることが可能であり、且つ、幾つかの場合に、12−98、そして、幾つかの場合に、24−96、そして、幾つかの場合に、100−1,000、そして、幾つかの場合に、数万、そして、幾つかの場合に、数十万、そして、幾つかの場合に、数百万とすることが可能である。該アレイ内の光検知器は該CMOS/BiCMOSASICへ電気的に接続させることが可能であり、且つバイアス電圧が該光検知器へ印加される。幾つかの場合に、該バイアス電圧は逆バイアスであり、且つ、幾つかの場合に、該IV特性がほぼ対称的である場合には該バイアス電圧は順方向バイアスとすることが可能である。更に、該VCSELアレイを駆動するために、CMOS/BiCMOSASICをモノリシックに集積化させることが可能である。該VCSELアレイを駆動するための該CMOS/BiCMOSエレクトロニクスは信号処理のため及び/又は最適データ送信のための波長条件付けのためのASICを有することも可能である。該VCSELアレイは、流体自己組み立て、ロボット組み立て、及び/又はその他の任意の組み立て方法等の自己組み立てを使用して該Si基板の背面上に組み立てることが可能である。該CMOS/BiCMOS、レーザ送信機、レーザドライバ、及びASICを接続する電極4030をバックエンドプロセスを使用して該レーザアレイを接続するために形成することが可能である。該VCSELアレイはマイクロレンズ4052を有することが可能であり、該マイクロレンズは光を同様のマイクロレンズへフォーカスされるべく形成されており、該同様のマイクロレンズはその後該光を並列光ファイバーリボン4020へフォーカスさせる。該VCSELアレイのマイクロレンズ4052、及び該光検知器アレイ用のマイクロレンズ4050は、該光ファイバーリボンへ接続するために使用される回収用マイクロレンズとテレセントリックとさせることが可能である。該VCSELアレイ、該光検知器アレイ、CMOS/BiCMOSエレクトロニクスを包含するSiチップ4000は、半田バンプ4032等の技術を使用してプリント回路基板(PCB)上に直接的に装着させることが可能である。各チャンネルは1−25Gb/s又はそれ以上の範囲のデータレートを有することが可能である。波長は990−1,800nmの範囲とすることが可能である。幾つかの場合に、該VCSELアレイは図35におけるように上部表面上に組み立てることが可能である。更に図40に示されているものは、光学信号4040の送信、光学信号4042の受信である。
テレセントリックレンズデザインを使用したマイクロレンズの結合は、図40に例示したような構造間の光学的不整合に起因する許容誤差を増加させることが可能である。例えば、参考文献のKuo et al.、基板と基板の相互接続用の自由空間光学リンク(Free-space optical links for board-to-board interconnects)、Applied Physics A Materials Science & Processing, DOI: 10.1007/s00339-009-5144z を参照すると良い。
1,060nmVCSEL又はレーザにおける波長は短距離光データセンター相互接続適用例のために使用することが可能である。例えば、参考文献のHeroux et al.;、最大で28Gb/sまで動作するエネルギ効率的な1060nm光学リンク(Energy-Efficient 1060-nm Optical Link Operating up to 28 Gb/s)、Journal of Lightwave Technology, Vol. 33, No. 4, February 15, 2015 を参照すると良い。更に、参考文献のHeroux et al.、低パワーCMOS駆動型1060nmマルチモード光学リンク(Low power CMOS-driven 1060 nm multimode optical link)、OFC 2014 を参照すると良い。更に、参考文献のDoany、高性能計算用の高密度光学相互接続(High Density Optical Interconnects for High Performance Computing)、OFC 2014 を参照すると良い。
図41A−Cは、幾つかの実施例に基づく、流体自己組み立てのために構成されたSi表面上にエッチされたピットの簡単化した図である。図41Aは、流体自己組み立てのためにSi表面4110上にエッチした矩形状のピットの3D図を示している。該ピット4130の一つ又はそれ以上の側部は、例えば、矩形状スロット4120等のコルゲーション即ち波形を有することが可能であり、該スロットは該ピットの側壁上を部分的に及び/又は該ピットの上部表面から底部表面へ完全に延在することが可能である。図示した如く、該側壁の内の2つの側壁がその中にエッチングしたスロットを有している。
図41Bは、流体自己組み立てのためにSi4110の上部表面内にエッチングした矩形状のピット4130の簡単化した部分平面図を示しており、それは矩形状ピット4130の側壁の内の2つの側壁にエッチングしたスロット4120を示している。面発光レーザアレイチップ4110も図示されており、それは該矩形状のピット内に流体組み立てされている。該スロット内の流体は加熱させることが可能であり、その場合に該スロット内の流体の膨張は該レーザアレイチップを該矩形状ピットの底部右角部へ押し付けることが可能である。このことは、光学的コンポーネントのマイクロレンズ組立体に対する精密な整合を可能とさせる。該マイクロレンズ組立体は、集積化させたマイクロレンズを有する場合があるVCSELアレイからの光を外部光ファイバーリボンへ結合させる。不図示のものは、VCSELアレイへの電気的接続であるが、それはバックエンドプロセスで作ることが可能である。更に不図示のものは、VCSELアレイの底部をCMOS/BiCMOSASICへ接続させるために使用することが可能なトランスシリコンビア(TSV)である。VCSELチップが所定位置とされ、且つ該流体の全て又は殆どが蒸発すると、付加的な熱を付与して、半田バンプ技術等の技術を使用して、該レーザアレイを底部電極へ半田付けさせることが可能である。該スロットの幅は1−100ミクロン又はそれ以上の範囲とすることが可能であり、且つ該スロットの深さは1−100ミクロン又はそれ以上の範囲とすることが可能である。該スロットの長さは1−100ミクロン又はそれ以上の範囲とすることが可能である。
図41Cは、5個の側部を有する多角形状ピットの簡単化した部分平面図を示している。該5個の側部の内の3個の側部にはスロット4122がエッチングされている。同じく5個の側部を有している多角形状のVCSEL又はレーザアレイ4134が図示されており、それは一つの配向状態においてのみ該ピットに嵌合することが可能である。スロット4122内の流体は温度付与により膨張することが可能であり且つ該多角形形状のレーザアレイを底部左角部押し付けて精密な光学的及び電気的整合を達成することが可能である。不図示のものは、該レーザアレイチップの表面上に形成することが可能な複数のタブであり、該タブは該レーザアレイチップが例えばひっくり返った状態で該ピット内に落下することを防止することが可能なものである。不図示のものは送信線であり、該送信線は該レーザアレイ、該CMOS/BiCMOSASICレーザドライバ、及びその他の信号条件付け及び/又は処理エレクトロニクスへ取り付けることが可能である。該ピットの側部にスロットを設けることの利点は、温度で膨張することが可能な流体の体積を増加させることが可能であることであり、従って該レーザアレイチップを所定の角部へ向けて位置決めさせ
、そのことが精密な光学的及び電気的な整合状態を可能とすることである。
図42A−B及び43A−Bは、幾つかの実施例に基づく、Ge/GeSi・オン・Siフォトダイオードの簡単化した部分断面図である。該Ge/GeSi・オン・SiフォトダイオードはM1及びM2電極で噛み合い型とすることが可能であり、その場合に、M1はアノードとし且つM2をカソードとすることが可能である。該Ge/GeSi層はグレイデッド即ち傾斜P型にドープした層であって、そのドーピングはπSiとの該Ge/GeSi界面におけるドーピングレベルと比較してその表面におけるドーピングレベルが一層高いものとなっている。マイクロストラクチャ穴4212を該Ge/GeSi内に形成することが可能であり、且つ、幾つかの場合に、該πSi内へ延在することが可能である。Nウエルが該πSi内に形成されている。上部表面上に入射することが可能であり且つ、幾つかの場合に、底部表面に入射することが可能な光学信号の波長は、990nm−1,600nm、そして、幾つかの場合に、1,000nm−1,350nm、の範囲とすることが可能である。フォトンは支配的に該Ge/GeSi領域/層内で吸収され、且つ、アノードとカソードとの間が逆バイアスの場合には、光キャリアは支配的に電子であって、該電子は該P型のGe/GeSiからNSiカソードへ向けて掃引される。この様な装置は単一走行キャリア「UTC」フォトダイオードと呼称される場合がある。この様なUTCフォトダイオードは、バイポーラキャリアを有するフォトダイオードよりも一層高い速度及び/又はデータレートを有することが可能である。例えば、参考文献のPiels et al.、40GHzSi/Ge単一走行キャリア導波路フォトダイオード(40 GHz Si/Ge uni-travelling carrier waveguide photodiode)、DOI: 10.1109/JLT.2014.2310780, Journal of Lightwave Technology を参照すると良い。
図42A−Bに例示したような構造におけるGe/GeSi層は、200−1,000nm、そして、幾つかの場合に、500−1,000nm、そして、幾つかの場合に、1,000nm以上の範囲の厚さを有することが可能である。該マイクロストラクチャ穴は、漏斗状、円筒状、台形状、ピラミッド状とすることが可能であり、且つ表面におけるその横方向寸法は、300nm乃至1,300nm、そして、幾つかの場合に、600nm−1,200nm、そして、幾つかの場合に、800nm−1,500nm、そして、幾つかの場合に、1,500nm以上の範囲とすることが可能である。該穴の深さは、200nm乃至1,000nm、そして、幾つかの場合に、1,000nm以上の範囲とすることが可能である。該マイクロストラクチャ穴の間の間隔は、0(接触状態又は交差状態)乃至600nm、そして、幾つかの場合に、100nm−800nm、そして、幾つかの場合に、800nm以上の範囲とすることが可能である。該マイクロストラクチャ穴は部分的に該Ge/GeSi内とさせることが可能であり、該Si界面へ該Ge/GeSiを貫通して延在することが可能であり、且つ、幾つかの場合に、該πSi内へ延在することが可能である。該πSi層も低ドープP又はNSi層とすることが可能であり、その固有抵抗は10Ω・cm以上で、且つ、幾つかの場合に、25Ω・cm以上で、且つ、幾つかの場合に、100−1,000又はそれ以上のΩ・cmとすることが可能である。BOX層を該I又は低ドープSi層の下側に設けることが可能であり、且つ、幾つかの場合に、該I又は低ドープSiは基板とすることが可能である。幾つかの場合に、該底部表面に入射する光信号をフォーカスさせ且つ該信号を該光検知器へフォーカスさせるために該Si基板の底部上にマイクロレンズを形成することが可能である。アノードとカソードとに印加される逆バイアスは−1V乃至−35V又はそれ以上、そして、幾つかの場合に、−1V乃至−5V、そして、幾つかの場合に、−1V乃至−3.3Vの範囲とすることが可能である。M1とM2との間の間隔は、幾つかの場合に、300nm乃至3,000nm、そして、幾つかの場合に、3,000nm以上の範囲とすることが可能である。データレートは、1Gb/s乃至50Gb/s、そして、幾つかの場合に、50Gb/s以上、そして、幾つかの場合に、1Gb/s以下の範囲とすることが可能である。幾つかの場合に、該データレートは、10乃至40Gb/sの範囲とすることが可能である。インパルス応答に対する該フォトダイオードのライズタイムは、1ピコ秒乃至100ピコ秒、そして、幾つかの場合に、10ピコ秒乃至100ピコ秒、そして、幾つかの場合に、30ピコ秒以下の範囲とすることが可能である。該ライズタイムはインパルス応答の先端エッジの10−90%として定義することが可能である。
図42Bは、図42Aと同様であるが、傾斜ドーピングを確保するためにGe/GeSiの上部表面上にPウエルを付加させており、その場合の該表面におけるドーピングレベルはを高いものとさせており、且つそのドーピングレベルは該Si界面へ向けて深さとともに減少している。マイクロストラクチャ穴4214も円錐状又はピラミッド状ではなく矩形状として図示されている。
図43Aは、図42Aと同様であるが、該I又は低ドープSi内にP荷電ウエルが付加されている。BOX層が包含されており、且つ、幾つかの場合に、BOX層は必要ではない場合がある。マイクロストラクチャ穴4312は該Ge/GeSi層内とすることが可能であり、且つ、幾つかの場合に、該I又は低ドープSi領域内へ延在することが可能である。逆バイアスがアノードとカソードとの間に印加され、そのバイアス電圧は−3V乃至−35Vの範囲とすることが可能であり、そして、幾つかの場合に、−35Vよりも一層高い負の電位とさせることが可能である。アバランシェ利得は、3dB−30dB又はそれ以上の範囲とすることが可能である。
図43Bは図43Aと同様であるが、Pドープとするか又は軽度にPドープとさせることの可能な該Ge/GeSi層内にPドープウエルが付加されている。マイクロストラクチャ穴4314は該Ge/GeSi内とすることが可能であり、且つ、幾つかの場合に、該I又は低ドープSi内に延在することが可能である。光学信号は該上部表面に入射することが可能であり、且つ、幾つかの場合に、該底部表面に入射することも可能である。
図42A−B及び図43A−Bは、Ge/GeSi・オン・Siの簡単化した部分断面図を示しており、その場合に、BOX層はオプションとすることが可能であり、且つ該Ge/GeSi層又は領域はPドープされており且つ、幾つかの場合に、Pドープされており、且つ、幾つかの場合に、πドープされていて、従って、光学信号が該上部表面に入射するか及び/又は該底部表面へ入射すると、該Ge/GeSi内に電子/正孔が発生され、その場合に、電子は少数キャリアであり且つ該光検知器が逆バイアス状態にあると、電子は該カソードへ向かって掃引され、且つその場合に、該P層又は領域上の電極がアノードであり且つ該N領域上の電極がカソードである。該光発生された電子は単一走行キャリア(UTC)となることが可能であり、且つ電子は正孔よりも一層早いドリフト速度を有しているので、このUTC光検知器はバイポーラ又は正孔により支配されるキャリア光検知器よりも一層高速である。例えば、参考文献のPiels et al.、40GHzSi/Ge単一走行キャリア導波路フォトダイオード(40 GHz Si/Ge uni-travelling carrier waveguide photodiode)、Journal of Lightwave Technology, DOI 10.1109/JLT.2014.2310780 を参照すると良い。
図42A−B及び図43A−Bに例示したような構造における波長は1,100nm乃至1,600nmの範囲とすることが可能であり、そして、幾つかの場合に、波長は900nm乃至1,650nmの範囲とすることが可能であり、その場合に、フォトンは支配的に該Ge/GeSi領域において吸収され、且つ、幾つかの場合に、上部表面の照射の場合に、該波長範囲は800nm−1,650nmの範囲とすることが可能であり、その場合に、フォトンは支配的に該Ge/GeSi領域において吸収される。
図42A−B及び図43A−Bに不図示のものは、CMOS/BiCMOSASICであり、それは該UTCのPD/APD/SPADとモノリシックに集積化させることが可能である。UTCAPD/SPADの利点は、ノイズ即ち雑音の低下である。何故ならば、そのイオン化プロセスにおいては電子のみが関与するからである。
図44A−B、45A−C,46A−Dは、幾つかの実施例に基づく、マイクロストラクチャ穴光検知器とCMOS及び/又はBiCMOSASICとのモノリシック集積化のための簡単化した基本的な段階を示している。図44Aは、CMOSプロセス用の開始物質を示しており、それは低ドープP装置層を具備するSOI基板からなる。図44BはディープNウエル4406とシャロー(浅い)Pウエル4410の形成を示している。該ドープウエルはドーパントイオンの拡散によるか、及び/又はドーパントイオンのイオン注入によって形成させることが可能である。図44Cは、該N及びP領域にコンタクトするためのカソード4422及びアノード4420金属及び/又はシリサイドの形成を示している。図44Dはマイクロストラクチャ穴4412の形成を示しており、該穴はドライエッチ及び/又はウエットエッチによってエッチングさせることが可能である。ウエットエッチの場合には、反転ピラミッドを形成することが可能である。
図44A−D、45A−C,46A−Dに不図示のものは、光検知器の間及び/又はCMOSASICの間の電気的及び/又は光学的の両方に対する分離トレンチである。更に不図示のものは、マイクロストラクチャ穴及び/又は電極の表面上に付着させる場合がある二酸化シリコン等の誘電体層である。更に不図示のものは、反射防止コーティング、平坦化層、該光検知器から該CMOS/BiCMOSASICへの送信線、及び該モノリシック集積化を完成させるための何らかのその他の層又はコンポーネントである。該上部表面上の電極及び/又はいずれかの電気的コンタクトを、介在物が必要でないようにプリント回路基板上の電極へ直接的にコンタクトさせることが可能な該底部表面上の電気的コンタクトへ接続させるためのトランスシリコンビア(TSV)を形成することが可能である。
図45A−Cの開始点は図44Aである。そのプロセスは図44B−Dと同様である。図45Aにおいて、低ドープディープNウエル4506を形成し、次いで高度にドープしたシャローPウエル4510を形成する。図45Bはカソード4522とアノード4520との形成を示している。図45Cは、マイクロストラクチャ穴4512の形成を示している。
図46Aにおいて、開始物質が示されている。図46Bにおいて、シャローNウエルがP装置層内に形成され、且つシャローNウエルがその表面上に形成される。図46Cにおいて、カソード4622及びアノード4620電極がN及びP表面上に、夫々、形成され且つ、図46Cにおいて、マイクロストラクチャ穴4612が形成される。
図47A−C及び48A−Cは、幾つかの実施例に基づく、噛み合い型光検知器をCMOS/BiCMOSASICとモノリシックに集積化させるための簡単化した基本的な処置段階を示している。図47AはCMOS/BiCMOSASIC用の開始物質を示しており、その場合には、SOI基板が使用され且つ装置層は低ドープP型半導体である。図47Bは、該低ドープP半導体の表面上に金属噛み合い部4722及び4720の形成を示している。図47Cは、噛み合い型電極間のマイクロストラクチャ穴4712の形成を示している。この金属−半導体―金属(MSM)構造において、バイアス電圧は順方向バイアスか又は逆方向バイアスのいずれかとすることが可能であり、というのは、該半導体への該金属コンタクトはショットキーコンタクトか又はMOSコンタクトとすることが可能であり、且つ連続するダイオードだからである。
図48A−Cに示したプロセスの開始点も図47Aである。図48Aにおいて、P及びNウエルを形成する。図48Bにおいて、噛み合い型電極を該P及びNウエル上に形成する。そして、図48Cにおいて、マイクロストラクチャ穴4812を形成する。
幾つかの場合に、図47A−C及び48A−Cに例示した構造において、Ge/GeSi層を該P装置層上に成長させることが可能であり、且つ該Ge/GeSiもPドープとすることが可能であり、P及びNウエルは該Ge/GeSi及び/又はSi上に形成することが可能であり且つその処理ステップは同様である。幾つかの場合に、該BOX層は必要ではない場合がある。例えば、Ge/GeSi・オン・Si光検知器用の図42A−B及び図43A−Bを参照すると良い。
図49A−Fは、幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシックに集積化させることが可能なGe/GeSi・オン・Si噛み合い型フォトダイオードに対する基本的な製造段階を示している。これらのGe/GeSiフォトダイオードのアレイはCMOS/BiCMOSエレクトロニクスとモノリシックに集積化させることが可能である。
図49Aは、開始物質を示しており、この場合にはP装置層を有するSOIであり、且つ、幾つかの場合に、該P装置層は低ドープ又はπドープとすることが可能である。幾つかの場合に、該装置層はNドープ又は低Nドープとすることが可能であり、且つ該P及びNは交換可能である。幾つかの場合に、該開始物質は低ドープ又はπドープSiウエハで該BOX層がないものとすることが可能である。
図49Bは、バッファ層有りか又は無しでのSi上のGe又はGeSiの成長を示しており、且つ該Ge/GeSiは緩和させるか又は歪ませることが可能である。該Ge/GeSi層の厚さは200nm乃至1,000nm又はそれ以上の範囲とすることが可能である。
図49Cは、該Si装置層へ該Ge/GeSi内へのトレンチのエッチングを示している。幾つかの場合に、Ge/GeSiのストリップは選択的区域成長によって形成させることが可能であり、その場合にはトレンチのエッチングは必要ではない。
図49Dは、該Ge/GeSi内のPウエルの形成及び該低ドープPSi内のNウエルの形成を示している。
図49Eは、該P及びウエルに対するオーミックコンタクト、及び、幾つかの場合に、別の段階で形成することが可能なM1及びM2噛み合い型電極の形成を示している。
図49Fは、マイクロストラクチャ穴4912のエッチングを示している。
図49A−Fにおいて不図示のものは、パッシベーション層で、それは部分的に又は完全にマイクロストラクチャ穴及び該Ge/GeSiのいずれかの露出端部を被覆することが可能である。そして、幾つかの場合に、パッシベーション層は、該Siの表面及び該Siのいずれかの露出端部を被覆することが可能である。更に不図示のものは、モノリシックに集積化させることが可能なCMOS/BiCMOSASIC、該光検知器から該CMOS/BiCMOSASICへの送信線、及びいずれかの電気的光学的分離トレンチ等である。
図50は、幾つかの実施例に基づく、CMOS/BiCMOSASICとモノリシックに集積化させることが可能なGe/GeSi・オン・Siフォトダイオードの簡単化した部分概略断面図を示している。該BOX層はオプションとすることが可能である。Nウエルを該低ドープPSi層内へ拡散又はイオン注入させることが可能であり、且つ低ドープPGe/GeSiをバッファ有りか又は無しで該NSi上に成長させることが可能である。該Ge/GeSiの厚さは300nm−1,000nm、そして、幾つかの場合に、1,000nm以上の範囲とすることが可能である。Pウエルが該Ge/GeSiの表面上に形成される。アノードを該PGe領域の上に形成することが可能であり、且つカソードを該NSi領域上に形成することが可能である。マイクロストラクチャ穴5012をGe/GeSi内に形成することが可能であり、且つ、幾つかの場合に、該P領域内とすることが可能であり、且つ、幾つかの場合に、該Ge/GeSi内へ部分的に延在することが可能であり、且つ、幾つかの場合に、該Ge/GeSiを貫通して延在することが可能である。該マイクロストラクチャ穴は周期的とすることが可能であり、且つ、幾つかの場合に、非周期的とすることが可能であり、且つ、幾つかの場合に、周期的で且つ非周期的とすることが可能である。該マイクロストラクチャ穴の横方向寸法は200nm−1,800nmの範囲とすることが可能であり、且つ該穴の間の間隔は0(交差状態)−800nmの範囲とすることが可能であり、且つ、幾つかの場合に、800nm以上とすることが可能である。該マイクロストラクチャ穴は円筒状、漏斗状、円錐状、アメーバ状、正方形状、矩形状、三角形状、多角形状等とすることが可能である。光学信号は該上部表面へ入射することが可能であり、且つ、幾つかの場合に、該底部表面へ入射することが可能である。逆バイアスが該アノードとカソードとの間に印加され、そのバイアス電圧は−0.5乃至−10Vの範囲であり、且つ、幾つかの場合に、−1乃至−3.3Vで、且つ、幾つかの場合に、−10V以上で、例えば、−35Vとすることが可能である。
図50に例示したような構造におけるデータレートは、10Gb/s乃至25Gb/sの範囲とすることが可能であり、そして、幾つかの場合に、25Gb/s乃至50Gb/s、そして、幾つかの場合に、50Gb/s以上、そして、幾つかの場合に、10Gb/s以下とすることが可能である。波長範囲は800nm乃至1,650nmの範囲とすることが可能であり、且つ、幾つかの場合に、950nm−1,200nm、そして、幾つかの場合に、990nm−1,350nm、そして、幾つかの場合に、1,000nm−1,550nm、そして、幾つかの場合に、1,550nm以上とすることが可能である。
図51A−Dは、マイクロストラクチャ穴の例の簡単化した部分概略断面図を示しており、その場合に、マイクロストラクチャ穴は、光学的屈折率が周囲物質よりも一層低い領域として定義することが可能である。例えば、図51AはSi内にエッチしたマイクロストラクチャ穴5112を示しており、該穴は屈折率が1であり且つSiの屈折率は3.4である。
図51Bは、図51Aと同様であるが、マイクロストラクチャ穴5114は、例えば二酸化シリコン等の誘電体に埋設されており、尚、二酸化シリコンの屈折率は1.5であるがSiの屈折率は3.4である。
図51Cは、マイクロストラクチャ穴の別の例を示しており、その場合に、該穴5116は屈折率が3.4のSi物質から構成されており、且つ周囲物質はGeでありその屈折率は或る波長において4である。この例においては、該マイクロストラクチャ穴はSi突起である。
図51Dは、Si層上に形成した誘電体島状部を示しており、その場合に、該誘電体は、例えば、Si酸化物とすることが可能であり、且つGeが該誘電体島状部の上に成長されている。この例において、該マイクロストラクチャ穴5118は誘電体島状部であって、それは1.1乃至1.5の範囲の屈折率を有することが可能であり、且つ該Geは或る波長において屈折率が4であり、且つ該Siは或る波長において屈折率は3.4である。
図51A−Dに例示したような構造においてSi内に穴をエッチングする場合に、該マイクロストラクチャ穴の寸法は300−1,300nm又はそれ以上の範囲とすることが可能であり、その場合に、該マイクロストラクチャ穴が1以外の屈折率を有している場合には、該マイクロストラクチャ穴の寸法を該穴内の屈折率で割り算すればよい。例えば、該穴が二酸化シリコンで充填されている場合には、該穴の寸法は1.2−1.5で割り算すれば良く、且つ、幾つかの場合に、該穴がSi島状部である場合には、その寸法は150nm−800nmの範囲とすることが可能であり、且つ、幾つかの場合に、800nm以上とすることが可能である。
図51A−Dにおけるマイクロストラクチャ穴は周期的、又は非周期的、又は周期的で且つ非周期的とすることが可能である。該穴の横方向寸法は、同じものとすることが可能であり、且つ、幾つかの場合に、一つ又はそれ以上の異なる横方向寸法を有することが可能である。該マイクロストラクチャ穴の深さ又はマイクロストラクチャ島状部の高さは同じとすることが可能であり、又は一つを超える深さ又は高さを有することが可能である。
図52は、噛み合い部の間にマイクロストラクチャ穴を有しラテラルP及びNウエルを具備している噛み合い型Ge・オン・SiSOIフォトダイオードの簡単化した部分概略断面図を示している。該Si基板上のBOX層は約100−150nmの厚さであり、且つ、幾つかの場合に、100nmよりも一層薄いものとすることが可能である。該Si装置層はI又は低ドープであり且つ10−50nmの範囲の厚さを有しており、且つ該Si装置層上に成長されたGe層はI又は低ドープであり且つ250乃至400nmの範囲の厚さを有している。該N及びPウエルは噛み合い型金属電極M1及びM2下側の該Ge内に形成されている。該N及びPウエルは約100nm幅であり、該ウエルの深さは10nm乃至400nmの範囲とすることが可能であり、且つ、幾つかの場合に、部分的に該Ge内とさせることが可能であり、及び/又は、完全に該Ge層の深さを貫通することが可能である。例えば、参考文献のDehlinger et al.、高速ゲルマニウム・オン・SOIラテラルPINフォトダイオード(High-Speed Germanium-on-SOI Lateral PIN Photodiodes)、IEEE Photonics Technology Letters, Vol. 16, No. 11, November 2004 を参照すると良い。その図1では、この様な構造が図4に示されるように36GHzに対する応答を示している。幾つかの実施例によれば、マイクロストラクチャ穴5212は、400nm、そして、幾つかの場合に、600nm、の直径即ち横方向寸法が付加されており、且つ正方形格子における200nmの穴の間の間隔があり、それらは感度を更に向上させることが可能であり且つ或る波長においてマイクロストラクチャ穴の無い同等の噛み合い型Ge・オン・SiSOIよりも一層大きなEQEを有することが可能である。該マイクロストラクチャ穴5212は該Ge内に部分的にエッチさせることが可能であり、且つ、幾つかの場合に、該Geを貫通して、且つ、幾つかの場合に、該BOX層へ到達させることが可能である。不図示のものは、メサの端部及び/又は、幾つかの場合に、該マイクロストラクチャ穴内側における二酸化シリコン等のパッシベーション層がある。該パッシベーションは該マイクロストラクチャ穴の内壁を被覆することが可能であり、且つ、幾つかの場合に、二酸化シリコン、Si窒化物、及び/又は、その他の誘電体で該マイクロストラクチャを充填させることが可能である。逆バイアスをM1(カソード)とM2(アノード)との間に0.1V乃至3.3Vの範囲又はそれ以上の電圧、そして、幾つかの場合に、0.3V乃至1Vを印加させることが可能である。幾つかの場合に、逆バイアスが10V又はそれ以上である場合にはアバランシェ利得となる場合がある。M1及びM2電極はAl、又はTi/Al、又はNi/Alとすることが可能であり、且つ、幾つかの場合に、シリサイドとすることが可能である。該電極の幅は該ウエルよりも一層小さいものとすることが可能であり、例えば180nm以下、そして、幾つかの場合に、60nm以下とすることが可能である。該ウエル幅は、幾つかの場合に、200nm以下、例えば100nm以下、とすることが可能であり、且つ、幾つかの場合に、50nm以下とすることが可能である。光感光性メサの横方向寸法は、10×10μm、そして、幾つかの場合に、30×30μm、そして、幾つかの場合に、50×50μmとすることが可能である。幾つかの場合に、該光感光性区域は10×10μm以下、例えば、5×5又は1×1とすることが可能であり、その場合には、電極幅は14nm以下とすることが可能である。光学信号は該上部表面へ入射することが可能であり、且つ、幾つかの場合に、該底部表面即ち基板表面へ入射することが可能である。
図53Aは、幾つかの実施例に基づく、Ge・オン・SiSOIラテラルPIN噛み合い型光検知器の簡単化した3D概略図を示している。図示した光検知器はフォトダイオード、APD、SPADとすることが可能である。100−300nmの範囲の厚さを有しておりI又は低ドープであるGeの薄い層を、Siハンドル層/ウエハ上の10乃至1,000nmの範囲の厚さを有するBOX層上の5乃至200nmの範囲の厚さを有するSi装置層の薄い層の上に成長させることが可能である。P及びNウエルをGe内に形成することが可能であり、それはP又はNドープとさせることが可能で且つその深さは10nm乃至100nm、そして、幾つかの場合に、10nm−50nm、そして、幾つかの場合に、20nm以下とさせることが可能である。噛み合い型電極5320を該P及びN領域上に形成させることが可能であり、該P及びNウエルに対するオーミックコンタクトを形成する。該金属はTi/Al、Cr/Al,Al,Ni/Al,Ti/Cu、Cr/Cu,Cu等とすることが可能である。マイクロストラクチャ穴5312は該Ge内へエッチさせることが可能であり、且つ該Ge内に部分的にエッチさせることが可能であり、且つ、幾つかの場合に、該Geを介して該BOX層へ、且つ、幾つかの場合に、該Ge層を介して該Si層へエッチさせることが可能である。該マイクロストラクチャ穴5312は100nm乃至1,000nm又はそれ以上、そして、幾つかの場合に、300nm−1,200nmの範囲の横方向寸法を有することが可能である。該噛み合い型電極の間の間隔は300nm乃至1,000nm、そして、幾つかの場合に、200nm−600nm、そして、幾つかの場合に、200nm未満、そして、幾つかの場合に、1,000nmを超えるものとすることが可能である。該電極5320及び該ドープしたウエルの幅は10nm乃至300nm、そして、幾つかの場合に、30nm−160nmの範囲とすることが可能である。該ドープしたウエルは該噛み合い型電極よりも僅かに一層幅広とすることが可能である。
図53A−Bに例示したような構造における光学信号の波長は、800乃至2,000nmの範囲とすることが可能であり、且つデータレートは25Gb/s乃至50Gb/s、そして、幾つかの場合に、40Gb/s−60Gb/s又はそれ以上の範囲とすることが可能である。
非常に浅いP及びNウエルは、ウエル深さが5−20nmでN又はN++++及び/又は縮退ドーピングを有しており、且つ、幾つかの場合に、P及びNドーピングは、低電界の領域内における光発生されるキャリアの数を最小とさせるために、図53A−Bに例示されるような構造において使用することが可能である。
図53Bは、図52に示したような噛み合い型電極を具備する噛み合い型ラテラルPIN光検知器の簡単な3D概略図を示している。例えば、参考文献のKoester et al.、高性能光通信適用例用のGe・オン・SOI検知器/Si−CMOS−増幅器受信器(Ge-on-SOI-Detector/Si-CMOS-Amplifier Receivers for High-Performance Optical-Communication Applications)、Journal of Lightwave Technology, Vol. 25, No. 1, January 2007 を参照すると良い。それはCMOS/BiCMOSプロセスと適合性があり、且つ15Gb/sデータレートが検証されている。本特許明細書の幾つかの実施例によれば、マイクロストラクチャ穴5314が付加されている。
図54A−Cは図52に示したような構造のFDTDシミュレーションを行った光吸収を示している。図54Aにおいて、該構造は円筒状穴を有しており、その直径は400及び600nmであり、その間隔は正方形格子において200nmであり、且つGeの厚さは250nmである。マイクロストラクチャ穴をGeを介して250nmの深さへエッチし、Al電極の幅は180nmである。EQEに直接比例する吸収は800乃至1,000nmの波長範囲に対して示されている。実線の曲線5410は600nmの穴に対するものであり、且つ点線の曲線5412は400nm直径の穴に対するものである。その吸収即ちEQEは800乃至1,000nmの波長範囲において50%よりも一層大きくなっている。マイクロストラクチャ穴PDのEQEは、或る波長において、マイクロストラクチャ穴の無い同等のPDよりも一層高いものとすることが可能である。
図54Bは、図54Aにおいてシミュレーションしたものと同様の構造のFDTDシミュレーションを示しており、その場合の波長範囲は1,000−1,700nmで、マイクロストラクチャ穴は該Ge層の半分の深さへエッチしている。実線の曲線5420は600nmの直径の円筒状の穴に対するものであり、且つ点線の曲線5422は400nmの直径の円筒状の穴に対するものであり、且つ該穴は正方形格子において200nmの間隔を有しているものである。EQEの吸収は1,350nmにおいて30%よりも一層大きく、且つ、幾つかの場合に、1,350nmにおいては10%よりも一層大きい。
図54Bの例におけるEQEは或る波長において高々70%であり、且つデータレートは40Gb/s以上とすることが可能であり、且つ、幾つかの場合に、40−60Gb/sとすることが可能である。
図54Cは、該マイクロストラクチャ穴が該Ge層を介して該Si層へエッチされていることを除いて、図54Bと同様である。実線の曲線5430は600nmの直径の円筒状の穴の場合であり、且つ点線の曲線5432は400nmの直径の円筒状の穴に対するものである。マイクロストラクチャ穴を具備するラテラル噛み合い型PINGe・オン・SiSOIは、或る波長において、マイクロストラクチャ穴の無い同等の光検知器よりも一層高いEQEを有することが可能である。マイクロストラクチャ穴ラテラルPINGe・オン・SiSOIのEQEは1,550nmの波長において、10%以上一層高いものであることが可能である。その吸収はEQEに直接的に比例し、そして、幾つかの場合に、EQEと等しい場合がある。
図55は、噛み合い型MSM光検知器・オン・SOIの逆バイアス電圧に対する外部量子効率の実験データを示しており、その場合に、該装置層は図47Cに例示したような構造及び本特許明細書に記載するその他の新規なラテラルショットキーMSM構造において1ミクロンの厚さである。該BOX層は約1ミクロンの厚さであり、且つハンドルSi基板は約700ミクロンの厚さである。該装置層は低ドープP型であり、固有抵抗は約10−25Ω・cmであり、且つ該金属噛み合い部はAlで該低ドープPSiとショットキーコンタクトを形成している。マイクロストラクチャ穴は1,000nm直径であり、且つ正方形格子において1,300nm周期である。該穴はdrie(ディープ反応性イオンエッチング)を使用して約600−800nmの深さへドライエッチしてある。該噛み合いAl電極の幅は300nmであり、且つその間隔は1,000nmである。850nm波長がマルチモード又はシングルモードファイバーを使用して該上部表面へ入射させる。該グラフ内の三角形はマイクロストラクチャ穴の無い制御サンプルのEQEを示しており、理解されるように、そのEQEは約13−14%であって、それは理論計算と一致する。該グラフ内の正方形は、パッシベーションしていないマイクロストラクチャ穴を具備するMSM光検知器のEQEであり、理解されるように、得られた最大のEQEは−10Vにおいて僅かに30%を超えるものである。該グラフ内の円は、水素でパッシベーションしたマイクロストラクチャ穴を具備する同一のMSM光検知器のEQEを示しており、その場合は、理解されるように、EQEは約85%であり、それは理論的予測値である86%に近い値である。その理論では、金属噛み合いフィンガが850nmで所定位置とされ且つ穴が有る場合と無い場合とのMSM構造における光学フィールドのFDTDシミュレーションを使用している。理解されるように、この場合には水素を使用したパッシベーション(希釈したHF溶液に3秒浸漬)が該穴の側壁における表面再結合を事実上全ての吸収されたフォトンを回収することが可能であるような点へ減少させることが可能であり、従ってEQEは吸収したフォトンの数とほぼ等しい。その他のパッシベーション方法としては、原子層付着等の方法を使用しての、該Siの熱酸化物、Al酸化物、Si二酸化物、Si窒化物、Hf酸化物等の誘電体の付着等を包含することが可能である。該パッシベーション用酸化物は該基板の表面上及び該マイクロストラクチャ穴の側壁の表面上に形成させることが可能であり、且つ、幾つかの場合に、該マイクロストラクチャ穴を部分的に及び/又は完全に充填することが可能である。1ミクロン装置層SOIに対するEQEのこの実験データは、MSM構造FDTDシミュレーションと良好な一致を示している。
図56A−Dは、図55に示したEQEを有する装置の850nm波長におけるインパルス応答を示している。理解されるように、図56A及び56Cは、夫々、3及び10Vのバイアスでのマイクロストラクチャ穴を有するMSMに対するものであり、且つ、図56B及び図56Dはマイクロストラクチャ穴の無い同じウエハ上の制御サンプルに対するものである。理解されるように、マイクロストラクチャ穴の無いMSMのインパルス応答はマイクロストラクチャ穴を有しており且つ水素でパッシベーションしたMSMに対するものよりも著しく一層低い。その半値全幅は38ピコ秒であり且つレーザパルス幅及びサンプリングスコープの応答時間等のシステム時間定数をデコンボルブ(deconvolve)した場合に、半値全幅は50ミクロン直径を有するMSM光検知器に対して測定した38ピコ秒よりも著しく一層短いものとすることが可能である。理解されるように、該インパルス応答に対するライズタイムは、10−90%振幅レベル(それは、LiDAR等の飛行時間適用例に対してミリメータ範囲における深さ分解を有することが可能である)において約10−20ピコ秒である。データセンタ通信に対しては、10−25Gb/sのデータレートを得ることが可能であり、且つ、一層薄い装置層、例えば0.5ミクロン、で且つ電極間の間隔が約400−600nmであり且つ電極幅が160nm−60nmの範囲であり、且つ、幾つかの場合に、60nmであり、例えば30−14nmである場合には、該MSM光検知器のデータレートは25Gb/sより一層大きなものとすることが可能であり、且つ、幾つかの場合に、40−50Gb/s、そして、幾つかの場合に、60Gb/s以上とすることが可能である。
図57−59は、幾つかの実施例に基づく、底部照射型CMOS/BiCMOSセンサーアレイの簡単化した部分断面図を示している。マイクロストラクチャ穴5712は吸収を向上させ、且つセンサーアレイの動作波長を拡張させるので、該センサーアレイは高速光データ通信、LiDAR等の飛行時間適用例、及び近赤外波長におけるイメージングに使用することが可能である。CMOS/BiCMOASICがSOIウエハの装置層内に製造し、且つ該ハンドルウエハは、例えば、ν又はπドーピング等の低ドープP又はNとすることが可能である。該光検知器は該SOIのハンドル基板内に製造され、且つマイクロストラクチャ穴は該BOX層へ数ミクロン以下内で該ハンドル基板内へエッチされる。図57において、π型ハンドルウエハ上にNウエル及びPウエルを形成するためにイオン注入を使用することが可能である。CMOSASICからの接続用電極5740は、ビアを介して該Nウエルへ形成することが可能であり、且つ該接続用電極は金属とすることが可能であり、且つ、幾つかの場合に、金属シリサイドとすることが可能である。接続用電極5740はビアを介して該CMOSから該P層へ形成することが可能であり、且つ、幾つかの場合に、該P層はアノード等のメタリゼーションを有することが可能であり、且つTSV(トランスシリコンビア)を介しての代わりに共通接地へ接続させることが可能である。該Nウエルはカソードであり、且つ該Pウエルはアノードであり、且つ逆バイアスを該アノードと該カソードとの間に印加させることが可能である。該ハンドルウエハの厚さは200ミクロン乃至数ミクロン、例えば3ミクロン、の範囲とすることが可能である。該マイクロストラクチャ穴5712は、該ハンドル基板内に、該BOX層の数ミクロン以内へ、幾つかの場合に、該BOX層へ3ミクロン以下内へ、そして、幾つかの場合に、該BOX層へ1ミクロン以内へ、エッチングさせることが可能である。該マイクロストラクチャ穴は300nm−1,500nmの範囲の直径を有することが可能であり、且つ、幾つかの場合に、500nm−1,500nm、そして、幾つかの場合に、700nm−1,800m、そして、幾つかの場合に、800nm−1,200nmの範囲とすることが可能である。該マイクロストラクチャ穴の間の間隔は、100nm−600nmの範囲とすることが可能であり、そして、幾つかの場合に、600nmを超えるものとすることが可能である。該マイクロストラクチャ穴は周期的、及び/又は非周期的、及び/又はランダムとさせることが可能である。該マイクロストラクチャ穴の直径即ち横方向寸法は同じものとすることが可能であり、及び/又は、幾つかの場合に、同一のアレイ内において異なるものとすることが可能である。該マイクロストラクチャ穴は円形状、楕円状、正方形状、矩形状、多角形状、及び/又はアメーバ状とすることが可能である。該マイクロストラクチャ穴は、例えば、DRIEを使用してドライエッチさせることが可能であり、且つ、幾つかの場合に、例えはTMAHを使用してドライエッチとウエットエッチとの組み合わせとすることが可能である。該マイクロストラクチャ穴の及び該Siの表面はネイティブ酸化物でパッシベーションさせることが可能であり、且つ、幾つかの場合に、例えば、表面再結合を減少させ、従ってEQEを増加させるために原子層付着を使用して該表面上に付着させることが可能な誘電体でパッシベーションさせることが可能である。該パッシベーション用誘電体は該マイクロストラクチャ穴を部分的に充填させることが可能であり、且つ、幾つかの場合に、該マイクロストラクチャ穴を完全に充填することが可能である。該Nウエルはイオン注入させ、次いで熱アニールで活性化させることが可能であり、且つ該Pウエルもイオン注入させ且つ熱アニールで活性化させることが可能である。幾つかの場合に、該P及びNウエルは拡散、及び/又はドーパントイオンのイン注入と拡散の組み合わせによって形成することが可能である。該マイクロストラクチャ穴をエッチングすることによって、該NウエルをπSiの厚さに係らずに該BOX層近くに形成させることが可能であり、例えば、幾つかの場合に、該πSiは50ミクロン又はそれ以上の厚さとすることが可能であり、且つマイクロストラクチャ穴を該BOX層の数ミクロン内へエッチングさせることが可能である。該Nウエルは該BOX層近くに近接して、且つ、幾つかの場合に、該BOX層に接触して、イオン注入させることが可能である。電極は該CMOS/BiCMOSASICから該Nウエルカソード領域へ形成させることが可能であり、且つアノードは該Pウエル上に形成させることが可能であり且つ該CMOS/BiCMOSASICへ接続させることが可能である。高データレート信号、飛行時間光学パルス、又はイメージとすることが可能な光信号は、800−1,100nmの波長範囲で該裏側表面を照射することが可能であり、且つ、幾つかの場合に、900−1,060nm、且つ、幾つかの場合に、1,000−1,100nmの波長とすることが可能である。10ミクロン以下のハンドル層厚さの場合、データレートは1Gb/sを超えるものとすることが可能である。ハンドル層厚さが3ミクロン以下の場合には、データレートは10Gb/s以上とすることが可能である。マイクロストラクチャ穴裏面照射CMOSセンサアレイのEQEは、或る波長において、マイクロストラクチャ穴の無い同等の裏面照射型CMOSセンサアレイよりも一層大きいものとすることが可能である。例えば、参考文献のLee et al.、LiDAR適用例用のSOIをベースとした完全に枯渇させた検知器技術での裏照射型飛行時間イメージセンサ(A Back-Illuminated Time-of-Flight Image Sensor with SOI-Based Fully Depleted Detector Technology for LiDAR Application)、Proceedings 2018, 2, 798; doi: 10.3390/proceedings2130798 を参照すると良い。更に、例えば、参考文献のYokogawa et al.、回折性光捕獲ピクセルを具備するCMOSイメージセンサのIR感度向上(IR sensitivity enhancement of CMOS Image Sensor with diffractive light tra;pping pixels)、Scientific Reports 7:3832, DOI:10 1038/g41598-017-04200-y を参照すると良い。
電気的及/又はび光学的用の分離トレンチ5760該BOX層へエッチングさせることが可能であり、且つ、幾つかの場合に、該分離トレンチは該ハンドル層内へ部分的にエッチングさせることが可能であり、且つ、幾つかの場合に、オプションとすることが可能である。
図58は、該Nウエルもマイクロストラクチャ穴5712の側壁上とすることが可能であるということを除いて、図57と同様である。この構造においては、光発生されたキャリアの通過時間を、例えば、図57に示した構造と比較して、該P及びNウエルの近接性に起因して減少させることが可能である。該通過時間における減少は一層高速の光検知器とさせることが可能である。該センサアレイは2×2乃至1,000×1,000又はそれ以上の範囲のアレイ寸法を有することが可能である。
図58に例示されるような構造におけるマイクロストラクチャ穴の側壁上にNドーピングがある場合には、該SOIウエハの該ハンドル層は、該吸収領域における印加された電界の存在に起因して、速度における著しい損失無しで、一層厚いもの、例えば、50ミクロンを超えるもの、とすることが可能である。注意すべきことであるが、該P及びNは交換させることが可能であり、且つ該ハンドル基板は低ドープP又はNで固有抵抗が100Ω・cmより大きなもの、且つ、幾つかの場合に、1,000Ω・cmとさせることが可能である。
図59は、マイクロストラクチャ穴5712の表面上にGe及び/又はGeSi合金を成長させることが可能であることを除いて、図57と同様な構造を示している。P及びNウエルは該Ge/GeSi層とコンタクトして形成される。Ge/GeSiの付加により、裏面照射型CMOS/BiCMOSセンサアレイの波長は1,800nmへ拡張させることが可能であり、且つ、幾つかの場合に、1,000nm−1,600nmとすることが可能である。マイクロストラクチャ穴裏面照射型アレイセンサのEQEは、或る波長において、マイクロストラクチャ穴の無い同等の裏面照射型センサよりも一層大きいものとすることが可能である。
図59に例示したGe/GeSi・オン・Si構造はPD/APD/SPADアレイを形成することが可能である。
図60A及び60Bは、幾つかの実施例に基づく、マイクロストラクチャ穴裏面照射型CMOS/BiCMOSセンサアレイの断面図及び底面図を夫々示している。マイクロストラクチャ穴6012は該BOX層の数ミクロン内、例えば、2ミクロン未満、へエッチングされている。P及びNドーパントイオンを該マイクロストラクチャ穴の側壁内へ拡散させ、且つ隣接するマイクロストラクチャ穴は図60に示すように異なるドーパント極性を有することが可能である。該PIN構造はラテラルであり且つ該吸収領域内に強力な電界を与え、且つ該ハンドルウエハは200−1ミクロンの範囲の厚さを有することが可能である。逆バイアスを、該BOX層を介して該CMOS/BiCMOSASICへの金属又は金属シリサイドとすることが可能な接続用電極を介して、アノードとカソードのドープした領域へ印加させることが可能である。
マイクロストラクチャ穴6012は400−1,800nmの範囲の横方向寸法を有することが可能であり、且つ、幾つかの場合に、600−1,200nmの範囲であり、且つ円形状、楕円状、正方形状、矩形状、多角形状、六角形状、及び/又はアメーバ状とすることが可能であり、且つ10ミクロン乃至200ミクロンの範囲のエッチ深さを有することが可能であり、且つ幾つかの場合に、10ミクロン未満とすることが可能である。幾つかの場合に、該エッチ深さは1ミクロン乃至50ミクロンの範囲とすることが可能である。該マイクロストラクチャ穴は、DRIEを使用してドライエッチさせることが可能であり、且つドライエッチとウエットエッチとの組み合わせとすることが可能であり、その場合にはエッチ深さはファセット角によって制限されるものではなく、例えば、反転ピラミッドの場合には、エッチ深さは約54度の結晶面によって制限される。該マイクロストラクチャ穴は周期的及び/又は非周期的、及び/又はランダムとさせることが可能である。該穴は誘電体でパッシベーションさせることが可能であり、且つ部分的に又は完全に該マイクロストラクチャ穴を充填させることが可能である。
図60A−BのラテラルPINはフォトダイオードとすることが可能であり、且つ、幾つかの場合に、APD,そして、幾つかの場合に、SPADアレイ、そして、幾つかの場合に、アレイ内におけるPD,APD,SPADの組み合わせとすることが可能である。逆バイアスを該Nカソードと該Pアノードとの間に印加させることが可能であり、その場合の逆バイアス電圧は0.5V−3.3V、そして、幾つかの場合に、1V−10V、そして、幾つかの場合に、1V−35V、そして、幾つかの場合に、35Vを超えるものの範囲とすることが可能である。速度、即ちデータレート帯域幅、即ち10−90%ライズタイムは、該Si/GeSi/Ge内に光発生されたキャリアの通過時間及び容量によって決定することが可能である。データセンタ相互接続適用例用の該CMOS/BiCMOSASICは、相互インピーダンス増幅器及び信号処理のため及び処理済みの電気信号を該データセンタ内の他のコンポーネントと通信するためのその他のエレクトロニクスから構成されている場合があり、そして、幾つかの場合に、該ASICもAPD/SPAD装置及び電気信号の条件付け、処理、及び送信のための全ての必要なエレクトロニクスをバイアスさせて制御するためのエレクトロニクスを包含している場合があり、且つ、幾つかの場合に、該ASICは、更に、3D画像処理などの画像処理用のエレクトロニクス、及び読み出しエレクトロニクス、及び画像を表示させるために必要なエレクトロニクスを包含している場合があり、且つ、幾つかの場合に、該ASICは、更に、飛行時間エレクトロニクス及びLiDAR適用例等の空間的及び深さ分解能を決定するために必要なエレクトロニクスを包含している場合がある。光信号及び/又は光画像は該底部表面へ入射する。
Ge及び/又はGeSiは、また、図59及び図60Aにおけるように、ラテラルGe・オン・SiPINPD/APD/SPADを形成するために、該穴内に成長させることが可能である。
図60A−Bに例示したような構造におけるデータレートは、10乃至50Gb/s又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、25−50Gb/s又はそれ以上とすることが可能であり、且つ該10−90%ライズタイムは、5ps乃至100ps、そして、幾つかの場合に、10ps−100psの範囲とすることが可能である。利得は3dB乃至30dB又はそれ以上の範囲とすることが可能であり、且つGe又はGeSiの無い波長範囲は500乃至1,100nmの範囲とすることが可能であり、且つGe/GeSiが有る場合には、500乃至2,000nm、そして、幾つかの場合に、1,800−2,000nmの範囲とすることが可能である。
SPADモードにおいては、図60A−Bに例示したような構造における利得は100,000−1,000,000又はそれ以上の範囲とすることが可能である。
図60A−Bに例示したような構造におけるPN,PIN,PIPNのドーピング分布の場合、該光検知器はフォトダイオード、又はアバランシェフォトダイオード、又は単一フォトンアバランシェフォトダイオードとすることが可能である。該マイクロストラクチャ穴の間の間隔は100nm−500nmの範囲とすることが可能である。該光検知器層の厚さは30nm−5,000nm、そして、幾つかの場合に、300nm−3,000nmの範囲とすることが可能である。該光検知器は裏面CMOSイメージセンサ又は正面照射型CMOSイメージセンサとすることが可能である。逆バイアスが該アノード(P)と該カソード(N)との間に印加され、その逆バイアス電圧は0.7V乃至35V、そして、幾つかの場合に、0.7V−3.3V、そして、幾つかの場合に、3.3V−15Vの範囲とすることが可能である。
図60Bは単一ピクセル底面図であり、マイクロストラクチャ穴6012はラテラルP及びNドープ領域を有しており、且つ該穴は隣の穴が反対のドーピング極性を有するように配置されている。分離トレンチを包含させることが可能であり、その場合に、該分離トレンチは該底部ハンドル層内に部分的にエッチさせることが可能であり、且つ、幾つかの場合に、該分離トレンチは該BOX層へ完全にエッチさせることが可能である。
マイクロストラクチャ穴6012は円形状、楕円状、多角形状、アメーバ状、とすることが可能であり、且つ周期的又は非周期的又はランダム配置とさせることが可能である。該マイクロストラクチャ穴は円筒状、漏斗状、又は円錐状の形状とさせることが可能である。該穴の横方向寸法は300乃至1,500nmの範囲とすることが可能であり、そして、幾つかの場合に、1,500nmを超えるものとすることが可能である。幾つかの場合に、該穴の横方向寸法は500乃至1,200nmの範囲とすることが可能である。該穴の間の間隔は、100nm乃至600nmの範囲とすることが可能であり、そして、幾つかの場合に、300nm乃至1,000nm、そして、幾つかの場合に、1,000nmを超えるものとすることが可能である。
図61及び62は、幾つかの実施例に基づく、マイクロストラクチャ穴底部照射型CMOS/BiCMOSセンサアレイの簡単化した部分断面図である。図61において、マイクロストラクチャ穴6112は該BOX層へエッチさせることが可能であり、且つNドーパントイオンをその側壁内へ拡散させる。Pウエル該底部表面上に形成させることが可能である。接続用電極を該CMOS/BiCMOSASICから該BOX層を介して形成させることが可能であり、且つカソードを形成するためにNドープ層へ接続させることが可能である。アノードメタリゼーションを該P層上に形成することが可能であり、且つ共通接地を介して及び/又はTSVを介して該CMOS/BiCMOSASICへ接続させることが可能である。ただ一つのマイクロストラクチャ穴が示されているに過ぎないが、周期的及び/又は非周期的及び/又はランダムアレイを形成する複数の穴を形成することが可能である。
図62は、複数の穴6212が示されており且つ該CMOS/BiCMOSASICへ共通電極を介して接続されている点を除いて、図61と同様である。接続される穴の数は、1乃至1,000又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、1−100、そして、幾つかの場合に、1,000個を超える数の穴、例えば、10,000−1,000,000個又はそれ以上の穴とすることが可能である。
図63A−Bは円形状の穴を具備する裏面照射型CMOS/BiCMOSセンサアレイの簡単化した部分概略底面図を示している。図63Aにおいて、周期的な円形状の穴6312が正方形格子内に配置されている。図63Bは、マイクロストラクチャ穴6312の非周期的及び/又はランダム配置を示している。ピクセルの寸法に依存して該センサアレイの各ピクセルは、1個乃至1,000個又はそれ以上のマイクロストラクチャ穴を包含することが可能であり、且つ、幾つかの場合に、5−30個のマイクロストラクチャ穴、そして、幾つかの場合に、100個又はそれ以上のマイクロストラクチャ穴を包含することが可能である。幾つかの場合に、該穴の数は、1個のピクセル即ち感光性区域内において1,000乃至10,000の範囲とすることが可能である。該マイクロストラクチャ穴の間の間隔は、100nm乃至600nmの範囲とすることが可能であり、そして、幾つかの場合に、600nmを超える、例えば、1,000nmとすることが可能である。
図64は、幾つかの実施例に基づく、六角形格子における六角形穴の例示している概略図である。穴6412はドライエッチングを使用して形成することが可能であり、且つ該穴の深さは該BOX層へエッチさせることが可能であり、且つ、幾つかの場合に、該BOX層の1又は2ミクロン内へエッチさせることが可能である。該六角形穴の間の間隔は、100−500nmの範囲とすることが可能であり、且つ、幾つかの場合に、300−600nmとすることが可能である。該六角形穴の横方向寸法は300乃至1,800nmの範囲とすることが可能であり、且つ、幾つかの場合に、600−1,200nm、そして、幾つかの場合に、800−1,000nmとすることが可能である。
分離トレンチ6460を該底部表面内へ部分的に該ハンドル層内へエッチングさせることが可能であり、且つ、幾つかの場合に、該ハンドル層を介して該BOX層へエッチングさせることが可能である。該分離トレンチは、ピクセル間の電気的分離及び/又はピクセル間の光学的分離のためとすることが可能である。図64に示されているものは、単一ピクセル又は1−1,000,000又はそれ以上のピクセルを有することが可能なアレイ内の複数のピクセルとすることが可能であり、且つ適用例は光データ通信、LiDAR及び3Dイメージング、そして、幾つかの場合に、飛行時間イメージングを包含することが可能である。
図65は、図47Cに例示したような構造及び本特許明細書に記載したその他の新規なラテラルショットキーMSM構造において直径が1,000nmで周期が正方形格子において1,300nmであるマイクロストラクチャ穴を有する1ミクロン装置層・オン・SOIに対するFDTDシミュレーションした光学吸収vs波長を示している。点線の曲線6510は、或る波長において800乃至1,100nmにおいて30%より大きな吸収を有するマイクロストラクチャ穴を具備する1ミクロンSi層・オン・BOX層の吸収を示している。実線の曲線6512はマイクロストラクチャ穴の無い1ミクロンSi層の吸収を示している。理解されるように、マイクロストラクチャ穴を具備する層による吸収は、或る波長において、マイクロストラクチャ穴の無い1ミクロン層の吸収のものよりも20−30%又はそれ以上大きいものであることが可能である。
図66は、図47Cに例示した構造及び本特許明細書に記載するその他の新規なラテラル又は垂直のショットキーMSM構造における0.5ミクロンSi装置層・オン・SOIのFDTDシミュレーションを示している。実線の曲線6610に対するマイクロストラクチャ穴は直径が1,000nmであり且つ周期が正方形格子において1,300nmである。且つ、点線の曲線6612では、直径が1600nmであり且つ周期が正方形格子において900nmである。理解されるように、EQEが直接的に比例する吸収は、波長1,100nmにおいて10%又はそれ以上とすることが可能である。
図67A−Bは、図47Cに例示したような構造及び本特許明細書に記載されるその他の新規なラテラルショットキーMSM構造において850nm波長の照射が有る場合と無い場合のIV特性の線形プロット及び片対数プロットを夫々示している。
図67Aにおける照射曲線6710は、10乃至25Ω・cmの範囲の固有抵抗を有するSi装置層に対するアルミニウム噛み合い型電極の連続するショットキーコンタクトの特性である。該噛み合い型フィンガの間の間隔は1,000nmであり且つ該噛み合い型フィンガの幅は300nmであり、且つ該噛み合い型フォトダイオードの感光性区域の横方向寸法は50ミクロンである。
図68A−D及び69A−Dは、幾つかの実施例に基づく、図47Cに例示したような構造及び本特許明細書に記載されるその他の新規なラテラルショットキーMSM構造においてマイクロストラクチャ穴を有する噛み合い型SiMSMのインパルス応答及びアイダイアグラムを示している。該マイクロストラクチャ穴は1,000nmの直径と正方形格子における1,300nmの周期とを有しており、SOI基板上に1ミクロンの装置層を有している。図68A及び68Cは、10Vの逆バイアスでの同一のウエハ上の2つの異なる装置に対する2つのインパルス応答である。10Vの逆バイアスで10Gb/sにおいてのそれぞれのアイダイアグラムが図68B及び68Dである。
図69A−Dは、図68A−Dと同一の装置からのインパルス応答及びアイダイアグラムであるが、3Vの逆バイアスの場合である。該インパルス応答の下側に示されている該10Gb/sアイダイアグラムはオープンであり且つDCI適用例における10Gb/sデータレート送信のために使用することが可能である。
図70は、図14Aに例示したような垂直PIN構造におけるマイクロストラクチャ穴が有る場合と無い場合のPIN/NIP垂直構造の容量変化の百分率を示している。該実験データで理解されるように、容量は、マイクロストラクチャ穴の無い同等の光検知器と比較してマイクロストラクチャ穴の有る光検知器の場合には、50%又はそれ以上一層少ないものとすることが可能である。この容量における減少は、減少されたRC時定数とすることが可能であり、そのことは、マイクロストラクチャ穴の無い同等のPD/APD/SPADよりもPD、APD、又はSPADとすることが可能な光検知器に対して一層高い速度又はデータレート帯域幅とさせることを可能とさせる。
図71は、Si層・オン・SOIにおけるFDTDシミュレーションした光学的吸収を示している。そのSi装置層は、該プロットに示した如くに、100nm−200nmの範囲である。マイクロストラクチャ穴の横方向寸法は700nmであり且つ正方形格子における周期は1,000nmである。該マイクロストラクチャ穴は円筒状であり且つ該BOX層へエッチングされている。その縦軸は吸収であり、且つその横軸は800−1,100nmの波長である。該吸収はEQEに比例しており、且つ、幾つかの場合に、ほぼEQEと等しい場合がある。該吸収は、500nm厚さのSi装置層に対して1,050nmの波長において50%又はそれ以上とすることが可能であり、且つ200乃至300nmの厚さの装置層に対しては20%又はそれ以上とすることが可能である。電極間隔が300nm乃至500nmで且つ装置層が500nm未満である場合、データレートは30Gb/s又はそれ以上とすることが可能であり、且つ、幾つかの場合に、25−50Gb/s、そして、幾つかの場合に、50Gb/sを超えるもの、そして、幾つかの場合に、100Gb/s又はそれ以上とすることが可能である。
図72A−Bは、幾つかの実施例に基づく、SiMSMの簡単化した部分断面図を示している。該SiMSMはCMOS/BiCMOSASICとモノリシックに集積化させることが可能である。該SOIの装置層は非常に薄いものとすることが可能であり、その厚さは50乃至500nmの範囲であって、且つ、幾つかの場合に、300−1,000nmの範囲である。噛み合い型電極7220及び7222は、20乃至160nmの範囲の幅を有することが可能であり、且つ、幾つかの場合に、30−60nmであり、且つ、該噛み合い型電極間の間隔は100乃至600nmの範囲とすることが可能である。この様な間隔及び厚さで、該MSMは30Gb/s以上のデータレートを有することが可能であり、且つ、幾つかの場合に、60−100Gb/s又はそれ以上とすることが可能である。EQEは或る波長において20%又はそれ以上とすることが可能であり、且つ、幾つかの場合に、或る波長において50%又はそれ以上、且つ、幾つかの場合に、或る波長において70%又はそれ以上とすることが可能であり、その場合に、該波長範囲は800乃至1,100nmの範囲とすることが可能である。該金属電極は該I又は低ドープSiに対してショットキーコンタクトを形成することが可能であり、且つ、幾つかの場合に、中間又は高ドープウエル7210及び7208とすることが可能なPN接合を該電極の下側に形成することが可能であり、その場合に、該電極は該P及びN接合に対してオーミックコンタクトを形成する。該P及びN接合の深さは10nm乃至500nmの範囲とすることが可能であり、且つ、幾つかの場合に、500nmを超えるものとすることが可能である。低い電界を有する領域において光発生されるキャリアの数を最小とさせるために、深さが5乃至30nmの範囲である浅いPN接合が望ましい場合がある。P及びNウエル7210及び7208の幅は該噛み合い型電極の幅と同じであるか又は僅かに一層幅広とすることが可能である。逆バイアスを該PアノードとNカソードとの間に印加させる。ショットキーコンタクトの場合には、IVをほぼ対称的とすることが可能であるので、該MSMは逆バイアスと順バイアスの両方で動作させることが可能である。
マイクロストラクチャ穴7212は該Si装置層内へ部分的にエッチングされ、且つ、幾つかの場合に、該Si装置層を介して該BOX層へエッチングされる。該マイクロストラクチャ穴7212は、Si二酸化物及び/又はその他の誘電体で充填させることが可能である。該マイクロストラクチャ穴7212は、矩形状又は楕円状とすることが可能であり、その場合に、その狭い横方向寸法は100乃至600nmの範囲とすることが可能であり、且つその広い横方向寸法は300nm−1,000nm又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、600nm−1,700nmとすることが可能である。
図72Bは、グローバルに成長させるか及び/又は選択的区域成長させることが可能なGe/GeSi・オン・Siが付加されていることを除いて、図72Aと同様である。該Ge層は100乃至500nmの範囲の厚さを有することが可能であり、且つ該Siは10乃至300nm又はそれ以上の範囲の厚さを有することが可能である。該BOX層はオプションとすることが可能である。該BOX層の厚さは、Siハンドル層又は基板上で10乃至1,000nm又はそれ以上の範囲とすることが可能である。該Ge及び該SiはI又は低ドープとさせることが可能である。P及びNのウエルはM1及びM2下側の該Ge内に形成することが可能である。波長は800乃至2,000nmの範囲とすることが可能であり、且つデータレートは25乃至50Gb/sの範囲とすることが可能であり、且つ、幾つかの場合に、40−60Gb/s、そして、幾つかの場合に、60−100Gb/s又はそれ以上とすることが可能である。逆バイアスを該Pアノードと該Nカソードとの間に印加させ、その電圧は−1V乃至−3.3Vの範囲とすることが可能であり、且つ、APD/SPAD用の幾つかの場合に、該逆バイアス電圧は−3.3V乃至−35V又はそれ以上の範囲とすることが可能である。該EQEは或る波長において20%又はそれ以上とさせることが可能であり、且つ、幾つかの場合に、或る波長において50%又はそれ以上とすることが可能であり、且つ、幾つかの場合に、或る波長において70%又はそれ以上とすることが可能である。光信号は該上部表面及び/又は該底部表面に入射することが可能である。
図72A−Bに不図示のものは、モノリシックに集積化させることが可能なCMOS/BiCMOSASICである。
上述したこれらのラテラルPIN噛み合い型光検知器に対する適用例は、データセンター相互接続、飛行時間LiDAR、LiDARイメージング、及び/又は3Dイメージング等を包含することが可能である。この様な検知器は、データセンター適用例に対する総合データレート帯域幅を増加させるためにアレイ状に製造させることが可能であり、且つ、幾つかの場合に、高密度アレイ、例えば、高分解能LiDARイメージング及び/又は3Dイメージングのために10,000−1,000,000ピクセルとすることが可能である。
該マイクロストラクチャ穴の横方向寸法は、幾つかの場合に、該マイクロストラクチャ穴を充填する物質の光学的屈折率によって分割することが可能である。
図73は、図72A−Bに図示した装置の簡単化した部分概略平面図である。マイクロストラクチャ穴7212は、長尺及び幅狭などの異なる横方向寸法を有することが可能である。この様な孔7212は偏光感受性とすることが可能であり且つ偏光光多重化のためにしよいウすることが可能である、その場合に、光の選択的偏光が光検知器の偏光感受性が望ましくない場合の光学系のデータ帯域幅を増加させることが可能である。上述した如く、伸長型マイクロストラクチャ穴を対応する噛み合い型電極と直交する配向状態で形成させることが可能である。
噛み合い型電極7220及び7222が図73に示した如くにマイクロストラクチャ穴と交差している場合、該Si又はGe/GeSiにおいて光発生されたキャリアは該電極への明らかな経路を有しており且つ該マイクロストラクチャ穴によって阻止されることはなく、そのことは一層高速のMSM光検知器とさせることが可能である。この様な構成において、該電極の間隔は該マイクロストラクチャア穴の寸法によって制限されることはない。
図74A−Bは、Siが存在する領域上に選択的区域成長されたGe及び/又はGeSiの概略断面図を示している。図74Aにおいて、SOIウエハでフロントエンドプロセス(FEOL)を開始し、その場合に、Ge及び/又はGeSi光検知器をCMOS/BiCMOSエレクトロニクス又はASICの前に、製造させることが可能である。マイクロストラクチャ穴7412のパターンを該装置層内に該BOX層へエッチングさせることが可能であり、尚該BOX層は幾つかの場合にはオプションとすることが可能である。該BOX層がオプションである場合には、該Si表面上に誘電体層を付着させることが可能であり、その場合に該穴はその底部においてエッチングされる。次いで、Ge及び/又はGeSiを該Si表面上に成長させてマイクロストラクチャ穴を有するGe/GeSi層のパターンを形成することが可能である。この場合に、Ge及び/又はGeSi内に形成される穴は選択的区域成長によるものであって、ドライエッチング又はウエットエッチングなどのエッチングによるものではない。エピタキシャル成長によって該マイクロストラクチャ穴を形成することの利点は、該穴の表面がエッチングによって損傷されることが無く且つ暗電流を減少させ及び/又は光発生されるキャリアの再結合を減少させることである。Pウエル7410及びNウエル7408は、図示した如くに、該Ge及び/又はGeSi内に形成することが可能であり、且つ該P及びNウエルの表面上にオーミック電極を形成することが可能である。逆バイアスを該アノード(P)とカソード(N)との間に印加させることが可能であり、その逆バイアス電圧は−1乃至−10Vの範囲、そして、幾つかの場合に、−10Vより大きな電圧とさせることが可能である。該電極は噛み合い型ラテラルフォトダイオードの噛み合いフィンガーM1及びM2を形成することが可能である。
図74Bは、該穴が誘電体7430で充填されており、且つその表面を化学的機械的研磨(CMP)を使用して平坦化させることが可能である点を除いて図74Aと同様である。例えば該誘電体の上に電極を形成することが可能である。該誘電体と交差する電極の1例が図73に示されており、その場合に、該電極、例えばM2、は図74Bの平面図とすることが可能な図72A−Bに示したように該誘電体及び該Ge及び/又はGeSiの両方と交差する。
該マイクロストラクチャ穴の横方向寸法は、幾つかの場合に、該マイクロストラクチャ穴を充填する物質の光学的屈折率によって分割させることが可能である。
図75Aは、図74Aに示した装置の平面図である。図75Aに図示していないものは、M1及びM2を該CMOS/BiCMOSASICへ接続させている送信線である。更に、単一の光検知器が示されているが、1×4、2×4、又は一層高い次数のアレイからなる光検知器アレイ等の複数の光検知器を単一チップ上に集積化させ且つ更なる信号処理のために対応するCMOS/BiCMOSASICエレクトロニクスへ接続させることが可能である。
図75Bは、図74Bの簡単化した平面図を示しており、且つそこに包含されていないものは、該フォトダイオードを該CMOS/BiCMOSASIC、及び、この場合には、複数の検知器、へ接続させる送信線であり、且つ、それは単一チップ上に形成され且つ更なる信号処理のために対応するエレクトロニクスへ接続される。
対応するCMOS/BiCMOSASICへモノリシックに集積化された光検知器は、寄生容量及びインダクタンスが著しく減少されており、そのことは性能及び歩留まりを著しく改善させる。光検知器又は光検知器アレイが対応するCMOS/BiCMOSASICチップ及び/又はその他の電子的チップへワイヤボンディングされる従来技術においては、該ワイヤボンドの寄生インダクタンスが歩留まりを著しく劣化させる場合があり、従って配送するまえに各ユニットに対してアクティブな光学的及び電気的なテストが必要とされ、そのことは受信機光学的サブアッセンブリ(ROSA)のコストを著しく増加させる場合がある。CMOS/BiCMOSASICとモノリシックに集積化した光検知器/光検知器アレイの場合には、本特許明細書に記載されるように寄生容量、抵抗、インダクタンスが著しく減少され、その性能はワイヤボンディングによる従来装置よりも、帯域幅及び感度の点で著しく改良されている。更に、本特許明細書に記載されるモノリシックに集積化された光検知器アレイ及びCMOS/BiCMOSASICは、製造上の一様例の利点が得られ且つ著しく一層高い歩留まりを有しており、従って単にスポットテストが必要であるにすぎず、その結果ROSAのコストを著しく減少させる。
図76は、図74Aに示したような装置の簡単化した平面図を示しており、その場合に、2つの噛み合い型電極が該Ge及び/又はGeSi表面上に穴7612に近接して形成されている。この形態においては、該Ge及び/又はGeSi内に発生される光キャリアは
図示した如くに形成されているM1及びM2電極へ迅速に掃引させることが可能であり、且つ該光発生されたキャリアは穴を「迂回」する必要がない。この電極配置は、本特許明細書に記載される実施例に基づいて、誘電体で完全に又は部分的に充填することが可能なマイクロストラクチャ穴を具備するSi及び/又はGe及び/又はGeSi表面上に形成した任意の噛み合い型光検知器上に設けることが可能である。
図77A−Bは、Si表面上に付着させたSi二酸化物/誘電体の簡単化した断面及び
平面を夫々示しており、その場合に、スロット7702として構成された穴はクロスハッチ即ち網目等のパターンを形成している。該スロットはSi二酸化物/誘電体島状部を形成するためのエッチングによって形成させることが可能である。
図77Bは、Ge及び/又はGeSiが成長することを望まない区域の上をSi二酸化物/誘電体が被覆している例を示している。図示した如きSiの露出表面は、Ge及び/又はGeSiの低温バッファ有りか又は無しでGe/GeSiを成長させることが可能な区域である。
図78Aは、Ge及び/又はGeSi・オン・Siの選択的区域エピタキシャル成長の簡単化した概略断面図を示しており、該表面は例えばCMPを使用して平坦化させることが可能である。該Ge及び/又はGeSi7810の厚さは100乃至400nmの範囲とすることが可能であり、且つ、幾つかの場合に、200−600nm、そして、幾つかの場合に、50−450nmとすることが可能である。該Ge及び/又はGeSi7810はI又は低ドープN又はPとすることが可能である。
図78Bは、図78Aの簡単な部分概略平面図を示しており、Ge及び/又はGeSiがクロスハッチパターンでエピタキシャル的に選択的区域成長される区域を示している。
該マイクロストラクチャ穴の横方向寸法は、幾つかの場合に、該マイクロストラクチャ穴を充填する物質の光学的屈折率によって分割することが可能である。
図79Aは、図78Bの断面の簡単化した部分概略図であって、P及びNウエルが該Ge/GeSi上に形成されており且つ同時にP及びNウエルを例えばPMOS及びNMOS用に形成させることが可能である。
図79Bは、簡単化した部分概略断面図を示しており、オーミック電極が該P及びNウエル上に形成されており且つ噛み合い型Ge及び/又はGeSiフォトダイオードを形成するM1及びM2電極とすることが可能である。接続用電極7924もPMOSとNMOSの下側に且つ該P及びNウエルがそれらの下側に形成されていることが示されている。
図80は、図79Bの簡単化した部分概略平面図を示している。噛み合い型電極8020及び8022は更なる信号処理のためにCMOS/BiCMOSASICエレクトロニクスへ接続されている送信線へ接続されている。光学信号は該上部表面へ入射し、且つ、幾つかの場合に、該底部表面へ入射することが可能である。Si二酸化物及び/又は誘電体島状部によって形成されたGe及び/GeSi層内のマイクロストラクチャ穴8012は、400nm乃至1,500nm、そして、幾つかの場合に、400nm−1,200nmの範囲の直径即ち横方向寸法を有することが可能である。マイクロストラクチャ穴8012の形状は正方形、矩形、楕円、及び円形とすることが可能である。そして更に、該マイクロストラクチャ穴は周期的及び/又は非周期的とすることが可能である。該マイクロストラクチャ穴の間の間隔は100nm乃至500nm、そして、幾つかの場合に、300nm−1,000nmの範囲とすることが可能である。該光検知器は単独及び/又はアレイにおけるように複数とすることが可能であり、且つCMOS/BiCMOSエレクトロニクスへ接続されている。該光検知器の横方向寸法は、1ミクロン乃至1,000ミクロン又はそれ以上の範囲とすることが可能である。光データ通信の場合、該光検知器の横方向寸法は5ミクロン−50ミクロンの範囲とすることが可能であり、且つ、幾つかの場合に、50ミクロン−1,000ミクロン又はそれ以上とすることが可能である。LiDAR適用例の場合、該横方向寸法は10ミクロン−1,000ミクロンの範囲とすることが可能であり、そして、幾つかの場合に、1,000ミクロンより大きなものとすることが可能である。イメージング適用例の場合、該横方向寸法は、1ミクロン乃至100ミクロンの範囲とすることが可能であり、そして、幾つかの場合に、100ミクロンより大きなものとすることが可能である。波長は800nm乃至1,650nmの範囲とすることが可能であり、且つ、幾つかの場合に、800nm−1,350nm、そして、幾つかの場合に、1,000nm−1,400nm、そして、幾つかの場合に、1,100nm−1,550nmとすることが可能である。マイクロストラクチャ穴を有する光検知器は、或る波長において、マイクロストラクチャ穴の無い同等の光検知器よりも一層高い外部量子効率を有することが可能である。データレートは、データセンター相互接続適用例の場合、1Gb/s乃至100Gb/s又はそれ以上の範囲とすることが可能である。そして、飛行時間適用例の場合、該10−90%ライズタイムは1ピコ秒乃至100ピコ秒の範囲とすることが可能である。イメージングの場合、マイクロストラクチャ穴を有するイメージングセンサのEQEは、或る波長において、マイクロストラクチャ穴の無い同様のイメージングセンサのEQEよりも一層高いものとすることが可能である。逆バイアスが該アノードと該カソードとの間に印加される。
図81A−Dは、SOIウエハ上の噛み合い型Siフォトダイオードの簡単化した部分概略断面図を示している。図81Aにおいて、マイクロストラクチャ穴8112は該BOX層へエッチングされており、且つ、幾つかの場合に、該BOX層内へエッチングさせることが可能である。P及びNウエルを、PMOS及びNMOSトランジスタ用のP及びNウエルとして同時に形成させることが可能である。オーミック電極をP及びNウエル上に形成することが可能であり、且つマイクロストラクチャ穴を有するラテラルSiフォトダイオードの噛み合い型フィンガーとさせることが可能である。幾つかの場合に、該マイクロストラクチャ穴は誘電体で充填することが可能であり、且つ該表面を例えばCMPを使用して平坦化させることが可能である。該装置層は10乃至1,000Ω・cm又はそれ以上の範囲の固有抵抗を有することが可能であり、且つ該Si装置層の厚さは40nm乃至2,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、100nm−2,000nmとすることが可能である。該マイクロストラクチャ穴の横方向寸法は400nm−1,200nmの範囲とすることが可能であり、且つ該マイクロストラクチャ穴の間の間隔は100nm乃至600nmの範囲とすることが可能であり、且つ、幾つかの場合に、600nmを超えるものとすることが可能である。該マイクロストラクチャ穴は周期的なアレイで配置させることが可能であり及び/又は周期的に配置させることが可能であり、及び、幾つかの場合に、ランダムとさせることが可能である。
図81Bは、図81Aと同様であるが、異なる点としては、トレンチ8124が100乃至1,000nmの範囲の深さへ該Si内にエッチングされており、且つNウエル8108及びPウエル8110を、図示した如くに、トレンチ8124の側壁及び底部内へ形成させることが可能な点である。オーミックコンタクト電極を該トレンチ内に形成することが可能であり、それはマイクロストラクチャ穴を有するラテラルPINフォトダイオードのM1及びM2噛み合い部である。図81C及び81Dは、夫々、図81A及び81Bと同様である。図81Cの場合、該SiBOX界面に近接してディープP領域8130が形成されている。該P領域8130は該感光性区域の全領域にわたって延在しており、且つ例えばボロンイオンのディープイオン注入によって形成することが可能である。アノード電極8126が該表面から該ディープP領域へ形成されている。逆バイアスが該M1を包含する該アノードと、カソードM2との間に印加される。該ディープPアノード8126と該浅いカソードとの間の電界は光発生されるキャリアを逆バイアス下で該アノード及びカソードへ掃引することの手助けとなることが可能である。
図81Dは、図81Bと同様であるが、異なる点としては、ディープN領域8132が、例えば、リン及び/又は窒素イオンのイオン注入によって形成することが可能な感光性領域の全底部表面わたって延在している点である。ディープ電極コンタクト8128がカソードを形成しているN領域へ設けられている。逆バイアスが該M1アノードと該M2カソード、及び該ディープカソード、との間に印加され、且つPとNとは交換可能である。
図82Aは、SOIウエハ上に形成されたマイクロストラクチャ穴を有するSiラテラルPIN光検知器の簡単な部分断面図を示している。マイクロストラクチャ穴8212が該装置層内へ部分的に又は完全にエッチングされている。図示される如く、該マイクロストラクチャ穴は該BOX層へエッチングされる。該Si装置層は120nm乃至2,000nmの範囲の厚さを有することが可能であり、且つ幾つかの場合に、1,000nm乃至2,000nm、そして、幾つかの場合に、200nm−1,000nmとすることが可能である。該Si装置層はI又は低ドープP又はNとすることが可能であり且つ10乃至1,000Ω・cm又はそれ以上の範囲の固有抵抗を有することが可能である。P及びNウエルが該マイクロストラクチャ穴の側壁内へ形成されており、そして、幾つかの場合に、部分的にエッチングした孔が該装置層内にある箇所においては、該P及びNウエルを該穴の側壁内及び該穴の底部に形成することが可能である。該マイクロストラクチャ穴8212は、例えば、円形状、楕円状、矩形状、正方形状、多角形状とさせることが可能である。該マイクロストラクチャ穴の横方向寸法は、400nm乃至1,200nmの範囲とすることが可能であり、且つ、幾つかの場合に、600nm乃至1,000nm、そして、幾つかの場合に、1,200nmより一層大きいものとすることが可能である。該穴の間隔は100nm乃至500nmの範囲とすることが可能であり、且つ、幾つかの場合に、300nm−1,000nm、そして、幾つかの場合に、600nm−1,500nm、そして、幾つかの場合に、1,500nmより一層大きいものとすることが可能である。
図82Bは、図82Aの概略平面図を示しており、その場合に、該マイクロストラクチャ穴8212は六角形パターンに配置されている。接続用電極8220が該P穴をP送信線8240へ接続して形成されており、且つ接続用電極8222がN穴をN送信線8242へ接続するために形成されている。該接続用電極は又該P及びN領域へのオーミックコンタクトを形成することも可能である。幾つかの場合に、付加的な電極を該N及びP領域の上に形成し、且つ該接続用電極へ接続することが可能である。そして、幾つかの場合に、透明金属導電性酸化物を該P及びN領域上に形成して直列抵抗を減少させることが可能である。該マイクロストラクチャ穴間で該Si内に光発生されたキャリアは容易に該アノード又はカソードへ掃引され、且つ該装置の帯域幅は該装置層の厚さによって決定されるのではなく、P及びNマイクロストラクチャ穴の間の距離によって決定され、その距離は100nm乃至1,000nmの範囲の距離、及び、幾つかの場合に、200nm−600nmの範囲で調節させることが可能である。該送信線はCMOS及びBiCMOSASICへ接続し、光学的及び/又は電気的な分離トレンチは図示されていないがそれは光検知器及びエレクトロニクスの最適な性能のために必要である場合がある。単一の光検知器のみが示されているが、アレイ等の複数の光検知器を更なる信号処理及び他の電子コンポーネントへの送信のために適宜のCMOS/BiCMOSASICへ接続して形成させることが可能である。更に、全モノリシック集積化チップを誘電体及び/又はポリマーを使用して封止させることが可能であり、且つ該チップの底部に半田バンプ技術及びトランスシリコンビアを使用して電気的コンタクトを形成することが可能である。幾つかの場合に、該半田バンプは該チップの表面上とすることが可能である。該光検知器の横方向寸法は1ミクロン乃至1,000ミクロン又はそれ以上の範囲とすることが可能である。該マイクロストラクチャ穴は周期的なパターンで配置させることが可能であり、又は非周期的に配置させることが可能である。多少変形したこの構造は、前述した如く、SOIウエハの背面上に形成することが可能である。
図82Cは、図82Bと同様であるが、異なる点は、マイクロストラクチャ穴8212が正方形パターンで配置されており且つ或る極性を有する各マイクロストラクチャ穴は反対極性のマイクロストラクチャ穴によって取り囲まれており、且つ接続用電極が誘電体によって分離された状態で互いに交差している点である。
図83A−C及び84A−Cは、幾つかの実施例に基づく、SOIウエハ上のマイクロストラクチャ穴の光学的吸収のFDTDシミュレーションを示している。
図83Aは、厚さが100−120nmの範囲のSi装置層内のマイクロストラクチャ穴の簡単化した部分概略断面図を示しており、該マイクロストラクチャ穴は600nmの直径の横方向寸法を有しており、且つ正方形格子において800及び900nmの周期を有しており、且つ該穴はBOX層へエッチングされている。該BOX層はSi基板上に200nmである。その光学フィールドは垂直入射である。
図83Bは、800−950nmの範囲の波長に対する光学吸収vs波長を示している。種々の曲線は600nm直径と800及び900nm周期を有するマイクロストラクチャ穴、且つ100及び120nmのSi装置層に対する吸収vs波長を示している。更に、穴無しの100nmのSi装置層も示されている(曲線8310)。曲線8312は800nm周期及び100nm装置層に対するものである。曲線8314は900nm周期及び100nm装置層に対するものである。曲線8316は800nm周期及び120nm置層に対するものである。曲線8318は900nm周期及び120nm装置層に対するものである。理解されるように、マイクロストラクチャ穴を有する装置層は、或る波長において、マイクロストラクチャ穴の無い同等の構造よりも高い吸収を有することが可能である。該外部量子効率は該吸収に直接的に比例し、且つ、幾つかの場合に、該吸収とほぼ同じである場合がある。
図83Cは、垂直入射(曲線8322)及び±10度にわたり平均化した入射(曲線8320)に対しての装置層が70nmの厚さで穴の直径が600nmで且つ周期が800nmの場合に対する吸収vs波長を示している。
図84A−Cは、図83A−Cと同様であるが、異なる点はマイクロストラクチャ穴が図84Aに示されるようにSiOで充填されている点である。
図84Bは、図84Aに示した構造に対する光学吸収vs波長のFDTDを示している。そのマイクロストラクチャ穴は直径が600nmであり、正方形格子における周期が800nmであり、且つ該穴は該BOX層へ延在している。更に、該穴はSiOで充填されている。入射光学フィールドは該表面に対して垂直であり且つその垂直入射に対して±10度にわたり平均化している。図84Bは120nm乃至400nmの範囲の種々の装置層厚さに対しての吸収vs波長を示している。曲線8410は200nmに対するものであり、曲線8412は400nmに対するものであり、且つ曲線8414は120nmに対するものである。図84Cは装置層厚さ70nmに対する吸収vs波長を示している。
幾つかの場合に、図83A及び84Aに例示したような構造におけるマイクロストラクチャ穴は非周期的及び/又はランダムとさせることが可能であり、且つ、幾つかの場合に、該マイクロストラクチャ穴は周期的、非周期的、及び/又はランダムの任意の組み合わせとすることが可能である。
幾つかの場合に、図83A及び84Aに例示したような構造におけるマイクロストラクチャ穴は、該BOX層内へエッチングさせることが可能であり、且つ、幾つかの場合に、該BOX層を貫通してエッチングさせることが可能である。幾つかの場合に、該マイクロストラクチャ穴を充填する誘電体は該BOX層とは異なる屈折率を有することが可能である。
図83A及び84Aに例示したような構造における装置層の厚さは、30nm乃至500nmの範囲とすることが可能であり、且つ、幾つかの場合に、70nm乃至2,000nmとすることが可能である。該BOX層の厚さは50nm乃至2,000nmの範囲とすることが可能である。該マイクロストラクチャ穴の横方向寸法は、300nm乃至1,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、400nm乃至1,500nmとすることが可能であり、且つ、隣接する穴の間の間隔は100nm乃至1,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、反転ピラミッド等の円錐形状の穴の場合、隣接する穴の間の間隔は接触状態及び/又は重畳状態とすることが可能である。該マイクロストラクチャ穴は円形状、矩形状、多角形状、アメーバ状、及び/又は複数の形状の任意の組み合わせとすることが可能である。
幾つかの場合に、30−500nmの範囲の厚さを有するGe及び/又はGeSi層を薄いSi層上にエピタキシャル的に成長させて図83A及び84Aに例示したような構造において波長範囲を1,000nmを超えて拡張させることが可能である。
図85A−Bは、薄いSi装置層上のマイクロストラクチャ穴の簡単化した部分断面を示している。該装置層はSOI基板上にあり、その場合に、マイクロストラクチャ穴を該BOX層内へ及び/又はそれを貫通してエッチングさせることが可能である。図85Aは、図83Aと同様であるが、異なる点は、穴8412が該BOX層内へ及び/又はそれを貫通してエッチングされており、且つ、幾つかの場合に、該Si層内へエッチングされており、且つ該マイクロストラクチャ穴を該BOX層とは異なる屈折率を有する誘電体で充填させることが可能であり、且つ、幾つかの場合に、該BOX層と同一又は同様の屈折率を有する誘電体で充填させることが可能である点である。該誘電体は該マイクロストラクチャ穴を部分的に又は完全に充填することが可能であり、且つ、幾つかの場合に、複数の領域が部分的に充填される穴の場合のように異なる誘電指数を有することが可能である。
SOIウエハ上の図83A及び84Aに例示したような構造においては、幾つかの場合に、該Si装置層は部分的に又は完全に枯渇即ち空乏化される場合があり、且つ該装置層は5nm乃至200nmの範囲の厚さで薄くすることが可能であり、且つ、幾つかの場合に、10nm乃至35nmとすることが可能であり、且つBOX層は10nm乃至200nmの範囲の厚さを有することが可能であり、且つ、幾つかの場合に、20nm乃至100nmとすることが可能であり、且つ、幾つかの場合に、200nmより大きなものとすることが可能であり、且つ該ハンドル基板は真性とすることが可能であり、且つ、幾つかの場合に、1ミクロン乃至700ミクロンの範囲の厚さで軽度にドープさせることが可能であり、且つ、幾つかの場合に、1ミクロン乃至3ミクロン、そして、幾つかの場合に、3ミクロン乃至5ミクロンとすることが可能である。
該マイクロストラクチャ穴の横方向寸法は、幾つかの場合に、該マイクロストラクチャ穴を充填する物質の光学的屈折率によって分割させることが可能である。
図86Aは、マイクロストラクチャ穴光検知器の概略断面図を示している。該装置層はBOX層へエッチングされてマイクロストラクチャ穴8612を形成しており、且つ該装置層はGe及び/又はGeSiの成長を望まない区域において除去することが可能であり、且つ、幾つかの場合に、Ge及び/又はGeSiのエピタキシャル成長を望まない箇所を、Si二酸化物又はSi窒化物等の誘電体で該Si装置層を被覆させることが可能である。露出したSi表面上にGe及び/又はGeSiの選択的区域エピタキシャル成長を使用することは、マイクロストラクチャ穴を有するGe/GeSi・オン・Si光検知器を形成することが可能である。P及びNドーピングを噛み合い的に形成することが可能であり、且つ噛み合いM1及びM2電極は該P及びNウエルへオーミックコンタクトを形成することが可能である。該Ge及び/又はGeSi層の厚さは20nm乃至500nmの範囲とすることが可能であり、且つ、幾つかの場合に、30nm乃至450nm、そして、幾つかの場合に、500nmより大きなものとすることが可能である。該マイクロストラクチャ穴8612は周期的、及び/又は、非周期的、及び/又は、ランダム、及び/又は、それらの任意の組み合わせとすることが可能である。該マイクロストラクチャ穴の横方向寸法は400nm乃至1,500nmの範囲とすることが可能であり、且つ、幾つかの場合に、300nm乃至1,500nmとすることが可能であり、且つ、隣接する穴の間の間隔は100nm乃至600nmの範囲とすることが可能であり、且つ、幾つかの場合に、50nm乃至300nm、そして、幾つかの場合に、300nm乃至1,000nmとすることが可能である。幾つかの場合に、該穴の間の間隔は0.5波長乃至1波長の範囲とすることが可能であり、且つ、幾つかの場合に、Ge及び/又はGeSi等の物質とすることが可能である。光は該上部表面に入射することが可能であり、且つ、幾つかの場合に、光は該底部表面に入射することが可能である。幾つかの場合に、該Ge・オン・Si光検知器の選択的区域成長は該底部表面上又は該ハンドルウエハ表面上に形成することが可能であり、且つ該CMOS及び/又はBiCMOSエレクトロニクスを該装置層上に形成することが可能である。この様な装置は該CMOS及び/又はBiCMOSエレクトロニクスとの電気的コンタクトの容易な形成を可能とさせ、特に、高密度アレイの光検知器(それはフォトダイオード/APD/SPADとすることが可能)の場合にそうである。該装置層上にASICエレクトロニクスを及び反対側の表面上に光検知器アレイを形成することについては既に記載しており、例えば、図58乃至図64を参照すると良い。図86Aは、ラテラルPINGe/GeSi・オン・Siマイクロストラクチャ穴光検知器を示しており、Si装置層及び該Ge/GeSi層はI「真性」であるか又は低ドープP又はNである。逆バイアスをM1アノードとM2カソードとの間に印加させ、その逆バイアス電圧は0.3V乃至3.3Vの範囲であり、且つ、幾つかの場合に、3.3V乃至35Vであり、且つ、幾つかの場合に、35乃至50Vである。入射波長は850nm乃至1,100nmの範囲とすることが可能であり、且つ、幾つかの場合に、900nm乃至1,350nm、そして、幾つかの場合に、1,200nm乃至1,650nmであり、且つ、幾つかの場合に、1,500nm乃至2,200nmである。
図86Bは、Ge/GeSi・オン・Siマイクロストラクチャ穴光検知器の概略断面図を示している。該光検知器は、図86Aと同様の選択的区域エピタキシャル成長を使用して垂直PIN構造を有している。該Si装置層はNウエルで完全に又は部分的にドープさせることが可能であり、且つ、該Geを介してエッチングすることにより、及び、幾つかの場合に、該Ge/GeSi層とは別の該Nウエルへのコンタクトを形成することにより該Nウエルとコンタクトするカソード電極を形成することが可能である。該噛み合い部及びこの場合には全てアノードとすることが可能である。該Ge/GeSi層厚さ及び該マイクロストラクチャ穴の寸法は図86Aと同様である。逆バイアス電圧を該アノードとカソードとの間に印加させる。該P及びNは交換可能である。幾つかの場合に、該Ge/Si層の一部をNドープとさせることが可能である。幾つかの場合に、該装置層の一部をPドープとして該Si内にアバランシェダイオード、例えば、PIPN構造、及び、幾つかの場合に、PN構造、を形成することが可能である。
図86Cは、Siマイクロストラクチャ穴垂直PINを示しており、その場合に、該噛み合い部はアノードであり且つ該カソード電極は該NSi上に形成させることが可能である。マイクロストラクチャ穴8612は周期的、及び/又は非周期的、及び/又はランダム、及びそれらの任意の組み合わせとすることが可能である。該マイクロストラクチャ穴は300nm−1,200nmの範囲の横方向寸法を有することが可能であり、そして、幾つかの場合に、400nm−1,000nmとすることが可能であり、且つ隣接する穴の間の間隔は100nm−600nmの範囲とすることが可能であり、且つ、幾つかの場合に、100nm−1,000nmとすることが可能である。幾つかの場合に、該隣接する穴は、例えば、反転ピラミッドの場合に交差させることが可能である。該I又は低ドープSiの厚さは35nm−1,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、35nm−400nmとすることが可能である。該Nウエル厚さは10nm−100nmの範囲とすることが可能である。逆バイアスを該アノードと該カソードとの間に印加させ、その電圧範囲は0.3V−35Vの範囲である。入射光学信号の波長は800nm−1,000nmの範囲とすることが可能である。
図86A−Cに例示したような構造においてデータセンター相互接続適用例に対するデータレートは、10Gb/s−50Gbs/の範囲とすることが可能であり、且つ、幾つかの場合に、100Gb/sまでとすることが可能である。複数の光検知器をCMOS及び/又はBiCMOSASICとモノリシックに集積化させることが可能であり、且つ該SOIの正面表面又は裏側表面上のいずれかに設けることが可能であり、従ってCMOS及びBiCMOSASICと光検知器アレイとは反対側の表面上に設けることが可能である。LiDAR又はLiDAR適用例の場合、該マイクロストラクチャ穴光検知器の検知した光学パルスの10−90%又は20−80%ライズタイムにおけるライズタイムは、ピコ秒、例えば1−20ピコ秒、の時間応答を有することが可能であり、且つ、幾つかの場合に、1−40ピコ秒、そして、幾つかの場合に、5−15ピコ秒、そして、幾つかの場合に、10ピコ秒以下とさせることが可能である。これはミリメートルの深さ分解能を与えるものであり、一方、均等な量子効率を有する市販されているSi光検知器ではナノ秒、即ち数十ナノ秒であることと対比される。横方向寸法において1−25ミクロンのピクセル寸法を有する高密度ピクセルをCMOSイメージセンサー等のセンサーの場合、該マイクロストラクチャ穴光検知器は、マイクロストラクチャ穴の無い同等のCMOSイメージセンサーよりも、或る波長において、一層高いIR感度を有することが可能である。該マイクロストラクチャ穴アレイは該CMOSASICと同一の表面上に形成させることが可能であり、且つ、幾つかの場合に、該CMOSイメージセンサーは該ハンドル基板上、又は該CMOSASICの反対側の表面上で、且つマイクロストラクチャ穴を形成させることが可能である箇所に形成させることが可能である。
幾つかの場合に、図88A−Cに例示されているような構造において、該I又は低ドープSiはN型とすることが可能であり、且つ、幾つかの場合に、それは低ドープP型とすることが可能であり、且つ、幾つかの場合に、該アノード電極はショットキーコンタクトとすることが可能である。逆バイアスを該ショットキー金属コンタクトと該N−Si層との間に印加させる。
図87は、垂直PIN光検知器の概略平面図である。該光検知器は、図86CにおけるようにSiとするか、または図86BにおけるようにGe/GeSi・オン・Siとすることが可能であり、且つ該光検知器(複数)は該CMOS及び/又はBiCMOSASICと同じ表面上に形成させることが可能である。該Ge/GeSi層は選択的区域成長させることが可能であり、且つ該アノードは直列抵抗を減少させるために該マイクロストラクチャ穴の周りのグリッド即ち格子とすることが可能であり、且つ該カソードは該Ge/GeSi層を貫通するビア(via)をエッチングすることにより該NSi層上に形成させることが可能であり、且つ該送信線は該CMOS/BiCMOSASICへ接続させることが可能である。該光検知器の性能を最適化させるためにその他の電極形態を使用することが可能である。
図88A−Cは該上部装置層表面に入射する光学フィールドのFDTDシミュレーションを示している。該「装置層表面」は、図88Aに示したような200nm厚さBOX層構造上の70nm厚さのSi装置層のものである。該マイクロストラクチャ穴8812は円形状であり且つ該BOX層へエッチングされており、且つ周期的な正方形格子に配置されており、その直径は450nm−1,000nmの範囲である。光学信号は該表面に対して垂直に入射する。
図88Bは、吸収vs波長を示しており、その場合に、吸収は2つの異なるマイクロストラクチャ穴直径に対して外部量子効率に直接的に比例している。実線の曲線8820は、穴直径が1,000nmで且つ周期が1,200nmである場合を示しており、点線の曲線8822は、穴直径が900nmで且つ周期が1,200nmである場合を示している。吸収は800−950nmの波長範囲にわたり40%又はそれ以上である。
図88Cは、実線の曲線8830が穴直径が700nmで且つ周期が900nmの場合であり、且つ点線の曲線9932が穴直径が450nmで且つ周期が900nmの場合である吸収vs波長を示している。マイクロストラクチャ穴を有する光検知器は、或る波長において、マイクロストラクチャ穴の無い同等の光検知器よりも一層高い外部量子効率を有することが可能である。
図89A−Bは、マイクロストラクチャ穴構造のFDTDシミュレーションを示している。図89Aは、200nmのBOX層上に30nm及び50nmの装置層厚さで形成されたマイクロストラクチャ穴8912を有する形態を示している。図89Bは該BOX層へエッチングされた900nmの直径で1,200nmの周期のマイクロストラクチャ穴寸法に対する吸収vs波長を示している。点線の曲線8924は、50nmの装置層厚さを有するマイクロストラクチャ穴に対する吸収vs波長を示しており、且つ実線の曲線8922は30nmの装置層に対する場合を示している。該点線の曲線8920はマイクロストラクチャ穴の無い場合の30nm装置層厚さに対する吸収vs波長を示している。
図90A−Bは、マイクロストラクチャ穴構造の光学フィールドのFDTDシミュレーションを示している。図90Aは、30nm及び60nmの装置層厚さで形成されているマイクロストラクチャ穴9012、及び70nmのSi二酸化物等の誘電体、及び200nmのBOX層を具備する形態を示している。図89Aにおけるようなマイクロストラクチャ穴9012は900nm直径、及び1,200nm周期、を有しており、且つ該BOX層へエッチングされる。図90Bにおいて、実線の曲線9022は誘電体層を有しており且つ装置層厚さが30nmであるマイクロストラクチャ穴に対するものである。点線の曲線9024は、誘電体を有しており且つ装置層厚さが60nmであるマイクロストラクチャ穴に対するものである。ダッシュ線の曲線9026は、誘電体を有しており且つ装置層厚さが30nmであるマイクロストラクチャ穴に対するものである。ダッシュ・点・ダッシュ曲線9020はマイクロストラクチャ穴が無く且つ装置層が30nmである構造の吸収vs波長を示している。
図90AにおけるSi装置層上の誘電体は、与えられた波長において、Si屈折率と同様の屈折率を有することが可能である。
図91A−Bは、マイクロストラクチャ形態の光学フィールドのFDTDシミュレーションを示している。図91Aに示した構造は、該Si装置層上の誘電体をTi酸化物又はHf酸化物とすることが可能であるという点を除いて、図90Aにおけるものと同様である。該Si装置層は30nmの厚さであり、且つ該Hf酸化物又はTi酸化物は100nmの厚さであり、且つ該BOX層はSiの上で200nmである。図91Bにおいて、吸収vs波長のプロットが示されており、その場合に、吸収は外部量子効率に対して直接的に比例することが可能であり、且つ800−950nmの範囲の波長に対してのものである。点線の曲線9126は、直径が1,200nmで周期が正方形格子において1,500nmであり、該装置層上にTi酸化物誘電体があるマイクロストラクチャ穴に対する吸収vs波長を示している。曲線9120は、1,000nm直径で、周期が1,200nmでHf酸化物を有するに対するものであり、且つ曲線9122は、直径が1,200nmで、周期が1,500nmで、Ti酸化物を有する場合に対するものであり、曲線9124は、直径が1,100nmで、周期が1,400nmで、Hf酸化物を有する場合に対するものであり、且つ曲線9128は1,000nm直径の穴で、1,200nmの周期でTi酸化物を有する場合に対するものである。この形態においての吸収は800−950nmの波長範囲にわたって15%よりも一層大きいものである。マイクロストラクチャ穴の無い同等の構造は同一の波長範囲にわたっての吸収は1%未満である。
図92は、ラテラルPIN噛み合い型フォトダイオードの部分概略断面図を示している。該フォトダイオードはSOIウエハ上に形成されており、その場合に、該Si装置層はI又は低ドープP又はNとすることが可能であり、且つ部分的に又は完全に枯渇即ち空乏化させることが可能であり、且つ8nm−200nmの範囲の厚さを有することが可能であり、且つ、幾つかの場合に、10−30nmとすることが可能である。CMOSASICが該Si装置層上に形成され且つ、複数の光検知器、及び、幾つかの場合に、光データ通信、LiDAR、又は3Dイメージング用の2Dアレイの光検知器を有することが可能なラテラルPINフォトダイオードとモノリシックに集積化させることが可能である。該ラテラルPINはHf酸化物等の高屈折率誘電体で被覆させることが可能であり、且つ更にSi二酸化物で被覆させることが可能である。マイクロストラクチャ穴9212は該誘電体内に形成されており且つ図92に示したように該BOX層へエッチングさせることが可能である。光がその上部表面へ入射することが可能である。該噛み合い型電極は100乃至1,000nmの範囲の間隔を有することが可能であり、且つ該噛み合い部の幅は10nm乃至160nmの範囲とすることが可能である。単一又は異なる屈折率の複数の層を有することが可能な該誘電体の厚さは、50nm乃至600nmの範囲とすることが可能である。該マイクロストラクチャ穴の直径は400nm−1,200nmの範囲とすることが可能である。該マイクロストラクチャ穴の直径は400nm−1,200nmの範囲とすることが可能である。該マイクロストラクチャ穴は周期的及び/又は非周期的、及び/又はランダムとすることが可能であり、且つ円形状、矩形状、多角形状、の形状とすることが可能であり、且つ200nm乃至1,000nmの範囲の間隔を有することが可能である。該マイクロストラクチャ穴光検知器用の波長範囲は、800nm乃至1,100nmとすることが可能であり、且つ、幾つかの場合に、800nm乃至1,l000nmとすることが可能である。マイクロストラクチャ穴光検知器の外部量子効率は、或る波長において、マイクロストラクチャ穴のの無い同等の光検知器の外部量子効率よりも一層大きいものとすることが可能である。
図93は、図92と同様のラテラルPIN噛み合い型フォトダイオードの部分が概略断面図を示している。図93の場合には、マイクロストラクチャ穴9312が一つ又はそれ以上の誘電体内へ部分的にエッチングされており且つ該Si装置層内ヘはエッチングしていない。マイクロストラクチャ穴光検知器の外部量子効率は、或る波長において、マイクロストラクチャ穴の無い同等の光検知器の外部量子効率よりも一層高いものとすることが可能である。
図94は、図92及び93に示したようなマイクロストラクチャラテラルPINの概略平面図である。簡単化のために、一つの光検知器のみが示されている。1D又は2Dアレイの形態において複数の光検知器を単一のチップ上に製造することが可能であり、且つCMOSASICとモノリシックに集積化させることが可能である。この構造において、該噛み合い部が最初に形成され、次いで該誘電体内にマイクロストラクチャ穴9412をエッチングするが、その場合に、該誘電体内へ部分的にエッチングさせるか又は該誘電体を貫通してSi装置層、又は該BOX層へエッチングさせることが可能である。該マイクロストラクチャ穴9412は該噛み合い電極の間隔よりも一層大きな横方向寸法を有することが可能である。M1及びM2噛み合い部を接続する送信線がCMOSASICへ接続される。
逆バイアス電圧が0.1V乃至4Vの範囲の電圧で図94におけるM1アノードとM2カソードとの間に印加され、且つ、幾つかの場合に、増倍利得が所望される場合に、4Vを超える電圧とすることが可能である。
図95は、光データセンター相互接続適用例用、又はLiDAR又は飛行時間用、又は飛行時間3Dイメージング用の底部照射型CMOSイメージセンサ又は底部照射型CMOS高速垂直PINフォトダイオードの簡単化した部分概略断面図を示している。CMOSASICが、部分的に又は完全に枯渇即ち空乏化させることが可能であり且つ、幾つかの場合に、部分的に又は完全に枯渇即ち空乏化させることのないSOIウエハのSi装置層に形成され、且つ該光検知器はハンドル基板上の反対側の表面上に形成され、その場合に、該ハンドル基板は5ミクロン又はそれ以下の厚さへ薄くさせることが可能であり、且つ、幾つかの場合に、2ミクロン又はそれ以下の厚さとされる。イオン注入とそれに続く迅速熱アニールによってP及びN接合を形成することが可能であり、且つマイクロストラクチャ穴を該BOX層へエッチングさせることが可能である。ハンドル基板9506はI又は低ドープN又はPとすることが可能であり、且つ該P及びNは交換させることが可能である。ビアを介して、上部CMOSASICから該光検知器の該P及びN層へ電極が接続される。該光検知器即ちピクセルの横方向寸法は1ミクロン乃至25ミクロンの範囲とすることが可能であり、且つ、幾つかの場合に、25ミクロンー50ミクロンとすることが可能であり、且つ、幾つかの場合に、50ミクロンを超えるものとすることが可能である。マイクロストラクチャ穴9512の横方向寸法は300nm乃至1,200nmの範囲とすることが可能であり、且つ、幾つかの場合に、600nm−1,000nmとすることが可能であり、且つ、幾つかの場合に、1,200nmより大きなものとすることも可能である。隣接する穴の間の間隔は100nm乃至600nmの範囲とすることが可能であり、且つ、幾つかの場合に、該穴は、例えばそれが反転ピラミッドである場合に、接触又は交差することが可能である。分離トレンチ9530を包含させて該光検知器即ちピクセルを分離させることが可能である。データ通信の場合には、そのデータレートは10−50Gb/sの範囲とすることが可能であり、且つ、幾つかの場合に、50−100Gb/s、そして、幾つかの場合に、100Gb/sより大きなものとすることが可能である。飛行時間適用例に対するライズタイムは1ピコ秒乃至30ピコ秒の範囲とすることが可能であり、且つ、幾つかの場合に、10ピコ秒乃至40ピコ秒、そして、幾つかの場合に、100ピコ秒未満のものとすることが可能である。マイクロストラクチャ穴光検知器の外部量子効率は、或る波長において、マイクロストラクチャ穴の無い同等の光検知器の外部量子効率よりも一層大きなものとすることが可能である。マイクロストラクチャ穴9512は周期的、及び/又は非周期的、及び/又は、ランダムとさせることが可能である。光信号及び/又は飛行時間信号及び/又はイメージは該底部表面上に入射する。アノード9520とカソード9522との間に0.1V乃至4Vの範囲の、そして、幾つかの場合に、増倍利得が所望される場合には4Vより大きな、電圧で逆バイアスを印加させる。波長範囲は800−1,100nmである。
図96は、底部照射型CMOSイメージセンサー又は底部CMOS高速垂直PINフォトダイオードの簡単化した部分概略断面図を示している。図96は、図95と同様であるが、Si9606上にGe9604の選択的区域成長が付加されている。P層がGe9604上に形成されており、且つN層がSi9606上に形成されている。該Ge及び/又はGeSi層は30nm乃至500nmの範囲の厚さを有することが可能であり、且つ、幾つかの場合に、50nm−400nmとすることが可能である。該Ge又はGeSiはI又は低ドープP又はNとすることが可能である。マイクロストラクチャ穴9612は周期的、非周期的、及び/又はランダム、及び/又はそれらの任意の組み合わせとすることが可能である。該マイクロストラクチャ穴は該Ge層内に部分的にエッチングさせることが可能であり、及び、幾つかの場合に、該Si層へ即ち該Si層までエッチングさせることが可能であり、及び、幾つかの場合に、該BOX層へエッチングさせることが可能である。該マイクロストラクチャ穴の横方向寸法は300乃至1,500nmの範囲とすることが可能であり、且つ、幾つかの場合に、600乃至1,500nm、そして、幾つかの場合に、1,500nmよりも大きいものとすることが可能である。隣接する穴の間の間隔は、100nm乃至600nmの範囲とすることが可能であり、且つ、幾つかの場合に、600nmよりも大きいものとすることが可能である。該光検知器の横方向寸法は2ミクロン乃至30ミクロンの範囲とすることが可能であり、且つ、幾つかの場合に、30ミクロン乃至100ミクロン、そして、幾つかの場合に、100ミクロンよりも大きなものとすることが可能である。該アノード及びカソードを該CMOSASICへ接続させて電極が形成されており、且つ逆バイアスを、0.1乃至4Vの範囲の電圧で、該アノードと該カソードとの間に印加させることが可能であり、該バイアス電圧は増倍利得が所望される場合には4Vよりも大きなものとすることが可能である。該光検知器の波長範囲は800乃至1,650nmとすることが可能であり、且つ、幾つかの場合に、800乃至1,100nm、そして、幾つかの場合に、800−1,350nmとすることが可能である。データレートは10乃至50Gb/sの範囲とすることが可能であり、且つ、幾つかの場合に、50−100Gb/s、そして、幾つかの場合に、光相互接続適用例のために100Gb/sより大きなものとすることが可能である。ライズタイムは1乃至20ピコ秒の範囲とすることが可能であり、且つ、幾つかの場合に、10−40ピコ秒、そして、幾つかの場合に、LiDAR及び3Dイメージング等の飛行時間適用例に対しては100ピコ秒より短いものとすることが可能である。マイクロストラクチャ穴光検知器は、或る波長において、マイクロストラクチャ穴の無い同等の光検知器の外部量子効率よりも一層大きな外部量子効率を有することが可能である。光信号及び/又は飛行時間信号及び/又は3Dイメージは該底部表面上に入射する。複数個の光検知器/ピクセルを、CMOSASICとモノリシックに集積化されている1D又は2Dのアレイに形成させることが可能である。
図97A−Bは、図95と同様の部分概略断面図である。図97Aの場合には、ラテラルPIN形態噛み合い型電極M1及びM2が交互のPN接合を形成している。M1及びM2電極の幅は10nm乃至300nmの範囲とすることが可能である。M1及びM2電極は、ドーパント拡散によるか及び/又はイオン注入によって形成することが可能なP及びNドープウエル、に対してオーミックコンタクトを形成する。該ラテラルフォトダイオードは、該P及びN領域を、夫々、ビアによって該ASICエレクトロニクスにおける適宜のコンタクトへ接続させる電極9720及び9722によって該CMOS又はBiCMOSASICへ接続させることが可能である。該光検知器の横方向寸法は、数ミクロン乃至数十ミクロンの範囲とすることが可能であり、且つ、幾つかの場合に、数百ミクロンとすることが可能である。適用例としては、データセンター用の光相互接続、自立乗物、ロボット、及びドローン用のLiDAR、及び飛行時間がある場合とない場合の3Dイメージングを包含することが可能である。複数の光検知器及び/又は高密度アレイを製造し且つCMOS/BiCMOSASICとモノリシックに集積化させることが可能である。逆バイアスを該アノードと該カソードとの間に印加させ、その場合の電圧は0.1乃至4Vの範囲とすることが可能であり、且つ、幾つかの場合で、増倍利得が所望される場合には4−50Vとすることが可能である。
図97Bは図97Aと同様であるが、M1又はM2噛み合い型電極が無く、その場合に、該N及びPドーピングが十分に高く従って電極が必要ではない可能性がある場合である。逆バイアスは該アノードと該カソードとの間に印加され、その電圧は0.1乃至4Vの範囲であり、且つ、幾つかの場合で増倍利得が所望される場合には、4−50Vとすることが可能である。
図98A−Bは、図96と同様の部分概略断面図である。図98Aの場合には、ラテラルPIN構造が交番するP及びN接合の上に噛み合い型電極M1及びM2を有している。該光検知器アノード及びカソードの該CMOSエレクトロニクスへの接続は電極9820及び9822でなされており、該電極は該BOX層及び該光検知器内の層におけるビアを介して通過して該P及びNオーミックコンタクトへ接続している。逆バイアスが該アノードと該カソードとに印加され、その電圧は0.1乃至4Vの範囲であり、且つ、幾つかの場合で増倍利得が所望される場合には、4−50Vとすることが可能である。該検知器は、800乃至1,650nmの範囲の波長を有する光又は光信号を検知することが可能であり、且つ、幾つかの場合に、2,200nmまで、そして、幾つかの場合に、850−1,100nm、そして、幾つかの場合に、1,200−1,350nm、そして、幾つかの場合に、850−1,550nmとすることが可能である。適用例としてはデータセンター用の光相互接続、自動乗物、ロボット、及びドローン用のLiDAR、飛行時間がある場合又はない場合の3Dイメージングを包含する。
図98Bは、図98Aと同様であるが、金属の噛み合い電極M1及びM2は存在していない。幾つかの場合に、Pt,Ti又はAlシリサイド等のシリサイドを金属電極の代わりに使用することが可能であり、且つ、幾つかの場合に、該高度にドープしたP及びN領域は、或る適用例の場合に十分に低い直列抵抗を有しており、従って噛み合い型金属電極が必要ではない場合がある。
本特許明細書、及び/又は該共通に譲渡され且つ組み込まれている出願、において記載している光検知器構造の全て又は殆ど全ては、SOIウエハの裏面型に製造することが可能であり、且つ該CMOS及び/又はBiCMOSエレクトロニクスはその正面側(装置層側)に製造させることが可能である。
本特許明細書に基づく実施例に対する全ての場合に、該マイクロストラクチャ穴は、ネイティブ熱酸化物及び/又はAl酸化物、Si窒化物、Hf酸化物等のその他の誘電物で、例えば原子層付着等の方法によって、パッシベーションさせることが可能であり、及び/又は、幾つかの場合に、該マイクロストラクチャ穴は、Si二酸化物及び/又はその他の誘電体で部分的に又は完全に充填させることが可能である。更に、該Si二酸化物、及び/又はその他の誘電体は該マイクロストラクチャ穴を充填し且つ該穴を超えて該表面へ延在することが可能である。幾つかの場合に、該マイクロストラクチャ穴用の該パッシベーション層は、非晶質Si及び/又は非晶質Ge等の非晶質半導体とすることが可能である。
アバランシェフォトダイオード及び/又は単一フォトンアバランシェフォトダイオードは、又、適宜のPN接合ドーピング分布、及び、幾つかの場合に、PIPN、そして、幾つかの場合に、PIPIN、尚幾つかの場合に、PとNとはSi及びGe・オン・Siの両方の場合に交換させることが可能である、と共に、本明細書に記載される実施例に基づく構造における裏面照射型光検知器アレイ内に製造させることが可能である。
図99A−Bは、SOI構造におけるBOX層の上の薄いSi層内に吸収される光学フィールドFDTDシミュレーションを示している。図99Aに概略断面が示されている。Al電極が40nmの厚さの薄いSi層上に位置されており、該Alは200nmの幅と20nmの厚さとを有している。その構造は1,000nmの厚さへHfOで被覆されている。穴9912が正方形格子状に配置され直径が800nmで周期が1,000nmで該BOX層へ該HfOを貫通してエッチングされている。噛み合いAl電極に対して平行及び垂直な光学的電界の両方に対してFDTDシミュレーションを行っている。図99Bは吸収をプロットしておりそれは800−950nmの範囲の波長に対して外部量子効率に直接的に比例している。実線の曲線9920は、電極が無い場合であり、且つダッシュの曲線9924は電極が有る場合であり、尚該光学的電界は該噛み合い電極に対して垂直である。点線の曲線9922は電極が有る場合で且つ該光学的電界が該噛合い電極に対して平行な場合であり、且つ、ダッシュ・点・ダッシュの曲線9926は電極が有る場合で且つ光学的電界が該電極に対して平行及び垂直の両方の場合である。理解されるように、その吸収即ち量子効率は、800nm波長における50%程度の高さから950nmにおける20%を超える程度の範囲とすることが可能である。
マイクロストラクチャ穴を有する光検知器は、或る波長において、マイクロストラクチャ穴の無い同等の光検知器よいも一層高い外部量子効率を有することが可能である。
図100A−Bは、図99Aに示したものと同様の構造の部分概略断面図である。図100Aの場合には、P及びNウエルが該40nm厚さのSi層10010内に付加されている。マイクロストラクチャ穴10012が該BOX層へ該誘電体(HfO)を介してエッチングされている。そして、幾つかの場合に、該穴は該誘電体内は部分的にエッチングさせることが可能であり、且つ、幾つかの場合に、該穴は該Si装置層へ即ち該Si装置までエッチングさせることが可能である。
図100Bは図100Aと同様であるが、マイクロストラクチャ穴10014の横方向寸法が該電極の間隔よりも一層幅広であり、図示される如く、この場合には、マイクロストラクチャ穴10014は該誘電体を介して該装置層へエッチングされており、且つ、幾つかの場合に、該誘電体層内へ部分的にエッチング、及び、幾つかの場合に、該装置層内へエッチング、及び、幾つかの場合に、該BOX層へエッチング、及び、幾つかの場合に、該BOX層内へ又はそれを介してエッチングさせることが可能である。
図100A―Bに例示されるような構造におけるAl電極の幅は、40nm乃至300nmの範囲とすることが可能であり、該Al電極の間の間隔は100nm乃至1,000nmの範囲とすることが可能であり、そして、幾つかの場合に、1,000nmより大きなものとすることが可能である。該Al電極の厚さは10nm乃至100nmの範囲とすることが可能であり、且つ、幾つかの場合に、100nmを超えるものとすることが可能であり、且つ該Al電極の長さは1ミクロン乃至1,000ミクロン又はそれ以上の範囲とさせることが可能である。該電極はCu、Mo、Ta、Pt、等のその他の金属とすることが可能であり、且つ、幾つかの場合に、該電極は金属シリサイドとすることが可能であり、且つ、幾つかの場合に、該電極は高度にドープしたP又はN半導体領域とすることが可能である。該誘電体穴の横方向寸法は、300nm乃至1,600nmの範囲とすることが可能であり、該誘電体厚さは100nm乃至2,000nm又はそれ以上の範囲とすることが可能であり、且つ該誘電体はTi酸化物、Hf酸化物、Si窒化物、Si二酸化物等とすることが可能である。前述した構造におけるように、適宜のP及びNドーピング分布で且つPN、PIN、PIPN、PIPINアバランシェフォトダイオードで、単一フォトンアバランシェフォトダイオードを製造することが可能である。参考文献のPiemonte et al.、最近のシリコン光増倍器の主要なパラメータ及び技術に関する概観(Overview of the main parameters and technology of modern Silicon Photomultipliers)、Nuclear Inst. And Methods in Physics Research, A 926(2019)2-15 を参照すると良い。
図99Bに示した如く、誘電体の下側に噛み合い型電極があろうがなかろうが、800−950nmの波長範囲にわたっての吸収はほぼ同じである。該電極は幅方向においてサブ波長であるから、該電極による光学信号に対する幾何学的な障害は最小のものであることが理解される。この構造は、誘電体下側に電極を有するものではない従来技術とは異なるものである。
図100A−Bに例示したような構造における誘電体の厚さは、100nm乃至1,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、1,000nmを超えるものとすることが可能である。該誘電体内のマイクロ穴は部分的に又は完全に充填させることが可能であり、又は異なる屈折率を有する別の誘電体で埋設させることが可能であり、且つ該誘電体上にマイクロ穴を形成する。幾つかの場合に、該電極及び/又はP及びNウエルは図100に示したように該マイクロ穴と整合させることが可能であり、且つ、幾つかの場合に、該電極及び/又はP及びNウエルは該マイクロ穴と整合させることが必要ではない場合がある。例えば、該電極及び/又はP及びNウエルは該マイクロ穴と交差することが可能である。
図101A−Bは、或る構造の表面照射型光学フィールドのFDTDシミュレーションを示している。図101Aは、シミュレーションした形態を示している。SOIウエハは200nmのBOX層及び30nmのSi装置層10110を有しており、110nm厚さのHf酸化物等の誘電体が該Si装置層10110上に付着されている。金属噛み合い型電極は図110A―Bに示した如くにHf酸化物の下側に埋設させることが可能である。該噛み合い電極は金属、シリサイド、高度にドープした領域、透明金属酸化物等とすることが可能である。マイクロストラクチャ穴10112は円形状であり直径が800nmで且つ正方形格子における周期が1,000nmである。該穴は周期的、非周期的、及び/又はランダム、及び/又はその任意の組み合わせとすることが可能である。光は該上部表面即ち該誘電体表面に入射する。図101Bにおいて、曲線10122はマイクロストラクチャ穴の無い形態に対するものであり、且つその吸収は800−950nmの波長範囲にわたり1%未満である。Hf酸化物を有する場合は点線の曲線10124で示しており、その構造では、量子効率に直接的に比例しており且つ該波長範囲にわたって40−60%の間の吸収を有することが可能である。該誘電体が無い場合(曲線10120)は、吸収は該波長範囲において約20%である。マイクロストラクチャ穴光検知器は、或る波長において、マイクロストラクチャ穴の無い同等の光検知器よりも一層高い外部量子効率を有することが可能である。
図102A−Bは、或る構造の表面照射型光学フィールドのFDTDシミュレーションを示している。図102Aに示した構造は図101Aに示した構造と類似しているが、異なる点は、穴10212が該Si装置層へエッチングされている点である。図100A−Bにおける如く、電極を該誘電体の下側に埋設させることが可能であり、且つ、図99Aにおける如く、該電極は吸収に与える影響は最小である。図102BはプロットしたFDTDシミュレーションを示している。その吸収効率は、800−950nmの波長範囲にわたって50−60%の範囲とすることが可能である。曲線10220は該SiO層へエッチングした穴の場合であり、一方、曲線10224は該Si層へエッチングした穴の場合である。曲線10222は穴が無い構造の場合である。
図103A−Cは、或る構造の表面照射型光学フィールドのFDTDシミュレーションを示している。図103A及び103Bに示した構造は図102Aに示したものと同様である。該穴は該装置層へエッチングされており且つ矩形状で横方向寸法が800nmで且つ周期が1,000nmであり、図103Aに示した如く、250nmのHf酸化物層内に形成した穴10312の場合には円錐形状である。図103Bは矩形状の穴10314を示しており、該穴は110nm厚さのHf酸化物層10310においては台形状である。図103Cにおいて、実線の曲線10320は、110nmの誘電体で台形状穴を与えており、800−950nmの波長範囲にわたって約20%の吸収を有している。250nm上の円錐状又は反転ピラミッド状の穴(曲線10324)は、800−950nmの波長範囲にわたって約30−40%の吸収を有している。吸収は直接的に外部量子効率に比例し、且つもしも全ての光発生されたキャリアが回収された場合には、その吸収は外部量子効率と等しくなる場合がある。曲線10326は、直径が800nmで、周期が1,000nmで、正方形格子であり、250nmのHfO、30nmのSi、200nmのBOXを介して該Si基板へエッチングされている円筒状の穴に対するものである。曲線10322は、800nmの直径で、1,000nmの周期で30nmのSi内における単に円筒状の穴に対するものである。
10−30nmで、幾つかの場合に、10−100nmである非常に薄いSi装置層は、しばしば、現在の技術状態のCMOS技術において使用され、その場合に、NMOS及びPMOSがこれらの薄いSi装置層上に形成される。幾つかの場合に、該薄いSi装置層は、部分的に又は完全に枯渇状態、即ち空乏化させることが可能であり、且つ、しばしば、それは低ドープP層であって、且つ、幾つかの場合に、それは低ドープN層とすることが可能である。
本特許明細書に記載される実施例に基づく、フォトダイオード、アバランシェフォトダイオード、及び/又は単一フォトンアバランシェフォトダイオードの1D又は2Dアレイは、適宜のP及びNドーピングでラテラルPIN、PIPN、PIPIN構造で該薄いSi層上に形成させることが可能である。幾つかの場合に、該穴はそれが形成されている該誘電体よりも低い屈折率の誘電体で完全に又は部分的に充填させることが可能である。幾つかの場合に、該穴はそれが形成されている誘電体よりも一層高い屈折率を有する誘電体で部分的に又は完全に充填させることが可能である。幾つかの場合に、該穴はそれが形成されている誘電体の屈折率とは異なる屈折率を有する誘電体で完全に埋設させることが可能である。光学フィールドが該上部表面又は該誘電体表面へ入射し、且つ、幾つかの場合に、該Siハンドル層内のビアを介して該底部表面へ入射することが可能である。
図104A−Hは、イメージング適用例のために高密度2Dアレイとして構成した光検知器アレイの簡単化した部分概略平面図である。図104Aにおいて、各ピクセルは1,000nm乃至2,000nmの範囲の横方向寸法を有することが可能である。1,000−2,000nm横方向寸法のピクセルの場合、マイクロ穴の数は1乃至10又はそれ以上の範囲とすることが可能であり、且つ、幾つかの場合に、各ピクセル内にただ1個のマイクロ穴を形成することが可能であるにすぎない場合がある。該マイクロ穴の寸法はほぼ1波長、例えば600−1,000nm、であり、且つ、幾つかの場合に、700−900nmである。
図104Bにおいて、単一のマイクロ穴が一つのピクセル内に示されており、その場合に、該マイクロ穴の端部と該ピクセルの端部との間の距離は該物質における約1波長である場合があり(自由空間波長/物質の屈折率)、例えば、200乃至500nmの範囲の寸法を有することが可能である。各ピクセルは、部分的に該Si内へ延在するか又は、幾つかの場合に、該BOX層へ延在する場合がある分離トレンチで隣接するピクセルから分離させることが可能である。
図104Cは、1個のピクセル内の単一のマイクロストラクチャ穴を示しており、その場合に、該マイクロストラクチャ穴は反転ピラミッドであり、それはウエットエッチングで形成することが可能である。
図104D−Eは、一層大きな横方向寸法を有する1個のピクセル内の複数のマイクロ穴を示している。
図104F−Gは、単一のピクセル内に異なる横方向寸法を有する複数のマイクロ穴を示している。図104Hは、1個のピクセル内の単一のマイクロ穴を示しており、その場合に、該マイクロ穴はランダム、自由形態、即ち「アメーバ」形状をしている。
図104A−Hに不図示のものは、該ピクセルをCMOSエレクトロニクスへ接続させる接続用金属層、又は電極、又は金属シリサイドがある。幾つかの場合に、該ピクセルは該表面上に共通接続と、且つ該BOX層を介して通過し且つ反対側の表面上のCMOSエレクトロニクスへ接続する電極による各ピクセルへの個別的な接続とを有することが可能である。
図104A−Hにおける光センサーアレイは1,000×1,000個のピクセルとすることが可能であり、且つ、幾つかの場合に、10,000×10,000個又はそれ以上のピクセルとすることが可能であり、且つ、幾つかの場合に1000×1000未満のピクセルアレイとすることが可能である。該光センサーはフォトダイオード及び/又はアバランシェフォトダイオード及び/又は単一フォトンアバランシェフォトダイオードとすることが可能であり、且つ700乃至1,000nmの波長範囲を有することが可能であり、且つGe又はGeSi合金の付加により、該波長範囲は1,650nmへ拡張させることが可能である。大型ピクセルの場合に、マイクロ穴アレイは10×10乃至1,000×1,000又はそれ以上のマイクロ穴の範囲とすることが可能である。該マイクロ穴は周期的及び/又は非周期的及び/又はランダムに配置させることが可能である。マイクロ穴を有する光センサーは、700−1,650nmの範囲における或る波長において、マイクロ穴の無い同等の光センサーよりも一層高い外部量子効率を有している。飛行時間適用例においては、該マイクロ穴光センサーは数十ピコ秒におけるライズタイムを有することが可能である。
図105A−Cは、1個のピクセルにおける単一のマイクロストラクチャ穴の簡単化した部分断面図を示している。CMOSエレクトロニクスは反対側の表面上に示されており、且つ不図示のものは、該BOX層を介して通過し各ピクセルをCMOSエレクトロニクスへ接続させる接続用電極である。図105Aにおいて、該P層を形成し、次いでSi層内へ部分的にマイクロ穴10512をドライエッチングし、且つ次いで、マイクロ穴10512の底部にN層を形成させる。該P及びN層はイオン注入によって形成することが可能である。この例において、イオン注入エネルギは低いものとすることが可能である。何故ならば、そのイオンは該Siの深さ全てを通り抜ける必要がないからである。該P及びN領域は交換させることが可能である。分離トレンチ10530をSi層内へ部分的にエッチングさせることが可能であり、且つ、図示した如く、該BOX層へエッチングさせることが可能である。該分離トレンチ10530及びマイクロ穴10512はSiO等の誘電体で充填させることが可能であり、且つ例えば化学的機械的研磨を使用して表面を平坦化させることが可能であり、且つ全てのピクセルのために共通電極を該P表面上に形成することが可能である。光センサーをその上に形成する該Si層の厚さは、1,000−5,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、10,000nmとすることが可能である。幾つかの場合に、該光センサーをその上に形成する該Siの厚さは100nm−5,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、100nm未満とすることが可能である。
図105Bは、この場合には反転ピラミッドである単一のマイクロ穴10514を有する1個のピクセルの簡単化した部分概略断面図を示している。P及びN領域をイオン注入によって形成することが可能であり、且つ該反転ピラミッドをウエットエッチングさせることが可能である。分離トレンチ10534は各ピクセルを電気的に及び/又は光学的に分離させるために形成することが可能である。図105Aにおけるように、該マイクロ穴内における該トレンチは酸化物で埋設させることが可能である。該酸化物は、又、パッシベーション層としても機能することが可能である。不図示のものは、該BOX層を介してのビアにより該CMOSエレクトロニクスへの各ピクセルの接続用電極である。該ピクセルのN領域は共通電極とすることが可能である。
図105Cは、図105Bと同様であるが、異なる点は、最初に反転ピラミッド10514を形成し、次いでNドーパントによる拡散及び/又はイオン注入を行う点である。図105A−Bにおける如く、該トレンチ及び該マイクロ穴は酸化物で埋設させることが可能である。該反転ピラミッドの横方向寸法は、ほぼ1波長、例えば、700−1,000nmとすることが可能であり、且つ該ピラミッドの端部から該ピクセルの端部までの距離は該物質内の約1波長、例えば,200−500nmとすることが可能である。光又は光学信号又は光学イメージが該マイクロ穴を有する該表面上に入射する。その波長はSiの場合に700−1,100nmの範囲とすることが可能であり、且つ、Ge又はGeSi合金を付加した場合には、その波長は700−2,100nmの範囲とすることが可能である。該光センサーはフォトダイオード又はアバランシェフォトダイオード、又は単一フォトンアバランシェフォトダイオードとすることが可能であり、且つ数十ピコ秒程度のライズタイムを有することが可能であり、且つ1GHz乃至100GHzの範囲の帯域幅を有することが可能であり、且つ、幾つかの場合に、GHz未満とすることが可能である。光学イメージ又は信号は該マイクロ穴を有する表面上に入射し且つ表面照射される。図105A−Cに示した装置は、時折、裏面照射型CMOSイメージセンサーとして知られている。
P及びN接合、及び、幾つかの場合に、PIN、及び、幾つかの場合に、PIPN,及び、幾つかの場合に、PIPIN(PとNとは図105A−Cに例示したような構造において交換可能である。しかしながら、最低ノイズのためには、アバランシェ利得のための電子のAPD/SAPDイオン化が好適である)の適宜のドーピング分布で、マイクロ穴を有するピクセルはフォトダイオード(PD)及び/又はアバランシェフォトダイオード(APD)及び/又は単一フォトンアバランシェフォトダイオード(SPAD)とすることが可能である。
図106は、図47Cに例示したような構造において、及び、本特許明細書に記載されているその他の新規なラテラルショットキーMSM構造において、マイクロストラクチャ穴即ちマイクロ穴での吸収の向上の実験結果を示している。吸収係数vs波長を、マイクロ穴を有するSiMSMフォトダイオード及びマイクロ穴を有するSiPIN垂直構造フォトダイオードと比較して、マイクロ穴の無いSi及びGaAs等の種々の物質に対してプロットしてある。大きなドットでの曲線10620は、マイクロストラクチャ穴即ちマイクロ穴を有するSiMSM噛み合い型ラテラルフォトダイオードの実験結果であり、その場合に、850nm波長における実効吸収係数は、マイクロストラクチャ穴が無いSiの吸収係数が535cm−1であるのと比較して35倍の18,000cm−1の実効吸収係数に向上されている。850nm波長において、マイクロストラクチャ穴の無いGaAsは約12,000cm−1の吸収係数を有している。これは、マイクロ穴を使用してのフォトントラッピングで、Siの吸収及び/又は量子効率が850nm−980nmの波長範囲においてGaAsのものよりも一層大きいことを示す最初のものである。
1ミクロン厚さの装置層及び2ミクロン厚さのBOX層を有するSOISiウエハ上に製造したマイクロ穴を有するSiMSMラテラル噛み合い型フォトダイオードは、逆バイアス(MSM構造においては、電流電圧はほぼ対称的であり且つ該装置は逆バイアス又は順方向バイアスのいずれでも動作させることが可能であり、例えば、図47Cに例示したような構造において、及び本特許明細書に記載されているようなM1及びM2の両方がショットキーコンタクトである場合のその他の新規なラテラルMSM構造においてである)で実験的に850nmにおいて85%の外部量子効率を達成する。マイクロストラクチャ穴の無い同等の光検知器は一層低いEQEで約10%又はそれ以下を有している。該マイクロ穴は約1波長であり且つ該マイクロ穴間の間隔は正方形格子において該物質内において約1波長であり、且つ該噛み合い型電極の幅は約300nmであり間隔は約1,000nmである。
1ミクロンの装置層を有しており、固有抵抗が1−10Ω・cmの範囲で、且つ直径が700nm−1,000nmの範囲の円筒状の穴を有していて、該穴の間の間隔が正方形格子において300nmであるSOIウエハ上のショットキー噛み合い型MSMフォトダイオードは、円で示したように、850nm波長においては35倍そして905nm波長においては37倍の吸収係数の向上があることを実験的に証明している。この様な向上は、図47Cに例示したような構造及び本特許明細書に記載されているその他の新規なラテラルショットキーMSM構造に関するものである。
図107A−Cは、単一のマイクロストラクチャ穴を有する1個のピクセルの簡単化した部分概略平面図及び断面図を示している。該ピクセルは、裏面照射CMOSイメージセンサー(BI−CIS)の場合におけるように、例えば、裏面側に形成することが可能であり、又は正面照射型CMOSイメージセンサー(FI−CIS)の場合の上部表面上に形成することが可能である。該ピクセルの横方向寸法は、1乃至5ミクロンの範囲とすることが可能である。例えばPN、PIN、PIPN等の適切なドーピング分布で、該ピクセルは、適切な逆バイアスで、フォトダイオード、又はアバランシェフォトダイオード、又は単一フォトンアバランシェフォトダイオードで動作することが可能である。該逆バイアス電圧は0.3V乃至40Vの範囲とすることが可能であり、且つ、幾つかの場合に、0.3V−3.3V、そして、幾つかの場合に、3.3V−15V、そして、幾つかの場合に、3.3V−35Vとすることが可能である。
図107Aは、ピクセルアレイの平面図を示しており、その場合に、各ピクセルは1個のマイクロストラクチャ穴を有しており、且つ各ピクセルは該BOX層へ部分的に又は完全にエッチングさせることが可能な分離トレンチで電気的に且つ光学的に分離させることが可能である(図107Cにおけるトレンチ10730及び10732参照)。更に、該トレンチ及び該マイクロストラクチャ穴はSi二酸化物等の誘電体で充填させることが可能である。不図示のものは、表面ドーパント領域を隣接するピクセルへ接続して共通コンタクトを形成する接続用電極である。幾つかの場合に、各ピクセルはそれ自身のアノードとカソードとを有することが可能であり、且つ、例えば、共通カソードを有するものではないことがある。データ通信のため、飛行時間適用例からの光学信号のため、又は光学イメージのために変調された光学信号とすることが可能な光信号は該穴が形成されている表面上に入射する。
図107Bは、ドーピングの例での単一ピクセルを示している。マイクロストラクチャ穴10712が該ピクセル内に形成されており、その横方向寸法は600nm−1,300nmの範囲であり、且つ、幾つかの場合に、400nm−1,300nmであり、且つ幾つかの場合に、700nm−1,000nmである。該マイクロストラクチャ穴はドライエッチングを使用して形成することが可能であり、且つ円形状、楕円状、矩形状、多角形状、アメーバ状等とすることが可能である。該マイクロストラクチャ穴は、更に、反転ピラミッドを形成するために、TMAHを使用してウエットエッチングさせることが可能である。マイクロストラクチャ穴10712は該Si内へ部分的に、又は該BOX層へ完全にエッチングさせることが可能である。ドーピングは拡散及び/又はイオン注入とすることが可能であり、例えばPドーパントを該マイクロストラクチャ穴の側壁内へ且つNドーパントを該Pドーパントを取り囲んでNドーパントを拡散又は注入させることが可能である。該NとPとは交換可能であり、該P及びNの適切なドーピング分布により、該装置をPD、APD、SPADモードで動作させることが可能である。
図107Cは、ピクセル内の単一のマイクロストラクチャ穴の簡単化した部分概略断面図を示しており、該マイクロストラクチャ穴10712は円筒状に示されており、且つ該Si内に部分的にエッチングされており、且つ該穴の壁及び底部に沿ってPドーパントが形成されており、且つ該Pドーパントに隣接してNドーパントが形成されている。該Si層の厚さは100nm乃至3,000nmの範囲とすることが可能であり、且つ、幾つかの場合に、300nm乃至3,000nm、そして、幾つかの場合に、30nm乃至300nmの範囲とすることが可能である。該SiはI又は低ドープN又はPとすることが可能である。幾つかの場合に、GeSi又はGe層を該Si上に成長させてSi/GeSi/Ge層を形成することが可能であり、その上に単一のマイクロストラクチャ穴を形成することが可能である。穴10712は該P型物質へ下方へ延在しており、それはI領域によって取り囲まれている。従って、実効的には、該穴は該I領域内にも延在している。該穴の深さは、半導体の本来的な結晶面が与えられる場合には、単結晶半導体内にエッチングされる反転ピラミッドの深さよりも一層大きいものとすることが可能である。接続用電極10720が該P領域(アノード)を該CMOSエレクトロニクスへ接続させ、且つ共通電極10722及び10724が隣接する電極を接続し、例えば、該カソードを図示したように形成することが可能であり且つこれらの電極は不図示のCMOSエレクトロニクスへ接続させることが可能である。幾つかの場合に、各ピクセルは該CMOSエレクトロニクスへ直接接続されているアノードとカソードとを有することが可能である。図107Cに示した例はBI−CISであり、その場合に、該CMOSエレクトロニクスと該検知器とは該BOX層の反対側上に設けられている。
幾つかの場合に、該マイクロストラクチャ穴の横方向寸法は、ほぼ、自由空間における公称波長を該マイクロストラクチャ穴を充填している物質の光学的屈折率で割り算することが可能である。
図108A−Cは、SOI基板上の薄いSi装置層のFDTDシミュレーションを示しており(図108A−Bにおける如く)、その場合に、該Siは30nm厚さであり、該BOX層はSi基板上で100nmである。図108Aにおける10820及び図108Bにおける10824の電極はHfO層10810によって埋設されている。円錐状の穴10812が250nmの厚さを有している該HfO上に形成されている。該Si上に形成されている電極は300nm幅で、50nm厚で、且つ100ミクロンの長さである。三角形状をした該円錐状穴10812の横方向寸法は800nmであり、正方形格子において1,000nmの周期である。該電極は該穴の下側とするか、又は該穴の下側としないことが可能である。図108Cに示した如く、点線の曲線10834は該電極が該穴の下側にある場合であり、ダッシュ線の曲線10832は該電極が該穴の間にある場合であり、且つ実線の曲線10830はどのような電極も無い場合である。波長800nm−950nmに対する計算において示されるように、これら3組の曲線の間の差異は5%未満であり、且つ該波長範囲にわたって30%よりも大きいか又は等しい吸収を有している。幾つかの場合に、該吸収は或る波長範囲において20%よりも大きいか又は等しい場合がある。1個又は複数個のマイクロストラクチャ穴を有する光検知器は、800nm−950nmの範囲における或る波長において、マイクロストラクチャ穴の無い同等の光検知器よりも一層高い外部量子効率を有することが可能である。
図109は、光トラッピング即ち捕獲構造の部分概略断面図を示している。マイクロ穴10914がSi/GeSi/Geとすることが可能なアクティブ層内にエッチングされており、且つ該マイクロストラクチャ穴はSiO等の誘電体で充填させることが可能であり、且つ、更に、該酸化物は100nm−3,000nmの厚さだけ延在することが可能であり、その上に付加的なマイクロストラクチャ穴10912を形成することが可能である。不図示のものは、垂直PIN、PN、PIPN、PIPIN光検知器用の電極、又はPD又はAPD又はSPADとすることが可能なラテラルPN、PIN、PIPN光検知器用の電極である。光学信号がマイクロストラクチャ穴10912を有する上部表面上に入射する。該BOX層はオプションとすることが可能であり、且つ20nm乃至2,000nm又はそれ以上の範囲の厚さを有することが可能である。該Si装置層は10nm乃至1,000nmの範囲の厚さを有することが可能であり、且つ該GeSi/Si層は100nm乃至1,000nmの範囲の厚さを有することが可能であり、それは該Si装置層上にエピタキシャル的に成長させることが可能であり、且つ、幾つかの場合に、選択的区域エピタキシャル成長を使用して成長させることが可能である。該Si/GeSi/Ge内に形成されている該マイクロストラクチャ穴10914は300nm乃至1,300nmの範囲の横方向寸法を有することが可能であり、且つ、幾つかの場合に、500nm−1,000nmであり、且つ、マイクロストラクチャ穴10914の間の間隔は100nm乃至500nmの範囲とすることが可能であり、且つ、幾つかの場合に、500nmを超えるものとすることが可能である。該マイクロストラクチャ穴10914の深さは、部分的に該Si/GeSi/Si内にエッチングさせるか又は完全に該BOX層へエッチングさせることが可能であり、又BOX層が無い場合には、該Si基板へエッチングさせることが可能である。該Si/GeSi/Si内のマイクロストラクチャ穴10914は、円筒状の断面を有することが可能であり、且つ、幾つかの場合に、円錐状の断面、そして、幾つかの場合に、反転ピラミッド等とすることが可能である。該酸化物は部分的に又は完全に該マイクロストラクチャ穴10914を充填することが可能であり、且つ100nm乃至5,000nmの範囲の厚さへ延在させることが可能である。幾つかの場合に、SiO等の誘電体を付着させる前にパッシベーションのために、該GeSi/Geマイクロストラクチャ穴の側壁上に薄い層の非晶質Siを形成させることが可能である。マイクロストラクチャ穴10912は該SiO又は誘電体上に形成させることが可能であり、それは該Si/GeSi/Ge内のマイクロストラクチャ穴10914と同時的とさせることが可能である。そして、幾つかの場合に、該誘電体内の該マイクロストラクチャ穴10912は同時的である必要はない。
該誘電体内の付加的なマイクロストラクチャ穴10912は、該Si/GeSi/Ge内のマイクロストラクチャ穴の横方向寸法よりも一層大きな横方向寸法を有することが可能である。該誘電体内の該付加的なマイクロストラクチャ穴10912は表面からの反射を減少させることに貢献し且つトラップしたフォトンを該半導体内のマイクロストラクチャ穴へ注ぐことを助ける。
マイクロストラクチャ穴10912の横方向寸法は約1波長であり、且つ該マイクロストラクチャ穴の間の間隔は該物質において約1波長である。その波長は、例えば、入射信号スペクトルの平均波長とすることが可能である。幾つかの場合に、該横方向寸法は、例えは、1波長未満、波長/2、そして、幾つかの場合に、1波長より大きいもの、又は波長×整数とすることが可能である。
本特許明細書において言及される殆どの場合にマイクロストラクチャ穴の横方向寸法は、何らかの誘電体で充填されておらず且つ空気又は真空のみで充填されているマイクロストラクチャ穴に対する横方向寸法であって、その場合の光学的屈折率は約1である。該マイクロストラクチャ穴が誘電体で部分的に又は完全に充填されている場合には、該マイクロストラクチャ穴の横方向寸法は、該マイクロストラクチャ穴内の誘電体/ボイドの実効光学的屈折率だけ減少される場合がある。例えば、空気中において充填されていない800nmの横方向寸法を有するマイクロストラクチャ穴は、もしもそれが約1.5の光学的屈折率を有するSiOで完全に充填されていた場合には、533nmの横方向寸法を有することとなる。マイクロストラクチャ穴の横方向寸法は、幾つかの場合に、それが誘電体で充填されている場合には、減少されることとなり、その場合に、その屈折率は例えば1より大きい(真空又は空気中のマイクロストラクチャ穴の横方向寸法)/(光学的屈折率)であり、且つ、幾つかの場合に、該マイクロストラクチャ穴が誘電体で部分的に充填されている場合には、実効光学的屈折率は該マイクロストラクチャ穴内の1又はそれ以上の誘電体の体積の比によって計算することが可能である。
図110A−Cは、幾つかの実施例に基づく、BOX層上のSi内の単一穴ピクセルのFDTDシミュレーションした光学的吸収、反射、及び透過を示している。図101A及び101Bに示したように、ピクセル寸法は1,300nm正方形であり、且つマイクロストラクチャ穴11012は直径が800nmで且つ該BOX層へエッチングされている。該Siの厚さは1ミクロンである。図101Cにおいて、シミュレーションが示すところでは、外部量子効率に比例する吸収は800−950nmの波長範囲において50%又はそれ以上となることが可能である。幾つかの場合に、その吸収は、或る波長において80%程の高さとなる場合がある。実線の曲線11020は吸収であり、ダッシュの曲線は反射で、点線の曲線は透過である。これらの曲線はA+R+T=1の式で関係付けられており、尚Aは吸収で、Rは反射で、Tは透過であり、それらは図110A−Bに示した単一マイクロストラクチャ穴ピクセルに対して垂直及び/又はほぼ垂直(±15度)で入射する光学フィールドのFDTDシミュレーションに対するものである。外部量子効率EQEは吸収に比例している。全ての光発生されたキャリアが、電圧バイアスを含むことが可能な外部回路へ接続されているアノード及びカソードによって回収され、且つ信号処理用ASICが該光発生されたキャリアを電気信号へ変換する場合には、そのEQEは吸収と等しく且つ800−950nm、且つ、幾つかの場合に、1,000nmまでの範囲における或る波長において50%又はそれ以上となる場合がある。いずれかの光発生されたキャリアが、例えば、再結合で失われる場合には、該EQEは該吸収よりも少なくなり、且つ、幾つかの場合に、800−950nm、且つ、幾つかの場合に、1,100nmまでの波長範囲における或る波長において、10−20%少なくなり、且つ、幾つかの場合に、20−50%少なくなり、且つ、幾つかの場合に、50−60%少なくなる。マイクロストラクチャ穴を有するピクセルは、或る波長において、マイクロストラクチャ穴の無いピクセルよりも一層高いEQEを有することが可能である。該シミュレーションは、単一穴ピクセルのものであり、その場合に該穴は空気以外の何らかの誘電体で充填されているものではない。幾つかの場合に、該マイクロストラクチャ穴の直径は、該穴が誘電体で充填されるか又は部分的に充填されるか又は埋設される場合には、減少させることが可能である。従って、該直径は、自由空間における直径を該誘電体物質の光学的屈折率で分割即ち割り算することによって近似的に与えることが可能である。幾つかの場合に、図109における如く、該誘電体表面からの反射を減少させ且つマイクロストラクチャ穴Siにおける光吸収を改善させるために、該誘電体内に付加的なマイクロストラクチャ穴を形成することが可能である。該ピクセルは誘電体で充填させることが可能なトレンチによって隣接するピクセルから分離させることが可能である。ピクセルの数は100×100乃至1,000×1,000乃至5,000×5,000又はそれ以上とすることが可能である。
明確性のためにこれまで幾らか詳細に記載してきたが、本発明の原理から逸脱すること無しに或る種の変形及び修正を行うことが可能であることは明らかである。注意すべきことは、本明細書に記載したプロセス及び装置の両方を実現するための多くの代替的な態様が存在していることである。従って、これらの実施例は制限的なものでは無く例示的なものとして解釈されるべきであり、本明細書に記載されている発明の要旨はここに記載されている詳細事項に制限されるべきものではなく、それは特許請求の範囲内及びその均等物の範囲内において修正することが可能なものであることに注意すべきである。

Claims (31)

  1. 基板の片側に感光性部分及び該基板の反対側にアクティブCMOS又はBiCMOSエレクトロニクス回路を有している集積化した単一チップ構成体において、
    前記基板の片側における前記感光性部分が少なくとも1個の光検知器を有しており、該光検知器は、Pドープ領域と、Nドープ領域と、該Nドープ領域と該Pドープ領域との間であって且つ前記感光性部分内に延在して故意に形成されている少なくとも1個の穴を有している低ドープ又は未ドープ半導体物質のI領域と、を有しており;
    前記I領域は基本的に本来的な結晶面を有する単結晶半導体物質であり、且つ前記少なくとも1個の穴は前記結晶面に沿う側部を有する反転ピラミッド穴の深さを超える深さへ前記光感光性部部分へ延在しており、
    前記基板の反対側における前記アクティブ回路は複数のアクティブ電子回路を包含しており、
    照射に応答して前記感光性部分によって発生された電気信号を、前記アクティブ電子回路による処理のために、前記アクティブ電子回路へ運ぶために接続用電極が構成されており、
    出力電極が前記アクティブ電子回路へ接続されており且つ前記アクティブ電子回路によって処理された電気信号を運び出す構成とされている、
    構成体。
  2. 前記Pドープ領域、Nドープ領域、及びI領域が垂直に配置されている請求項1記載の構成体。
  3. 前記少なくとも1個の穴が前記Pドープ領域及びNドープ領域の内の一方を貫通し且つ他方へ向かい延在している請求項2記載の構成体。
  4. 前記少なくとも1個の穴の側壁部分に前記Pドープ領域及びNドープ領域の内の一つの物質を包含している請求項3記載の構成体。
  5. 前記I領域が前記少なくとも1個の穴の閉じた端部において且つその少なくとも側壁部分に存在している請求項2記載の構成体。
  6. 前記Pドープ領域及びNドープ領域の内の一つが前記少なくとも1個の穴の少なくとも側壁部分に沿って延在している請求項2記載の構成体。
  7. 前記少なくとも1個の穴が前記Pドープ領域及びNドープ領域を貫通して延在している請求項2記載の構成体。
  8. 前記少なくとも1個の穴が互いに横方向にりかくされている複数の穴を有しており、且つ前記光感光性部分が複数の光検知器を有している請求項2記載の構成体。
  9. 更に、少なくとも1個のアバランシェフォトダイオード構造を有している請求項2記載の構成体。
  10. 更に、少なくとも1個の単一フォトンアバランシェフォトダイオード(SPAD)を有している請求項2記載の構成体。
  11. 前記Pドープ領域、Nドープ領域、且つI領域が横方向に配置されている請求項1記載の構成体。
  12. 前記Pドープ領域及びNドープ領域の内の一つが前記少なくとも1個の穴の閉じた端部及び側壁部分に存在している請求項11記載の構成体。
  13. 前記少なくとも1個の穴が前記Pドープ領域及びNドープ領域の内少なくとも一つを貫通して延在している請求項11記載の構成体。
  14. 前記少なくとも1個の穴が前記Pドープ領域及びNドープ領域の両方の中に延在している請求項13記載の構成体。
  15. 前記少なくとも1個の穴が前記Pドープ領域及びNドープ領域の両方を貫通して延在している請求項11記載の構成体。
  16. 前記少なくとも1個の穴が互いに横方向に離隔されている複数の穴を有しており、且つ前記光感光性部分が互いに横方向に離隔されている複数の光検知器を有している請求項11記載の構成体。
  17. 前記少なくとも1個の穴が前記Pドープ領域及びNドープ領域の内の一方において閉じた端部を有している請求項11記載の構成体。
  18. 更に、少なくとも1個のアバランシェフォトダイオード構造を有している請求項11記載の構成体。
  19. 更に、少なくとも1個の単一フォトンアバランシェフォトダイオード(SPAD)を有している請求項11記載の構成体。
  20. 集積化した単一チップ構成体において、
    低ドープ又は未ドープの第1半導体物質の複数のI領域であって、前記第1半導体物質内に延在する故意に形成した複数の第1穴によって互いに横方向に離隔されている複数のI領域と、
    一方の極性へドープされている前記第1半導体物質の複数の領域と、
    前記第1半導体物質とは異なり且つ各々が反対の極性へドープされており且つ前記一方の極性へドープされている複数の領域の横方向の間にあるトリプレットを有している第2半導体物質の複数の領域と、
    を有しており、
    前記第1半導体物質の隣接する複数の領域は前期第2半導体物質の前記トリプレットによって互いに横方向に離隔されており、
    複数の前記I領域、及び前記一方の極性へドープされている前記第1半導体物質の複数の領域、及び反対の極性へドープされている複数の前記領域が照射に応答して電気信号を発生する光検知器を構成しており、前記複数の穴の内の複数のものを同時に照射する照射が前記電気信号の夫々の一つに貢献し、及び
    前記第2半導体物質の複数の前記領域はアバランシェ構造として構成されている、
    構成体。
  21. 更に、前記一方の極性へドープされている前記第1半導体物質の複数の前記領域及び前記他方の極性へドープされている前記第2半導体物質の複数の前記領域へ夫々結合されている第1及び第2噛み合い型電極を有している請求項20記載の構成体。
  22. 前記一方の極性へドープされている前記第1半導体物質の複数の前記領域が前記第2半導体物質の複数の前記領域から垂直に離隔されている請求項20記載の構成体。¥
  23. 更に、複数の前記第1の穴の隣接するものの間の横方向に前記第1半導体物質内に付加的に故意に形成した複数の穴を有している請求項20記載の構成体。
  24. 集積化した単一チップ構成体において、
    低ドープ又は未ドープのSi半導体物質のI領域が設けられており、
    複数のPドープ領域及びNドープ領域が前記半導体物質内を延在しており、
    故意に形成した複数の穴が前記半導体物質内に延在しており且つ複数の前記ドープ領域を横方向に離隔させており、
    各Pドープ領域は前記複数の穴の内の少なくとも一つによって隣接するNドープ領域から横方向に離隔されており、
    複数の第1及び第2噛み合い型電極が、夫々、複数の前記Pドープ領域及び複数の前記Nドープ領域へ結合されており、
    複数の前記穴に同時的に入射する光に応答して電気信号を発生して前記光を表す夫々の共通電気出力を発生し且つギガヘルツデータレートで動作する構成とされている、
    構成体。
  25. 集積化した単一チップ構成体において、
    低ドープ又は未ドープのGe又はGe/Si合金半導体物質の第1I領域、
    低ドープ又は未ドープのSi半導体物質の第2I領域、
    一方の極性へドープされている前記Ge又はGe/Si物質における複数のドープ領域、
    反対の極性へドープされており且つ前記一方の極性へドープされている複数の前記領域から互いに横方向に離隔されている前記Si半導体物質における複数のドープ領域、
    前記Ge又はGeSi合金物質内に故意に形成した複数の穴、
    複数の前記Pドープ領域へ及び複数の前記Nドープ領域へ夫々結合されている複数の第1及び第2噛み合い型電極、
    を有しており、光に応答して電気信号を発生し且つギガヘルツデータレートで動作する構成とされている構成体。
  26. 集積化した単一チップ構成体において、
    低ドープ又は未ドープのGe又はGeSi合金半導体物質のI領域、
    前記半導体物質内を延在する複数のPドープ領域及びNドープ領域、
    前記半導体物質内へ延在しており且つ前記ドープ領域を互いに横方向に離隔させている故意に形成した複数の穴であって、前記複数の穴の内の少なくとも一つによって各Pドープ領域が隣接するNドープ領域から横方向に離隔されている複数の穴、
    前記ドープ領域の反対側で前記Ge又はGeSi領域の側部に沿って延在している低ドープSi領域、
    一方の極性へドープされている複数の前記領域及び反対の極性へドープされている複数の前記領域へ夫々結合されている複数の第1及び第2噛み合い型電極、
    を有しており、光に応答して電気信号を発生し且つギガヘルツデータレートで動作する構成とされている構成体。
  27. 集積化した単一チップ構成体において、
    低ドープ又は未ドープであるSi半導体物質のI領域、
    一方の極性へドープされている前記Si半導体物質の複数の第1領域、
    反対極性へドープされている前記Si半導体物質の複数の第2領域及び前記一方の極性へドープされている前記Si半導体物質の複数の第3領域であって、複数の前記第2領域と前記第3領域とが複数のトリプレットを形成しており、各トリプレットは反対極性へドープされている複数の前記第2領域の内の一つであって前記一方の極性へドープされている複数の前記第2領域の内の2つの間に横方向に位置されている前記一つを包含しており、複数の前記第1領域の内の隣接するものは複数の前記トリプレットの内の少なくとも一つによって互いに横方向に離隔されている複数の前記第2及び第3領域、
    前記I領域内へ延在している故意に形成した複数の穴であって、複数の前記第1領域の内の一つと複数の前記トリプレットの内の一つとからなる各隣接する対の間に横方向にある複数個の穴、
    を有しており、複数の前記I領域及びお複数の前記第2領域が照射に応答して電気信号をを発生する光検知器として構成されており且つ複数の前記トリプレットがアバランシェ構造に構成されている構成体。
  28. 基板の片側に感光性部分を且つ前記基板の反対側にアクティブCMOS又はBiCMOS電子回路を有している集積化した単一チップ構成体において、
    前記基板の片側における前記感光性部分が複数の領域の複数の組を有しており、各組はPドープ領域、Nドープ領域、前記Pドープ領域と前記Nドープ領域との間である低ドープ又は未ドープ半導体物質のI領域、を有しており、
    複数の穴が前記感光性部分内に延在しており且つ前記複数の組の間に横方向に位置されており、
    前記基板の反対側における前記アクティブ回路が複数のアクティブ電子要素を有しており、
    複数の第1及び第2電極が、夫々、複数の前記Pドープ領域及び複数の前記Nドープ領域へ接続しており且つ照射に応答して前記感光性部分によって発生された電気信号を処理のために前記アクティブ電子回路へ運ぶ構成とされており、
    複数の前記組の内の少なくとも2つが接続されて前記電気信号を一つの共通信号へ結合させ、
    出力電極が前記アクティブ電子回路へ接続されており且つ前記アクティブ電子回路によって処理された電気信号を運び出す構成とされている、
    構成体。
  29. 複数の前記組の各々が複数の領域の垂直積層体を構成している請求項28記載の構成体。
  30. 複数の前記組の各々の複数の前記領域が互いに横方向に離隔されている請求項28記載の構成体。
  31. 服須の前記穴が非周期的なアレイに配置されている請求項28記載の構成体。
JP2020131831A 2019-08-01 2020-08-03 マイクロストラクチャ向上型吸収感光装置 Pending JP2021027358A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/528,958 US11121271B2 (en) 2013-05-22 2019-08-01 Microstructure enhanced absorption photosensitive devices
US16/528,958 2019-08-01

Publications (1)

Publication Number Publication Date
JP2021027358A true JP2021027358A (ja) 2021-02-22

Family

ID=71950401

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020131831A Pending JP2021027358A (ja) 2019-08-01 2020-08-03 マイクロストラクチャ向上型吸収感光装置

Country Status (3)

Country Link
EP (1) EP3772104A1 (ja)
JP (1) JP2021027358A (ja)
CN (1) CN112582387A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7097137B1 (ja) * 2022-03-16 2022-07-07 株式会社オプトハブ 光検出装置
WO2022244384A1 (ja) * 2021-05-20 2022-11-24 ソニーセミコンダクタソリューションズ株式会社 光検出装置および測距装置

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4059055A4 (en) * 2019-09-24 2023-12-27 W&WSENS Devices, Inc. PHOTOSENSITIVE DEVICES WITH IMPROVED ABSORPTION BY MICROSTRUCTURES
WO2022256923A1 (en) * 2021-06-09 2022-12-15 Socpra Sciences Et Genie S.E.C. Opto-electrical insulated frontside illuminated 3d digital silicon photomultiplier
CN113782640B (zh) * 2021-09-10 2023-02-21 中国科学院半导体研究所 基于石墨烯-cmos单片集成的探测器芯片的制备方法及系统
CN114551625A (zh) * 2022-02-21 2022-05-27 电子科技大学 一种激光阵列刻蚀ws2晶体的快速响应光导型探测器和制备方法
CN116207168A (zh) * 2023-04-28 2023-06-02 北京心灵方舟科技发展有限公司 一种偏振敏感硅光电倍增管及其制作工艺方法
CN116884981B (zh) * 2023-06-07 2024-04-23 边际科技(珠海)有限公司 一种响应0.85微米雪崩二极管与平面透镜的集成结构及其制程
CN117423716B (zh) * 2023-12-19 2024-04-09 合肥晶合集成电路股份有限公司 背照式半导体结构刻蚀方法及刻蚀装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637951B2 (en) * 2008-01-10 2014-01-28 Nec Corporation Semiconductor light receiving element and optical communication device
WO2016081476A1 (en) 2014-11-18 2016-05-26 Shih-Yuan Wang Microstructure enhanced absorption photosensitive devices
WO2014190189A2 (en) 2013-05-22 2014-11-27 Shih-Yuan Wang Microstructure enhanced absorption photosensitive devices
WO2019018846A2 (en) * 2017-07-21 2019-01-24 W&Wsens, Devices Inc. PHOTOSENSITIVE ABSORPTION DEVICES ENHANCED BY MICROSTRUCTURES
WO2017112747A1 (en) 2015-12-21 2017-06-29 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices
WO2019089437A1 (en) * 2017-10-30 2019-05-09 W&wsens Devices Inc. Microstructure enhanced absorption photosensitive devices
US9755096B2 (en) 2014-03-10 2017-09-05 Elenion Technologies, Llc Lateral Ge/Si avalanche photodetector

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022244384A1 (ja) * 2021-05-20 2022-11-24 ソニーセミコンダクタソリューションズ株式会社 光検出装置および測距装置
JP7097137B1 (ja) * 2022-03-16 2022-07-07 株式会社オプトハブ 光検出装置
WO2023175762A1 (ja) * 2022-03-16 2023-09-21 株式会社オプトハブ 光検出装置

Also Published As

Publication number Publication date
CN112582387A (zh) 2021-03-30
EP3772104A1 (en) 2021-02-03

Similar Documents

Publication Publication Date Title
US11121271B2 (en) Microstructure enhanced absorption photosensitive devices
JP2021027358A (ja) マイクロストラクチャ向上型吸収感光装置
US20210242354A1 (en) Microstructure enhanced absorption photosensitive devices
US10468543B2 (en) Microstructure enhanced absorption photosensitive devices
US10700225B2 (en) Microstructure enhanced absorption photosensitive devices
US10446700B2 (en) Microstructure enhanced absorption photosensitive devices
JP7429084B2 (ja) マイクロストラクチャ向上型吸収感光装置
JP6875987B2 (ja) マイクロストラクチャ向上型吸収感光装置
US20210273120A1 (en) Photodetectors, preparation methods for photodetectors, photodetector arrays, and photodetection terminals
US10914892B2 (en) Germanium photodetector coupled to a waveguide
KR20210068585A (ko) 고감도 광자 혼합 구조물들 및 그 어레이들을 포함하는 고 양자 효율 가이거 모드 애벌란치 다이오드들
KR20110131171A (ko) 포토 다이오드 및 포토 다이오드 어레이
CN101490854A (zh) 半平面雪崩光电二极管
WO2019089437A1 (en) Microstructure enhanced absorption photosensitive devices
US20220149098A1 (en) Microstructure enhanced absorption photosensitive devices
EP3872870A1 (en) Photoelectric detector, manufacturing method, and laser radar system
EP4059055A1 (en) Microstructure enhanced absorption photosensitive devices
US20210263155A1 (en) Apparatus and method for optical sensing using an optoelectronic device and optoelectronic device arrays
EP1204148A2 (en) Planar resonant cavity enhanced photodetector
Bhatnagar et al. Pump-probe measurements of CMOS detector rise time in the blue
US11340403B2 (en) Photonic component with distributed Bragg reflectors
EP4179580A1 (en) Microled based time of flight system
TWI838208B (zh) 裝置及其操作方法
EP4214540A1 (en) Microstructure enhanced absorption photosensitive devices
TW202331370A (zh) 裝置及其操作方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230726

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20240112