JP7429084B2 - マイクロストラクチャ向上型吸収感光装置 - Google Patents

マイクロストラクチャ向上型吸収感光装置 Download PDF

Info

Publication number
JP7429084B2
JP7429084B2 JP2020502957A JP2020502957A JP7429084B2 JP 7429084 B2 JP7429084 B2 JP 7429084B2 JP 2020502957 A JP2020502957 A JP 2020502957A JP 2020502957 A JP2020502957 A JP 2020502957A JP 7429084 B2 JP7429084 B2 JP 7429084B2
Authority
JP
Japan
Prior art keywords
cases
layer
photodetector
range
gesi
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020502957A
Other languages
English (en)
Other versions
JPWO2019018846A5 (ja
JP2020537816A (ja
Inventor
シー-ユアン ワン,
シー-ピン ワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
W&wsens Devices Inc
Original Assignee
W&wsens Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by W&wsens Devices Inc filed Critical W&wsens Devices Inc
Publication of JP2020537816A publication Critical patent/JP2020537816A/ja
Publication of JPWO2019018846A5 publication Critical patent/JPWO2019018846A5/ja
Application granted granted Critical
Publication of JP7429084B2 publication Critical patent/JP7429084B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/108Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the Schottky type
    • H01L31/1085Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the Schottky type the devices being of the Metal-Semiconductor-Metal [MSM] Schottky barrier type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022408Electrodes for devices characterised by at least one potential jump barrier or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022466Electrodes made of transparent conductive layers, e.g. TCO, ITO layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • H01L31/02363Special surface textures of the semiconductor body itself, e.g. textured active layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L31/03046Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds including ternary or quaternary compounds, e.g. GaAlAs, InGaAs, InGaAsP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035272Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions characterised by at least one potential jump barrier or surface barrier
    • H01L31/035281Shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/105Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PIN type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/107Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier working in avalanche mode, e.g. avalanche photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/12Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto
    • H01L31/125Composite devices with photosensitive elements and electroluminescent elements within one single body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1812Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only AIVBIV alloys, e.g. SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0233Mounting configuration of laser chips
    • H01S5/02345Wire-bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0235Method for mounting laser chips
    • H01S5/02375Positioning of the laser chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/10Construction or shape of the optical resonator, e.g. extended or external cavity, coupled cavities, bent-guide, varying width, thickness or composition of the active region
    • H01S5/18Surface-emitting [SE] lasers, e.g. having both horizontal and vertical cavities
    • H01S5/183Surface-emitting [SE] lasers, e.g. having both horizontal and vertical cavities having only vertical cavities, e.g. vertical cavity surface-emitting lasers [VCSEL]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Light Receiving Elements (AREA)
  • Photometry And Measurement Of Optical Pulse Characteristics (AREA)

Description

本願は2017年10月30日に出願した米国特許出願第15/797821号の一部継続出願である。
本願は、又、2015年11月20日に出願した米国特許出願第14/947718号、及びWO2017/112747として公開された2016年12月21日に出願した国際特許出願PCT/US16/67977の各々一部継続出願でもある。
本願は、上記3つの特許出願の各々を引用により取り込むと共にその出願日の優先権を主張し、更にそれらが直接的に又は間接的に引用により取り込む出願であって米国仮出願、米国非仮出願及び国際出願を含む出願の優先権及びそれらが主張する優先権を主張する。
前記出願番号第15/797821号は、(i)米国特許出願第15/309922号(今は特許第9818893号)、(ii)米国特許出願第14/943898号(今は特許第9530905号)、(iii)米国特許出願第14/945003号(今は特許第9525084号)、及び国際特許出願PCT/US15/061120各々の継続出願であり且つ各々を引用により取り込むと共に各々及びそれらが優先権を主張する米国仮特許出願の各々の出願日の優先権を主張する。
前記出願番号第14/947718号は、WO2014/190189として公開された国際特許出願PCT/US14/39208の継続出願であり、且つ各々を引用により取り込み且つその出願日及びそれが優先権を主張する米国仮特許出願の各々の出願日の優先権を主張する。米国出願番号第14/892821号は、今は特許第9496435号であるが、前記PCT/US14/39208の国内段階出願である。
本特許出願は、以下の仮出願の各々の優先権を主張すると共に各々を引用により取り込む。
米国仮出願番号第62/535801号、2017年7月21日出願;
米国仮出願番号第62/540524号、2017年8月2日出願;
米国仮出願番号第62/542243号、2017年8月7日出願;
米国仮出願番号第62/547723号、2017年8月18日出願;
米国仮出願番号第62/553844号、2017年9月2日出願;
米国仮出願番号第62/556426号、2017年9月10日出願;
米国仮出願番号第62/561869号、2017年9月22日出願;
米国仮出願番号第62/591072号、2017年11月27日出願;
米国仮出願番号第62/599246号、2017年12月15日出願;
米国仮出願番号第62/607860号、2017年12月19日出願;
米国仮出願番号第62/615314号、2018年1月9日出願;
米国仮出願番号第62/623971号、2018年1月30日出願;
米国仮出願番号第62/628764号、2018年2月9日出願;
米国仮出願番号第62/631630号、2018年2月17日出願;
米国仮出願番号第62/633514号、2018年2月21日出願;
米国仮出願番号第62/634692号、2018年2月23日出願;
米国仮出願番号第62/637945号、2018年3月2日出願;
米国仮出願番号第62/639356号、2018年3月6日出願;
米国仮出願番号第62/639472号、2018年3月6日出願;
米国仮出願番号第62/639920号、2018年3月7日出願;
米国仮出願番号第62/640522号、2018年3月8日出願;
米国仮出願番号第62/643010号、2018年3月14日出願;
米国仮出願番号第62/645810号、2018年3月21日出願;
米国仮出願番号第62/646871号、2018年3月22日出願;
米国仮出願番号第62/651053号、2018年3月30日出願;
米国仮出願番号第62/651087号、2018年3月31日出願;
米国仮出願番号第62/652830号、2018年4月4日出願;
米国仮出願番号第62/659067号、2018年4月17日出願;
米国仮出願番号第62/659072号、2018年4月17日出願;
米国仮出願番号第62/662217号、2018年4月24日出願;
米国仮出願番号第62/666005号、2018年5月2日出願;
米国仮出願番号第62/669194号、2018年5月9日出願;
米国仮出願番号第62/675130号、2018年5月22日出願;
米国仮出願番号第62/677609号、2018年5月29日出願;及び
米国仮出願番号第62/682909号、2018年6月9日出願。
上に参照した仮及び非仮特許出願の全ては、本書においては「共通譲渡組込出願」として集約的に参照することとする。
本特許明細書は、主に、感光装置に関するものである。更に詳細には、幾つかの実施例はマイクロストラクチャ向上型吸収特性を具備する感光装置及び同一のチップ上又はチップ内のアクティブ電子回路とモノリシックに集積化した感光装置に関するものである。
オプチカルファイバ通信は、遠隔通信、大型データセンター内の通信、及びデータセーター間の通信等の適用例において広く使用されている。一層短い光学波長を使用することに関連する減衰損失のために、殆どのオプチカルファイバデータ通信は800nm及びそれより長い光学波長を使用している。オプチカルファイバ通信システムにおいて使用される光学受信機主要な部品は、通常、フォトダイオード(PD)又はアバランシェフォトダイオード(APD)の形態での光検知器である。
高品質で低ノイズのAPDはシリコンから作ることが可能である。しかしながら、シリコンは可視領域及び近赤外領域における光を吸収するが、それよりも一層長い光学波長においては一層透過性となる。装置の吸収「I」領域の厚さを増加させることによって、シリコンPD及びAPDは800nm及びそれよりも一層長い光学波長のために作ることが可能である。しかしながら、適切な量子効率(外部量子効率としても知られている)を得るために、シリコン「I」領域の厚さは非常に大きくなり、その結果、装置の最大帯域幅(「データレート」とも言及される)は、多くの現在の及び将来の遠隔通信及びデータセンター適用例に対して低すぎるものとなる。
シリコンPD及びAPDが一層長い波長及び一層高い帯域幅とに関連して有している内在的な問題を回避するために、その他の物質が使用されている。ゲルマニウム(Ge)APDは2000nmの波長までの赤外線を検知するが、比較的高い増倍ノイズを有している。InGaAsのAPDは1600nmよりも一層長い波長を検知することが可能であり且つGeよりも一層少ない増倍ノイズを有するものであるが、その増倍ノイズはシリコンAPDよりも著しく一層大きいものである。InGaAsは、最も典型的には基板として且つ増倍層としてInPが関与する場合のヘテロ構造ダイオードの吸収領域として使用されることが知られている。この物質系は約900乃至1700nmの吸収窓と適合性がある。しかしながら、InGaAsのPD及びAPD装置は比較的高価であり且つシリコンと比較した場合に比較的高い増倍ノイズを有しており、単一のチップSiエレクトロニクスと集積化させることは困難である。
光検知器の事業における主要な会社によって発表されている情報(http://files.shareholder.
com/downloads/FNSR/0x0x382377/0b3893ea-fb06-417d-ac71-84f2f9084b0d/Finisar_Presentation.pdf参照のこと)が10頁において表明していることは、光学通信装置に対する現在の市場は70億米国ドルを超えるものであり、年平均成長率は12%であるとのことである。850-950nm波長用に使用される(PD)はGaAs物質を使用し、且つ1550-1650nm波長フォトダイオードはInP物質に基づいており、そのことは、両者共高価であり且つSiを基礎とするエレクトロニクスと集積化させることが困難であることを示している。従って、そこには大きな市場があり且つより良い装置の開発に対して未だに満足されていない長く期待されている必要性が存在している。今日までのところ、本発明者等の知るところによれば、上部表面又は底部表面照明型で、データレートが少なくとも25Gb/sで、且つ市販されている単一チップ上CMOS/BiCMOSシリコンエレクトロニクスとモノリシックに集積化されているもので、850-950nm用のSi物質を基礎としたフォトダイオードもアバランシェ(APD)も存在していないし、1550-1650nm用のGeオンSi(即ち、Siの上にGe)物質を基礎としたフォトダイオードもアバランシェフォトダイオードも存在していない。しかしながら、この巨大な市場にたいしてより良い装置を開発するための努力が欠如していたわけではない。例えば、Si物質内に製造したレゾナントフォトダイオードに対する提案がなされているが(「エピタキシャル横方向過剰成長により成長させたレゾナントキャビティ向上型高速Siフォトダイオード(Resonant-Cavity-Enhanced High-Speed Si Photodiodes Grown by Epitaxial Lateral Overgrowth)、Schaub et al.、IEEE PHOTONICS TECHNOLOGY LETTERS, VOL. 11, NO. 12, December 1999」、それらは既知の市場に到達したわけではない。導波路形態におけるその他の形式の高速フォトダイオードが提案されており、例えば、「40GHzSi/Ge単一走行キャリア導波路(40 GHz Si/Ge uni-travelling carrier waveguide photodiode)」、Piels et al.、DOI 10.1109/JLT.2014.2310780、Journal of Lightwave Technology(引用により本書に取り込む);「340GHz利得-帯域幅製品でのモノリシックゲルマニウム/シリコンアバランシェフォトダイオード(Monolithic germanium/silicon avalanche photodiodes with 340 GHz gain-bandwidth product)」、NATURE PHOTONICS | VOL 3 | JANUARY 2009 | www.nature.com/naturephotonics (引用により本書に取り込み且つ本書においては「Kang et al.2009」として参照する);「大断面積シリコン・オン・インシュレータ導波路とモノリシックに集積化させた高速Ge光検知器(High-speed Ge photodetector monolithically integrated with large cross-section silicon-on-insulator waveguide)、Feng et al.、Appliled Physics Letters 95, 261105(2009), doi; 10.1063/1.3279129(引用により本書に取り込む)におけるものなどがあり、その場合に、光は端部から光学導波路内に結合され且つ1550nmにおけるGeの弱い吸収係数を補償するために吸収長は100μm以上とすることが可能なものである。これらの以前に提案されている導波路フォトダイオード構造においては、光は導波路の長さに沿って伝播し且つこの導波路形態においては光の伝播方向と電界の方向とが支配的に垂直であるようにPIN導波路を横断して電界が印加される。Si内の光は電子/正孔の飽和速度よりも約1,000倍速く伝播するので、導波路PDは例えば200ミクロン長とすることが可能であり且つPINにおける「I」は例えば2ミクロンとすることが可能であり、且つ10Gb/sを超える帯域幅を達成することが可能である。この様な光の端部結合は、本特許明細書に記載するような表面照明と比較してパッケージングにおいてコスト高となり、その場合に、光伝播の方向における断面の寸法は、公知の表面照明型フォトダイオード又はアバランシェフォトダイオードの場合には数十ミクロンであるのと比較して、典型的には数ミクロンである。公知のPD/APDは、しばしば、単一モード光学系であるに過ぎず、一方、本特許明細書に記載する表面照明型PD/APDは単一モード及びマルチモードの両方の光学系において使用することが可能である。更に、公知の導波路フォトダイオードはウエハレベルでテストすることが困難であるが、一方、本特許明細書に記載する表面照明型フォトダイオードは容易にウエハレベルでテストすることが可能である。公知の導波路フォトダイオード/アバランシェフォトダイオードは殆どが特別のフォトニック回路において使用されるものであり、且つ、多くの場合に、注意深い温度制御を必要とし、そのことはコスト高となると共に厳しいデータセンター環境において非効率的である場合がある。Siと集積化させることが可能な上部または底部照明型のSi及びGeオンSi、又はGeSiオンSiのPD/APDは、850-950nm、1250-1350nm、及び1550-1650nmの波長において25Gb/s以上のデータレートで市販されているものは本発明者等にとって不知である。対照的に、本特許明細書に記載するようにSiを基礎とした物質上のフォトダイオードは単一のSiチップ上の集積化した電子回路とモノリシックに集積化させることが可能であり、それによりパッケージングのコストを著しく減少させる。更に、本特許明細書に記載される850nm、1300nm、1550nm公称波長におけるマイクロストラクチャ型PD/APDは、短距離(短いリーチ)、中距離(リーチギャップ)、長距離(長いリーチ)、300メートル未満の距離、ある場合には2000メートル未満、ある場合には10000メートル未満、及びある場合には10000メートルを超える光学データ伝送に対して支配的なものとすることが可能である。マイクロストラクチャPD/APDの入射光ビーム及びPIN又はNIP構造の「I」領域における電界の方向は、支配的に同一線上及び/又はほぼ同一線上のものとすることが可能である。本特許明細書に記載するラテラル即ち横方向のPD及びAPDにおいては、電界と光伝播とは異なる方向とすることが可能であるが、尚且つその吸収層は、同等のデータレート及び/又は吸収及び量子効率に対して、本発明者等が既知の装置におけるものよりも著しく一層薄いものとすることが可能である。本特許明細書はこの様な装置を可能なものとさせ且つ現在のデータセンターをブレード間、ブレード内、ラック間及び/又はデータセンター間の殆ど全ての光学データ伝送へ変換させるものと期待され、そのことはデータ伝送帯域幅能力を大きく増加させ且つ電力の使用を著しく減少させるものとなる。
本書においてクレームしている要旨はいずれかの特定の欠点を解消する実施例又は上述したような環境においてのみ動作する実施例に制限されるものではない。そうではなく、この背景技術は本書において記載される幾つかの実施例が実施される場合がある一つの例示的な技術分野を示すために与えられているに過ぎない。
本特許明細書において引用されている各公開文書は引用により本書に取り込まれるものである。
「エピタキシャル横方向過剰成長により成長させたレゾナントキャビティ向上型高速Siフォトダイオード(Resonant-Cavity-Enhanced High-Speed Si Photodiodes Grown by Epitaxial Lateral Overgrowth)」、Schaub et al.、IEEE PHOTONICS TECHNOLOGY LETTERS, VOL. 11, NO. 12, December 1999 「40GHzSi/Ge単一走行キャリア導波路(40 GHz Si/Ge uni-travelling carrier waveguide photodiode)」、Piels et al.、DOI 10.1109/JLT.2014.2310780、Journal of Lightwave Technology 「340GHz利得-帯域幅製品でのモノリシックゲルマニウム/シリコンアバランシェフォトダイオード(Monolithic germanium/silicon avalanche photodiodes with 340 GHz gain-bandwidth product)」、NATURE PHOTONICS | VOL 3 | JANUARY 2009 | www.nature.com/naturephotonics 「大断面積シリコン・オン・インシュレータ導波路とモノリシックに集積化させた高速Ge光検知器(High-speed Ge photodetector monolithically integrated with large cross-section silicon-on-insulator waveguide)、Feng et al.、Appliled Physics Letters 95, 261105(2009), doi; 10.1063/1.3279129
幾つかの実施例によれば、横方向マイクロストラクチャ向上型光検知器が、一つ又はそれ以上の横方向に延在しており非ドープ又は低ドープの半導体物質からなるI層と、互いに横方向に離隔されており且つ前記一つ又はそれ以上のI層と電気的に結合されており且つその中に横方向に延在する電界を発生させる形態とされている噛み合い電極と、前記光検知器内に横方向に延在する複数個の故意に形成した孔を具備しているマイクロストラクチャと、を有しており、前記一つ又はそれ以上のI層は前記孔によるその貫通を除いて連続的であり、前記孔は前記I層を横断する方向において高さを有しており、前記光検知器は前記一つ又はそれ以上のI層を横断する方向において各々が複数個の前記孔を取り囲む一つ又はそれ以上の領域の各々において空間的に連続的な光での照明に前記照明に依存する電気的出力を発生することにより応答する形態とされており、及び前記孔は前記孔の無いそうでなければ同一の光検知器の電気的応答と比較して一つ又はそれ以上の選択した波長範囲において前記光に対する前記光検知器の望ましい電気的応答を向上させる。
幾つかの実施例によれば、該光検知器は、更に、モノリシックチップと前記チップの上又は中において前記光検知器とモノリシックに集積化されており且つ前記電気的出力を受け取り且つ処理するために前記電極と電気的に結合されている一つ又はそれ以上のアクティブ電子回路とを包含することが可能であり、該アクティブ電子回路は複数(同一のチップの上又は中において2個又はそれ以上のそのような回路)とすることが可能であり、更に、一つ又はそれ以上の発光装置を同一のチップへマウントさせ且つそれにより駆動されるべく該チップの上又は中における該一つ又はそれ以上のアクティブ電子回路と結合させることが可能であり、前記孔の少なくとも幾つかは前記電極間において横方向とすることが可能であり、前記電極は前記孔の少なくとも幾つかの上に横たわることが可能であり、該光検知器は、更に、前記一つ又はそれ以上のI層の上に被覆層を包含することが可能であり、且つ前記孔は前記被覆層におけるのみとすることが可能であり、前記孔は前記一つ又はそれ以上のI層の上部表面から下方へ部分的にのみ延在することが可能であり、前記孔は例えばエッチング又は付着等のプロセスによって形成されている前記I層の前記半導体物質によって互いに横方向に離隔されている誘電体物質からなる島状部を有することが可能であり、前記一つ又はそれ以上のI層は底部表面を有することが可能であり且つ前記
孔の内の少なくとも幾つかは前記底部表面から前記一つ又はそれ以上のI層内へ上方へ延在することが可能であり且つ前記一つ又はそれ以上のI層のものとは異なる電気的特性を具備する物質を有することが可能であり、前記孔の内の幾つかは前記上部表面から前記一つ又はそれ以上のI層内へ下方へ延在し且つ前記孔の内の幾つかは前記底部表面から前記一つ又はそれ以上のI層内へ上方へ延在することが可能であり、該孔は誘電体物質を有することが可能であり、該孔は該一つ又はそれ以上のI層の半導体物質とは異なる電気的及び/又は光学的特性を具備している半導体を有することが可能であり、前記電極は前記一つ又はそれ以上のI層によって横方向に離隔されており且つ前記光検知器において少なくとも1個のPN接合を形成している横方向に延在している導体とその下側のドープされている半導体物質領域とを有することが可能であり、該光検知器は更に少なくとも1個のドープされている半導体領域を有することが可能であり且つ前記電極は横方向に延在する導体と前記導体の内の一つ又はそれ以上の下側で且つそれとオーミックコンタクトをしている付加的なドープされている半導体物質領域とを有することが可能であり、前記ドープされている領域は前記一つ又はそれ以上のI層によって横方向に離隔されており且つ前記光検知器において少なくとも1個のPN接合を形成しており、該PN接合を形成している該物質は接触することが可能であり、前記電極は前記光検知器においてショットキー接合を形成している横方向に延在している導体を有することが可能であり、前記電極の内の少なくとも2個は前記一つ又はそれ以上のI層の上部表面と相対的に垂直位置において互いに異なることが可能であり、前記電極及び前記一つ又はそれ以上のI層は実質的に同一のレベルにある上部及び/又は底部表面を有することが可能であり、該光検知器は更に前記電極の少なくとも一部の上に光吸収物質からなる層を包含することが可能であり、該光検知器は更に前記電極の少なくとも一部の上に光吸収物質からなる層を包含することが可能であり、該光吸収層はノノ(nono)構造型上部表面を有することが可能であり、ノノ構造型上部表面を具備する該光吸収層は該電極及び該一つ又はそれ以上のI層の少なくとも一部の上とすることが可能であり、及び/又は該光検知器は更に前記光検知器において一つ又はそれ以上のPN接合を形成している前記一つ又はそれ以上のI層の少なくとも一部の上にドープされている半導体からなる層を包含することが可能であり、該PN接合を形成している該物質は接触することが可能である。
幾つかの実施例によれば、マイクロストラクチャ向上型光検知器は、一つ又はそれ以上の横方向に延在している非ドープ又は低ドープの半導体物質からなるI層と、前記一つ又はそれ以上のI層と電気的に接続されており且つその中に電界を発生させる形態とされている互いに離隔されている電極と、前記光検知器において横方向に延在している複数個の故意に形成した孔を有しているマイクロストラクチャとを有しており、前記一つ又はそれ以上のI層は前記孔によるその貫通を除いて連続的であり、前記光検知器は照明に依存する電気的出力を発生することにより前記一つ又はそれ以上のI層を横断する方向において各々が複数個の前記孔を取り囲んでいる一つ又はそれ以上の領域の各々において空間的に連続的な光での照明に応答する形態とされており、及び前記孔は前記孔が無いそうでなければ同一の光検知器の電気的応答と比較して一つ又はそれ以上の選択した波長範囲における光に対する前記光検知器の望ましい電気的応答を向上させる。
幾つかの実施例によれば、前のパラグラフに記載した光検知器は、幾つかの例において前記電界が前記一つ又はそれ以上のI層を横断する方向において延在するため、幾つかの例において前記電界が横方向に延在するため、モノリシックチップと前記チップの上又は中において前記光検知器とモノリシックに集積化されており且つ前記電気的出力を受け取り且つ処理するために前記電極と電気的に結合されている一つ又はそれ以上のアクティブ電子回路とを包含させるため、更にモノリシックチップと前記チップの上又は中において前記光検知器とモノリシックに集積化されており且前記電気的出力を受け取り且つ処理するために前記電極と電気的に結合されている複数個の電子回路及び前記単一チップにマウントされており且それにより駆動されるべく一つ又はそれ以上のアクティブ電子回路へ結合されている一つ又はそれ以上の発光装置を包含するため、更に前記一つ又はそれ以上のI層の上に被覆層であって前記孔が前記被覆層内にのみ存在する前記被覆層を包含するため、前記孔が前記一つ又はそれ以上のI層の上部表面から下方へ部分的にのみ延在するような前記孔を包含するため、前記一つ又はそれ以上のI層に上部表面及び底部表面を与え且つ前記孔を前記上部表面から前記底部表面へ下方へ延在させるため、前記一つ又はそれ以上のI層のものとは異なる電気的及び/又は光学的特性を具備する固体物質からなる島状部であって前記I層の前記半導体物質によって互いに横方向に離隔されており且つその
内の少なくとも幾つかはエッチング又は付着等のプロセスによって形成されている前記島状部で前記一つ又はそれ以上のI層の該物質の少なくとも幾らかはエピタキシャル成長によって前記島状部の上に形成されている前記島状部の形態での前記孔を包含させるため、底部表面を具備する前記一つ又はそれ以上のI層を形成し且つ前記孔の内の少なくとも幾つかを前記底部表面から前記一つ又はそれ以上のI層内へ上方へ延在させるため、前記一つ又はそれ以上のI層に上部表面及び底部表面を与え且つ前記孔の内の幾つかを前記一つ又はそれ以上のI層内へ前記上部表面から下方へ延在させ且つ前記孔の内の幾つかを前記一つ又はそれ以上のI層内へ前記底部表面から上方へ延在させるため、前記孔内に酸化物等の固体誘電体物質を包含させるため、該孔の内の少なくとも幾つか内に該一つ又はそれ以上のI層の物質とは電気的及び/又は光学的特性が異なる半導体物質を包含させるため、更に前記一つ又はそれ以上のI層の上方に上側層及び前記一つ又はそれ以上のI層の下方に下側層であって前記一つ又はそれ以上のI層よりも一層ドープされている前記上側層及び下側層で前記電極が電気的に接触している前記上側層及び下側層を包含させるため、更に前記一層ドープされている上側層の上で且つ前記一つ又はそれ以上のI層の上に電気的に導電性の上側層を且つ前記一つ又はそれ以上のI層の下方でそれより一層ドープされている下側層で前記電極が電気的に接触している前記電気的に導電性の上側層及び前記下側層であって且つ前記導電性の上側層が前記一層ドープされている上側層の直列抵抗を減少させる形態とされている前記電気的に導電性の上側層及び前記下側層を包含させるため、更に前記一つ又はそれ以上のI層の上方で且つ前記光検知器を照射する光の反射を減少させるためにテクスチャを付けた上部表面を有している物質の層を包含させるため、前記孔の内の少なくとも幾つかを包含している前記一つ又はそれ以上のI層下側の領域内に該電極の内の少なくとも一つを形成させるため、及び/又は、前記光検知器を各々が夫々の電極と夫々の複数個の前記孔とを具備している複数個の光検知器であって該複数個の光検知器の内の一つ又はそれ以上がアバランシェ光検知器である該複数個の光検知器として形成させるための形態とされている。
幾つかの実施例によれば、該光検知器は各々が夫々の電極と夫々の複数個の前記孔とを具備している複数個の光検知器を有することが可能であり、及び更にモノリシックチップと前記チップの上又は中において前記複数個の光検知器とモノリシックに集積化されており且つ前記電気的出力を受け取り且つ処理するために前記電極と電気的に結合されている複数個のアクティブ電子回路とを包含しており、前記複数個の光検知器が少なくとも2次元に延在するパターンに配列されており且つ前記電子回路も少なくとも2次元に延在するパターンに配列されている。このことは、該電極が横方向に互いに離隔されている実施例に対して、及び該電極が必ずしも横方向に離隔されていない実施例に対して(例えば、縦型の光検知器に対して)適用される。
幾つかの実施例はマイクロストラクチャ向上型光検知器を製造する方法に関するものであって、一つ又はそれ以上の横方向に延在する非ドープ又は低ドープの半導体物質からなるI層と、前記一つ又はそれ以上のI層と電気的に結合しており且つその中に電界を発生させる形態とされている複数個の電極と、前記光検知器内に横方向に延在する複数個の故意に形成した孔を有しているマイクロストラクチャとを設けることを包含しており、前記設けるステップが、更に、前記孔によるその中の貫通を除いて前記一つ又はそれ以上のI層を連続的な層として形成し、照明に依存する電気的出力を発生することにより前記一つ又はそれ以上のI層を横断する方向において各々が複数個の前記孔を取り囲む一つ又はそれ以上の領域の各々において空間的に連続的な光での照明に前記光検知器が応答する形態とさせ、前記孔が無いそうでなければ同一の光検知器の電気的応答と比較して一つ又はそれ以上の選択した波長範囲において前記光に対する前記光検知器の望ましい電気的応答を前記孔が向上させる形態とさせ、及び前記光検知器を一つ又はそれ以上のアクティブ電子回路と単一チップの上又は中においてモノリシックに集積化させ且つ前記電気的出力を処理のために前記回路へ転送させるために前記光検知器と前記アクティブ電子回路とを電気的に接続させる、ことを包含している。
該方法の幾つかの実施例は、更に、一つ又はそれ以上の付加的な光検知器であって各々が前記孔が無くそうでなければ同一の光検知器と比較して選択した波長を有する光に対する前記一つ又はそれ以上の付加的な光検知器の望ましい電気的応答を向上させる横方向に延在する複数個の孔を具備しており且つ前記チップの上又は中に前記光検知器を組み立て且つそれらを前記一つ又はそれ以上のアクティブ電子回路と電気的に接続させる該一つ又はそれ以上の付加的な付加的な光検知器を設け、複数個の付加的な光検知器であって各々が前記孔の無いそうでなければ同一の光検知器と比較して選択した波長を有する光に対する前記一つ又はそれ以上の付加的な光検知器の望ましい電気的応答を向上させる横方向に延在する複数個の孔を有しており且つ前記チップの上又は中において前記光検知器を流体的に組み立て且つそれらを前記アクティブ電子回路と電気的に接続させる前記複数個の付加的な光検知器を設け、及び/又は前記孔の内の少なくとも幾つかを前記一つ又はそれ以上のI層とは電気的特性が異なる固体物質からなる島状部として形成する、ことを包含しており、前記孔の少なくとも幾つかを形成する場合に選択的区域成長が関与し且つ前記一つ又はそれ以上のI層の該物質の少なくとも幾つかを形成する場合に前記島状部上でのエピタキシャル層成長を使用する。
本特許明細書において「孔」という用語は、特定した形状及び寸法に形成され、特定した電気的及び/又は光学的特性において周囲の物質とは異なる物質からなる故意に形成した体積のことを意味している。一つの孔の物質は、そのような異なる電気的/光学的特性を有する半導体又は誘電体等の固体、又は空気等の気体、又は真空とさせることが可能である。一つの孔は一つの層の上部表面内、又は底部表面内のものとするか、又は一つの装置の上部表面と底部表面との間の内部体積とすることが可能である。この様な孔の多数の例について以下に詳細に説明し、且つ幾つかは交換可能であるように突起と言及されるが、それは、例えば、一つのI層の下側における一つの孔が下方の一つの層から突出する物質で充填されている窪みである場合である。
本明細書における「電極」という用語は、開示される装置において望ましい電界を形成し且つ該装置が光の照射に対する応答として発生する望ましい電気的信号を抽出する物質のことを意味している。電極の多数の例について以下に詳細に説明しており、例えば、或る装置のドープ領域とオーミックコンタクトする電気的に導電性の物質や、又はショットキー接合等のその他のタイプのコンタクトを形成する電気的に導電性の物質を包含する電極がある。
「上部(top)」及び「底部(bottom)」という用語及び同様の用語は或る装置の特定した配向状態を意味しており、従って、例えば、以下に記載する或る装置のトップ即ち上部は該装置がひっくり返された場合にはその底部となり、又該装置が90度回転された場合にはその左又は右側となる。
幾つかの実施例に基づく、シリコン又はシリコン・オン・インシュレータ(SOI)上に成長させたGe及び/又はGeSiI層の概略平面図。 幾つかの実施例に基づく、マイクロストラクチャ向上型光検知器(MSPD)に使用する図1に示したような構成体の概略断面図。 幾つかの実施例に基づく、異なるマイクロストラクチャを有する図2のものと同様の概略断面図。 幾つかの実施例に基づく、異なるマイクロストラクチャを有する図2のものと同様の概略断面図。 幾つかの実施例に基づく、図4に示したものと同様の構成体における光強度吸収(1-R-T、尚Rは反射でTは透過)の有限差分時間領域(FDTD)シミュレーションのプロット。 幾つかの実施例に基づく、図4に示した構成体に対する図5Aのものと同様のFDTDシミュレーションのプロット。 幾つかの実施例に基づく、SOIエピタキシャル構成体MSPD上に形成したGeP及びI又は低ドープ層及びSiNの1例の概略図。 一つ又はそれ以上の層がGeSi合金とすることが可能である点を除いて図6と同様の例の概略図。 幾つかの実施例に基づく、図6又は図7に示した如き構成をCMOS/BiCMOS ASICのモノリシック集積化の概略断面図。 幾つかの実施例に基づく、メサの代わりに分離トレンチが包含されている点を除いて図8と同様の概略断面図。 幾つかの実施例に基づく、PIN MSPD構成体の概略断面図。 図10におけるようなMSPD構成体の実験結果を示したプロット。 幾つかの実施例に基づく、導電性物質で部分的に又は完全に充填されているマイクロストラクチャ孔を具備している図10におけるようなMSPD/MSAPD(マイクロストラクチャ向上型アバランシェ光検知器)の概略断面図。 幾つかの実施例に基づく、上部P層無しで示した図12Aと同様の概略断面図。 幾つかの実施例に基づく、上部P層無しで示した図12Aと同様の概略断面図。 マイクロストラクチャ孔が形成されていないことを除いて図12Cと同様の概略断面図。 図12A-12Dに示したような光検知器の概略平面図。 図4と同様であり且つCMOS/BiCOMSエレクトロニクスでモノリシックに集積化したSi上に成長させたGeSi及び/又はGe選択的区域の概略断面図。 幾つかの実施例に基づく、側壁及び上部表面の一部又は全ての上に酸化物を有することの可能なパターン形成したシリコン表面上のGe又はGeSi合金のエピタキシャル選択的区域成長の概略断面図。 幾つかの実施例に基づく、CMOS/BiCMOS ASICとモノリシックに集積化されたMSPD/MASPDの概略断面図。 幾つかの実施例に基づく、垂直共振器面発光レーザ、MSPD/MSAPD、及びCMOS/BiCMOS ASICを包含している単一シリコンチップの概略平面図。 幾つかの実施例に基づく、シリコンモノリシック集積チップ内にエッチングしたリセプタクル台形ピット内に嵌合するビームリード台形形状にテーパーしたVCSELチップの概略斜視図。 各チップ本体内に複数個のVCSELを包含させた図16に示したものと同様のチップの概略平面図。 各チップ本体内に複数個のVCSELを包含させた図16に示したものと同様のチップの概略平面図。 面射出レーザではなく端部射出を使用した図19Aと同様の単一チップの斜視図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ光検知器の一部平面の概略図。 幾つかの実施例に基づく、マイクロストラクチャ孔を有する噛合型光検知器の概略部分平面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の概略部分平面図。 幾つかの実施例に基づく、マイクロストラクチャ孔を有しており且つCMOS/BiCMOS ASICとモノリシックに集積化された噛合型光検知器の概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャフォトダイオードの概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔アバランシェフォトダイオードの部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔アバランシェフォトダイオードの部分概略断面図。 モノリシックにCMOS、BiCMOS、ASICと集積化された噛合型マイクロストラクチャ孔フォトダイオード構成体の部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔アバランシェフォトダイオードの部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔アバランシェフォトダイオードの部分概略断面図。 幾つかの実施例に基づく、2組の噛合型電極マイクロストラクチャ孔光検知器を有する部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図。 幾つかの実施例に基づく、単一シリコンチップ上のCMOS/BiCMOS ASICとモノリシックに集積化した複数個の噛合型マイクロストラクチャ孔光検知器の部分概略平面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の部分概略平面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔領域外側の区域においてイオン注入を使用した場合の噛合型マイクロストラクチャ孔光検知器の部分概略平面図。 幾つかの実施例に基づく、上部層を包含している噛合型マイクロストラクチャ孔光検知器の部分概略平面図。 幾つかの実施例に基づく、金属半導体金属フォトダイオードの部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図。 幾つかの実施例に基づく、Ge/GeSi・オン・Si噛合型マイクロストラクチャ孔フォトダイオードの概略断面図。 幾つかの実施例に基づく、I又は低ドープGe/GeSi噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図。 幾つかの実施例に基づく、Ge/GeSi・オン・Si噛合型フォトダイオードの部分概略断面図。 幾つかの実施例に基づく、Ge/GeSi噛合型光検知器の部分概略断面図。 幾つかの実施例に基づく、噛合型光検知器電極の部分概略断面図。 幾つかの実施例に基づく、噛合型光検知器電極の部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の部分概略断面図。 図45に示した噛合型マイクロストラクチャ孔Ge/GeSi・オン・Si光検知器の部分概略断面図。 幾つかの実施例に基づく、噛合型選択的区域成長Ge/GeSi・オン・Si光検知器の部分概略断面図。 幾つかの実施例に基づく、異なる水平面上に噛合型電極を有している光検知器の部分概略断面図。 幾つかの実施例に基づく、図48Aと同様の構成体の断面図。 幾つかの実施例に基づく、マイクロストラクチャ孔を具備する噛合型光検知器の部分概略断面図。 幾つかの実施例に基づく、マイクロストラクチャ孔を具備する噛合型光検知器の部分概略断面図。 幾つかの実施例に基づく、マイクロストラクチャ孔を具備する噛合型光検知器の部分概略断面図。 幾つかの実施例に基づく、マイクロストラクチャ孔を具備する噛合型光検知器の部分概略断面図。 幾つかの実施例に基づく、マイクロストラクチャ孔を具備する噛合型光検知器の部分概略断面図。 幾つかの実施例に基づく、P-I又は低ドープPNアバランシェラテラル噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ光検知器の部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ光検知器の部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ光検知器の部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ光検知器の部分概略断面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の部分概略平面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の部分概略平面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の部分概略平面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の部分概略平面図。 マイクロストラクチャ突起及びマイクロストラクチャ孔の部分概略断面図。 マイクロストラクチャ突起及びマイクロストラクチャ孔の部分概略断面図。 幾つかの実施例に基づく、長さの関数としての幅変化を有する噛合型電極を含んでいる噛合型マイクロストラクチャ孔光検知器の部分概略平面図。 幾つかの実施例に基づく、選択的区域成長Ge/GeSiを有するシリコンウエハの部分概略平面図。 幾つかの実施例に基づく、選択的区域成長Ge/GeSiを有するシリコンウエハの部分概略平面図。 光学的フィールドの有限差分時間領域(FTDT)計算のために使用される構成体の断面図。 図52Aに示した構成体のFTDTシミュレーションのプロット。 幾つかの実施例に基づく、表面照射型光検知器の2次元アレイの配列の概略図。 幾つかの実施例に基づく、垂直マイクロストラクチャPINフォトダイオード及び横方向マイクロストラクチャPINフォトダイオードの部分概略断面図。 幾つかの実施例に基づく、垂直マイクロストラクチャPINフォトダイオード及び横方向マイクロストラクチャPINフォトダイオードの部分概略断面図。 図48D及び図48Eに示した構成体のFDTDシミュレーションのプロット。 図48D及び図48Eに示した構成体のFDTDシミュレーションのプロット。 横方向噛合型光検知器及び垂直PINマイクロストラクチャ孔光検知器用のGe・オン・Siの2ステップ選択的区域成長の部分概略断面図。 横方向噛合型光検知器及び垂直PINマイクロストラクチャ孔光検知器用のGe・オン・Siの2ステップ選択的区域成長の部分概略断面図。 マイクロストラクチャ孔がエッチングされ、次いで該マイクロストラクチャ孔のGeを再成長させている選択的区域Ge・オン・Siの部分概略断面図。 幾つかの実施例に基づく、Ge/GeSi・オン・SiPIN垂直マイクロストラクチャフォトダイオードの部分概略断面図。 幾つかの実施例に基づく、Ge/GeSi・オン・SiPIN垂直マイクロストラクチャフォトダイオードの部分概略断面図。 幾つかの実施例に基づく、光検知器及びフォトダイオードのIV(電流―電圧)特性の図。 幾つかの実施例に基づく、光検知器及びフォトダイオードのIV(電流―電圧)特性の図。 幾つかの実施例に基づく、光検知器及びフォトダイオードのIV(電流―電圧)特性の図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の部分概略断面図。 幾つかの実施例に基づく、横方向及び垂直噛合型光検知器上のナノ構造の断面図。 幾つかの実施例に基づく、横方向及び垂直噛合型光検知器上のナノ構造の断面図。 幾つかの実施例に基づく、III-V物質群垂直マイクロストラクチャPIN光検知器及び横方向MSM噛合型マイクロストラクチャ孔光検知器の部分概略断面図。 幾つかの実施例に基づく、III-V物質群垂直マイクロストラクチャPIN光検知器及び横方向MSM噛合型マイクロストラクチャ孔光検知器の部分概略断面図。 幾つかの実施例に基づく、垂直形態及び横方向形態におけるGe及び/又はGeSi・オン・Siマイクロストラクチャ光検知器の部分断面図。 幾つかの実施例に基づく、垂直形態及び横方向形態におけるGe及び/又はGeSi・オン・Siマイクロストラクチャ光検知器の部分断面図。 連続的な金属酸化物半導体コンタクトを示しており且つ正電圧バイアスか又は負電圧バイアスで動作させることの可能な構造の概略図。 連続的な金属酸化物半導体コンタクトを示しており且つ正電圧バイアスか又は負電圧バイアスで動作させることの可能な構造の概略図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器であって該孔が噛合型電極へ接続されている該光検知器の部分概略平面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器であって該孔が噛合型電極へ接続されている該光検知器の部分概略平面図。 幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器であって該孔が噛合型電極へ接続されている該光検知器の部分概略平面図。 図65A-65Cに示したマイクロストラクチャ孔の例の部分概略断面図。 図65A-65Cに示したマイクロストラクチャ孔の例の部分概略断面図。 図65A-65Cに示したマイクロストラクチャ孔の例の部分概略断面図。 図65A-65Cに示したマイクロストラクチャ孔の例の部分概略断面図。 半田バンプ技術を包含することが可能な単一チップ上でCMOS、BiCMO、ASICと集積化させたモノリシックに集積化したカッドSi/GeSi/Ge垂直PIN又は横方向MSM光検知器の概略平面図。 幾つかの実施例に基づく、図66に示した構成体の部分概略断面図。 幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの基本的なステップを例示する断面図。 幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの基本的なステップを例示する断面図。 幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの基本的なステップを例示する断面図。 幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの基本的なステップを例示する断面図。 幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの基本的なステップを例示する断面図。 幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの基本的なステップを例示する断面図。 幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの基本的なステップを例示する断面図。 幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの基本的なステップを例示する断面図。 幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの基本的なステップを例示する断面図。 幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの基本的なステップを例示する断面図。 CMOS/BiCMOS ASICとモノリシックに集積化することが可能なPSi基板上にGe/GeSi・オン・Siマイクロストラクチャ孔PINフォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化することが可能なPSi基板上にGe/GeSi・オン・Siマイクロストラクチャ孔PINフォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化することが可能なPSi基板上にGe/GeSi・オン・Siマイクロストラクチャ孔PINフォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化することが可能なPSi基板上にGe/GeSi・オン・Siマイクロストラクチャ孔PINフォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化することが可能なPSi基板上にGe/GeSi・オン・Siマイクロストラクチャ孔PINフォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化することが可能なPSi基板上にGe/GeSi・オン・Siマイクロストラクチャ孔PINフォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化することが可能なPSi基板上にGe/GeSi・オン・Siマイクロストラクチャ孔PINフォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化することが可能なPSi基板上にGe/GeSi・オン・Siマイクロストラクチャ孔PINフォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 幾つかの実施例に基づく、Ge/GeSi吸収層を有する垂直マイクロストラクチャ孔PINフォトダイオードにおける或る変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSi吸収層を有する垂直マイクロストラクチャ孔PINフォトダイオードにおける或る変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSi吸収層を有する垂直マイクロストラクチャ孔PINフォトダイオードにおける或る変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSi吸収層を有する垂直マイクロストラクチャ孔PINフォトダイオードにおける或る変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSi吸収層を有する垂直マイクロストラクチャ孔PINフォトダイオードにおける或る変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSi吸収層を有する垂直マイクロストラクチャ孔PINフォトダイオードにおける或る変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSi吸収層を有する垂直マイクロストラクチャ孔PINフォトダイオードにおける或る変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSi吸収層を有する垂直マイクロストラクチャ孔PINフォトダイオードにおける或る変形例を例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化Ge/GeSi金属半導体金属(MSM)横方向フォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化Ge/GeSi金属半導体金属(MSM)横方向フォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化Ge/GeSi金属半導体金属(MSM)横方向フォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化Ge/GeSi金属半導体金属(MSM)横方向フォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化Ge/GeSi金属半導体金属(MSM)横方向フォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 CMOS/BiCMOS ASICとモノリシックに集積化Ge/GeSi金属半導体金属(MSM)横方向フォトダイオードを製造する幾つかの基本的なステップを例示している断面図。 幾つかの実施例に基づく、Ge/GeSiマイクロストラクチャ孔及び/又は突起MSMにおける幾つかの変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSiマイクロストラクチャ孔及び/又は突起MSMにおける幾つかの変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSiマイクロストラクチャ孔及び/又は突起MSMにおける幾つかの変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSiマイクロストラクチャ孔及び/又は突起MSMにおける幾つかの変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSiマイクロストラクチャ孔及び/又は突起MSMにおける幾つかの変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSiマイクロストラクチャ孔及び/又は突起MSMにおける幾つかの変形例を例示している断面図。 幾つかの実施例に基づく、Ge/GeSiマイクロストラクチャ孔及び/又は突起MSMにおける幾つかの変形例を例示している平面図。 幾つかの実施例に基づく、埋込型ELOG誘電体島状部を有するI-Ge/GeSi垂直及び横方向光検知器を例示している断面図。 幾つかの実施例に基づく、埋込型ELOG誘電体島状部を有するI-Ge/GeSi垂直及び横方向光検知器を例示している断面図。 (A)乃至(C)は、幾つかの実施例に基づく、MSM光検知器用の噛合型電極の種々の形態を例示している概略図。 (A)及び(B)は、幾つかの実施例に基づく、MSM光検知器用の噛合型電極の種々の形態を例示している概略図。 (A)及び(B)は、幾つかの実施例に基づく、MSM光検知器用の噛合型電極の種々の形態を例示している概略図。 (A)及び(B)は、幾つかの実施例に基づく、MSM光検知器用の噛合型電極の種々の形態を例示している概略図。 (A)及び(B)は、幾つかの実施例に基づく、MSM光検知器用の噛合型電極の種々の形態を例示している概略図。 (A)及び(B)は、幾つかの実施例に基づく、MSM光検知器用の噛合型電極の種々の形態を例示している概略図。 幾つかの実施例に基づく、MSM光検知器用の噛合型電極の種々の形態を例示している概略図。 幾つかの実施例に基づく、MSM光検知器用の噛合型電極の種々の形態を例示している概略図。 幾つかの実施例に基づく、噛合型電極を有するMSM構成体の斜視図。 幾つかの実施例に基づく、エッチングしたマイクロストラクチャ孔を有するI又は低ドープGe/GeSi上に付着させたポリSiの1例の断面図。 幾つかの実施例に基づく、エッチングしたマイクロストラクチャ孔を有するI又は低ドープGe/GeSi上に付着させたポリSiの1例の断面図。 N+ポリSi層内にマイクロストラクチャ孔を形成する側面を例示する断面図。 N+ポリSi層内にマイクロストラクチャ孔を形成する側面を例示する断面図。 幾つかの実施例に基づく、垂直PIPIN構成体及び横方向PIN構成体におけるマイクロストラクチャ孔アバランシェフォトダイオードの側面を例示する断面図。 幾つかの実施例に基づく、垂直PIPIN構成体及び横方向PIN構成体におけるマイクロストラクチャ孔アバランシェフォトダイオードの側面を例示する断面図。 幾つかの実施例に基づく、垂直PIPIN構成体及び横方向PIN構成体におけるマイクロストラクチャ孔アバランシェフォトダイオードの側面を例示する断面図。 幾つかの実施例に基づく、垂直PIPIN構成体及び横方向PIN構成体におけるマイクロストラクチャ孔アバランシェフォトダイオードの側面を例示する断面図。 幾つかの実施例に基づく、垂直PIPIN構成体及び横方向PIN構成体におけるマイクロストラクチャ孔アバランシェフォトダイオードの側面を例示する断面図。 幾つかの実施例に基づく、垂直PIPIN構成体及び横方向PIN構成体におけるマイクロストラクチャ孔アバランシェフォトダイオードの側面を例示する断面図。 幾つかの実施例に基づく、垂直PIPIN構成体及び横方向PIN構成体におけるマイクロストラクチャ孔アバランシェフォトダイオードの側面を例示する断面図。 幾つかの実施例に基づく、垂直PIPIN構成体及び横方向PIN構成体におけるマイクロストラクチャ孔アバランシェフォトダイオードの側面を例示する断面図。 幾つかの実施例に基づく、六角形格子状に配列したマイクロストラクチャ孔又は埋込型島状部を示した平面図。 (A)及び(B)は幾つかの実施例に関連して誘電体物質の使用の側面を例示例示している各断面図。 (A)及び(B)はI又は低ドープGe/GeSi層内にエッチングした、及び、或る場合には、I又は低ドープGe/GeSi層を介してPSi層へ貫通してエッチングしたマイクロストラクチャ孔を有する構成体を例示した各断面図。 図83Aと同様の構造における光学的フィールド吸収の有限差分時間領域(FTDT)シミュレーションを例示するグラフ。 幾つかの実施例に基づく、漏斗型孔及び反転ピラミッドマイクロストラクチャを例示する垂直断面図。 幾つかの実施例に基づく、漏斗型孔及び反転ピラミッドマイクロストラクチャを例示する垂直断面図。 幾つかの実施例に基づく、マイクロストラクチャ装置における向上された吸収のFDTDシミュレーションを示すグラフ。 幾つかの実施例に基づく、光検知器の部分断面図。 幾つかの実施例に基づく、図87B及び87Cにおいてシミュレーションした構造と同様のマイクロストラクチャPINフォトダイオードのFDTDシミュレーションを例示するグラフ。 幾つかの実施例に基づく、光検知器の部分断面図。 図89Bに示した構造のさらなる変形例のFDTDシミュレーションのプロット。 図89Bに示した構造のさらなる変形例のFDTDシミュレーションのプロット。 Si-IGe-SiPINマイクロストラクチャ孔フォトダイオードのI層厚さに対するフォトダイオード3dB帯域幅(GHz)の計算のプロット。 幾つかの実施例に基づく、表面射出レーザ用のリセプタクル孔を有する単一チップ上にCMOS/BiCMOS ASICとモノリシックに集積化されているマイクロストラクチャ光検知器の平面図。 幾つかの実施例に基づく、同心円アノード9020及びカソード9022を有する円形形状にある面発光レーザ9036を示す概略図。 幾つかの実施例に基づく、面発光レーザの斜視図。 幾つかの実施例に基づく、矩形状III-Vチップ上の面発光レーザの2次元アレイの平面図。 幾つかの全シリコンMSM横方向フォトダイオード及び幾つかの全シリコンPINフォトダイオードに対する光学的フィールドのFDTDシミュレーションのプロット。 幾つかの実施例に基づく、構造形状を例示する部分断面図。 幾つかの実施例に基づく、マイクロストラクチャ反転ピラミッド及びマイクロストラクチャ円筒形状孔を有する光検知器を比較するFDTDシミュレーションのプロット。 幾つかの実施例に基づく、250nm深さの浅いマイクロストラクチャ孔を有する構造のFDTDシミュレーションのプロット。 幾つかの実施例に基づく、構造形状を例示する部分断面図。 幾つかの実施例に基づく、Geの上にポリSi層を有しているGe・オン・Si構造についての波長に対する吸収のFDTDシミュレーションのプロット。 幾つかの実施例に基づく、構造形状の部分断面図。 幾つかの実施例に基づく、Ge表面上にポリSiキャッピング層がある場合とない場合とのSiピラミッド島状部を有するGe・オン・Si構成体についての波長に対する光学的吸収のFDTDシミュレーションのプロット。 幾つかの実施例に基づく、構造形状の部分断面図。 幾つかの実施例に基づく、Ge表面上にポリSiキャッピング層がある場合とない場合とのSiピラミッド島状部を有するGe・オン・Si構成体についての波長に対する光学的吸収のFDTDシミュレーションのプロット。 幾つかの実施例に基づく、構造形状の部分断面図。 幾つかの実施例に基づく、Ge表面上にポリSiキャッピング層を有するSi矩形状島状部をGe・Si構成体についての波長に対する光学的吸収のFDTDシミュレーションのプロット。 図93Aの構造形状の部分断面図。 (A)乃至(D)は、幾つかの実施例に基づく、4つの異なるGe・オン・Si構成体の各部分断面図、(E)はそれらの構成体の表面上に入射する光学的フィールドのFDTDシミュレーションのプロット。 幾つかの実施例に基づく、イオン注入層が付加された場合の図84lに類似した断面図、 幾つかの実施例に基づく、電極の内の一つが吸収層の下側形成されるか又は埋設されている噛合型電極光検知器の断面図。 幾つかの実施例に基づく、電極の内の一つが吸収層の下側形成されるか又は埋設されている噛合型電極光検知器の断面図。 幾つかの実施例に基づく、電極の内の一つが吸収層の下側形成されるか又は埋設されている噛合型電極光検知器の断面図。 幾つかの実施例に基づく、電極の内の一つが吸収層の下側形成されるか又は埋設されている噛合型電極光検知器の断面図。 幾つかの実施例に基づく、一組の噛合型電極が埋設されている噛合型光検知器の概略平面図。 幾つかの実施例に基づく、埋込型アノード又はカソード電極を有する垂直に配設された光検知器の概略断面図。 幾つかの実施例に基づく、埋込型アノード又はカソード電極を有する垂直に配設された光検知器の概略断面図。 幾つかの実施例に基づく、埋込型アノード又はカソード電極を有する垂直に配設された光検知器の概略断面図。 幾つかの実施例に基づく、同一の基板(チップ)上に製造されており且つCMOS/BiCMOS ASICとモノリシックに集積化されている横方向噛合型フォトダイオード及び噛合型横方向アバランシェフォトダイオード(APD)及び/又は単一フォトンアバランシェフォトダイオード(SPAD)の概略断面図。
以下に好適実施例の幾つかの例の詳細な説明を行う。幾つかの実施例について記載するが、本特許明細書に記載する新たな要旨はここに記載されるいずれか一つの実施例又はその組み合わせに制限されるものではなく、多数の代替例、修正例、及び均等物を包含するものであることを理解すべきである。更に、完全なる理解を与えるために以下の説明においては多数の特定の詳細について記載するものであるが、これらの詳細の幾つか又は全てが無い状態で幾つかの実施例を実施することが可能なものである。更に、説明の便宜上、ここに記載する新たな要旨を不必要にぼかすことを回避するために当該関連技術において既知である或る技術的事項については詳細に説明していない。ここに記載する特定の実施例の一つ又は幾つかの個々の特徴事項は他の記載されている実施例の特徴事項と組み合わせて使用することが可能であることは明らかである。更に、種々の図面における同様の参照番号及び記号は同様の要素を表している。
フォトダイオードにおけるマイクロストラクチャ及びアバランシェフォトダイオードにおけるマイクロストラクチャは、与えられた波長範囲にわたり吸収を向上させるために、マイクロストラクチャの無い同様の構成体と比較して、入射信号フォトンの吸収を向上させ且つ一層大きな外部量子効率を発生させることが可能である。該吸収の向上は、又、吸収長における向上として観測することも可能である。光は一層長い時間長にわたり吸収層と相互作用することが可能であり、そのことは、速度が一定である場合には、均等的に、吸収物質を介しての一層長い光の移動距離とすることが可能である。マイクロストラクチャ型フォトダイオード/アバランシェフォトダイオードにおいて励起される光学モードは、マイクロストラクチャ型フォトダイオード/アバランシェフォトダイオード(MPD/APD)に入射する入射フォトン方向と同一及び/又は異なる方向に伝播することが可能であり、それはエピタキシャル層の面における支配的に横方向の方向及び/又は横方向及び垂直方向の静止及び/又は伝播光学モードの混合を包含することが可能である。該光学モードは、同様であるか及び/又は異なる場合がある多くのレゾネータの複合結合モードである垂直モードと横方向モードの任意の算術結合とすることが可能である。更に、該マイクロストラクチャによって遅い波を発生させることが可能であり、そのことは、更に、吸収、従って、量子効率(入射表面からの反射及び該構成体を介しての透過及び散乱を考慮に入れることが可能な外部量子効率。本書において量子効率が言及される場合は、それは常に外部量子効率である。)を向上させ、それはフォトダイオードの場合における吸収に比例する。例えば、吸収に対する量子効率の比率は1と0.3との範囲にわたる場合がある。ヘテロ構造のフォトダイオードの場合、例えばP-I-N構成体でP及びNがシリコンで且つIがGeSi合金とすることが可能な場合に、例えば950nm又はそれより一層長い波長において、該P及びNの吸収は一層少なく且つ吸収の殆どは該IGeSi層において発生する。このことは、量子効率対吸収比率を1に近い値、例えば0.6-0.99とさせる場合がある。利得があるアバランシェフォトダイオードの場合には、量子効率はしばしば100%よりも一層大きい場合があり、例えば、吸収が60%で量子効率対吸収の比率が70%(単位利得の場合)では量子効率が42%で、且つ利得が2(3dB)では量子効率が84%であり且つ利得が4(6dB)では量子効率が164%である場合がある。
マイクロストラクチャ型フォトダイオード及びマイクロストラクチャ型アバランシェフォトダイオードは支配的に面発光型であり、その場合に、光学信号が該フォトダイオード/アバランシェフォトダイオードの上部表面又は底部表面又はその両方の表面上へ入射する。入射フォトンの角度は、開口数及び/又はファイバーの角度に依存して、垂線より80度から垂線への角度の範囲となる場合がある。
マイクロストラクチャ型孔及び突起は、KOH溶液においてエッチングさせることが可能であり、Fan et al.、「シリコン太陽電池用の反転ピラミッドを用意する場合のTMAH及びKOHのエッチング特性における差異(Differences in etchinig characteristics of TMAH and KOH on preparing inverted pyramids for silicon solar cells)」、Applied Surface Science 264 (2013) 761-766;及びMavrokefalos et al.、「太陽電池適用例に対する反転型ナノピラミッド薄い結晶シリコンメンブレンにおける効率的な光トラッピング(Efficient Light Trapping in Inverted Nanopyramid Thin Cystalline Silicon Membranes for Solar Cell Applications)」、Nano Lett. 2012, 12, 2792-2796 (両文献とも引用により本書に取り込む)等の文献を参照すると良い。
更に、孔及び突起はウエット及びドライエッチングの任意の組み合わせでエッチングすることが可能であり且つ又異なる孔形状、反射を減少させるためにナノガラス等の異なる特徴を画定するためにウエット/ドライ/ウエット/ドライ/ウエットの複数回のエッチングを有することが可能であり、且つ異なるウエット及びドライエッチング方法及び化学物質を有することが可能である。
図1は、幾つかの実施例に基づいて、シリコン又はシリコン・オン・インシュレータ(SOI)ウエハ上に成長させたGe及び/又はGeSiのP及びI層の概略平面図である。幾つかの場合に、該Ge/GeSi層は選択的区域成長(SAG)を使用して成長させることが可能であり、その場合に、結晶性又はポリ結晶性の成長を望まない区域は、例えば、シリコン酸化物、シリコン窒化物、シリコンカーバイド等の誘電体物質で被覆させることが可能である。図1において、ワッフル焼き型パターンを形成することが可能であり、その場合に、光検知器製造のためのGe/GeSi領域120の区域を画定するための誘電体の更なる輪郭部と共に矩形状及び/又は多角形、円形、又はアメーバ状のその他の形状の誘電体島状部110をシリコン上に付着させることが可能である。その小さな誘電体島状部は該Ge/GeSi層内にマイクロストラクチャ型孔を画定するためにも使用することが可能である。その誘電体島状部層は100nm乃至10000nm、及び幾つかの場合には、500nm乃至3000nm、の範囲の横方向寸法を有することが可能であり、且つ100nm乃至5000nm、及び幾つかの場合には、30nm乃至3000nm、の範囲の端部と端部との間の間隔を有することが可能である。該誘電体の厚さは10nm乃至1000nm又はそれ以上の範囲とすることが可能である。
島状部110は、平面内における一つ又は両方の方向において周期的及び/又は非周期的とすることが可能であり、及び/又は周期的及び/又は非周期的及び/又はランダム間隔の任意の組み合わせとすることが可能である。島状部110は同一の寸法を有することが可能であり及び/又はランダム、周期的、及び/又は非周期的とすることが可能な寸法及びディメンジョンにおいて変化することが可能である。その格子は正方形、六角形状、及び/又はその他の配列とすることが可能である。
誘電体130の輪郭部によって画定されるGe/GeSi領域120の横方向寸法は5ミクロン乃至1000ミクロン又はそれ以上、及び、幾つかの場合には、20ミクロン乃至100ミクロン、及び、幾つかの場合には、20ミクロン乃至1000ミクロン又はそれ以上、の範囲とすることが可能である。Ge/GeSi120の厚さは100nm乃至3000nm、及び、幾つかの場合には、500nm乃至1500nm、及び、幾つかの場合には、500nm乃至5000nmの範囲とすることが可能である。
幾つかの実施例によれば、SAGGe/GeSiマイクロストラクチャフォトダイオード(MSPD)又はマイクロストラクチャ型アバランシェフォトダイオード(MSAPD)をCMOS(相補的金属酸化物半導体)又はBiCMOS(バイポーラCMOS)応用特定集積回路(ASIC)エレクトロニクス140等のアクティブ電子回路とモノリシックに集積化させることが可能であり、該エレクトロニクスは信号処理、条件付け、送信、エラー補正、格納、増幅、等化、分散補正等のための形態とさせることが可能である。
単一MSPD/MSAPD装置又はMSPD/MSAPD装置のアレイ及び関連するエレクトロニクスは単一のチップ上に製造させることが可能である。例えば、該アレイは1×4、2×4、4×4、1×8、2×8、4×8.8×8、1×16、2×16、8×16、又は16×16とすることが可能である。
データレートは、光学データ通信適用例に対して、10乃至50Gb/s又はそれ以上、幾つかの場合には、25乃至50Gb/s、及び、幾つかの場合には、25乃至100Gb/s又はそれ以上とすることが可能である。LIDAR(光距離及び測距)の場合、データレートは、1Gb/s未満、幾つかの場合には、10Gb/s未満、及び、幾つかの場合には、25Gb/s未満とすることが可能である。タイミングジッタLIDARシステムの分解能を決定することが可能であり、その場合に、1psは空中で300ミクロン移動する光と等価である。10cm分解能の場合、1ns以下のタイミングジッタが重要である。単一フォトンMSAPDに対して及びMSAPD及びMSPDに対して、一層高い分解能は一層短いタイミングジッタを要求する。
波長は、xが1(全Ge)から0(全Si)の範囲であるとして、GeSi1-x合金におけるGe割合に依存して、800nm乃至1650nmの範囲にわたることが可能である。
マイクロストラクチャ孔の場合、フォトンの吸収はマイクロストラクチャ孔が無い同様の光検知器と比較して向上させることが可能であり、特に、物質の吸収係数が弱い場合、例えば、1000/cm以下、幾つかの場合には、2000/cm以下、幾つかの場合には、6000/cm以下、及び、幾つかの場合には、8000/cm以下の近辺である場合にそうである。幾つかの場合に、8000-10000/cm又はそれ以上の強い吸収を得ることが可能である。しかしながら、吸収層が薄く、1ミクロン未満、及び、幾つかの場合には、2ミクロン未満の場合には、或る波長でのフォトンの吸収は、或る波長でのマイクロストラクチャ孔の無い同様の光検知器と比較して、マイクロストラクチャ孔の付加によって向上させることが可能である。
吸収される光学パワーの割合は1-exp(α×x)で表すことが可能であり、αは特定の波長における吸収係数であり且つxは特定の波長のフォトンが物質中を移動する距離である。その光学吸収パワーの割合が0.8未満である場合には、吸収を向上させ且つ或る波長においてのマイクロストラクチャ孔の無い同様の光検知器のものと比較して吸収される光学パワーの割合を向上させるために、マイクロストラクチャ孔を使用することが可能である。幾つかの場合には、光学吸収パワーの割合が0.4未満である場合には、吸収を向上させ且つ或る波長においてのマイクロストラクチャ孔の無い同様の光検知器のものと比較して吸収される光学パワーの割合を向上させるために、マイクロストラクチャ孔を使用することが可能である。幾つかの場合には、光学吸収パワーの割合が0.2未満である場合には、吸収を向上させ且つ或る波長においてのマイクロストラクチャ孔の無い同様の光検知器のものと比較して吸収される光学パワーの割合を向上させるために、マイクロストラクチャ孔を使用することが可能である。
吸収係数×フォトントラッピングを介して物質内をフォトンが移動する距離の積の向上のためにマイクロストラクチャ孔が無い同様の光検知器と比較してマイクロストラクチャ孔を有する光検知器の吸収した光学パワーの割合の向上は、或る波長においては1より大きく且つ20又はそれ以上で、或る波長においては1.5から30又はそれ以上の範囲である場合がある。吸収の向上は、フォトンが物質内において一層長い時間過ごすように該物質内において一層長い距離移動することの結果である場合がある。該フォトンは集約的に該マイクロストラクチャ孔と相互作用を行い且つ線形と非線形の両方の効果を包含する。シミュレーションの示すところによれば、マイクロストラクチャ孔MSPD/MSAPD上に入射する光の入射方向とは異なる該MSPD/MSAPD内の方向に伝播する場合がある。例えば、Gao et al.、「900-1000nm波長においての吸収向上のためにマイクロストラクチャ型孔を使用する高速表面照射型Siフォトダイオード(High Speed Surface Illuminated Si Photodiode Using Microstructured Holes for Absorption Enhancement at 900-1000 nm Wavelength)」、DOI:10,1021/acsphotonics, 7b00486の文献を参照すると良く、尚この文献を引用により本書に取り込む。幾つかの場合には、ワッフル又はいずれかその他のパターン無しでSAGを達成することが可能であり、且つSAGの後にマイクロストラクチャ孔をドライ及び/又はウエットでエッチングすることが可能である。
図2は、幾つかの実施例に基づく、図1に示した概略断面図である。この場合には、Ge/GeSiSAG層120はN+シリコン210上に成長させることが可能でありN+シリコンは該Si層の幾つかの領域において0.1Ω・cm以下の固有抵抗を有することが可能であり且つ50nm乃至600nm以上の範囲の厚さを有することが可能である。該Ge/GeSi層120は真性「I」又は1×1017/cm未満で低ドープしたものとすることが可能であり且つ100nm乃至3000nmで且つ幾つかの場合には100nm乃至1500nmの範囲の厚さを有することが可能である。更に、0.01Ω・cm以下の固有抵抗で且つ10nm乃至500nmで且つ幾つかの場合には50nm乃至300nm以上の範囲の層厚さで、P+Ge/GeSi層を該I又は低ドープ層120上に成長させることが可能である。更に直列抵抗を減少させるために、インジウムスズ酸化物等の透明導電性金属酸化物250を該P+Ge/GeSi層上に付着させることが可能である。該インジウムスズ酸化物(ITO)は反射を減少させるためにテクスチャ(252)を付けることが可能である。幾つかの場合には、該P+Ge/GeSi層は存在しない場合があり、且つ該ITO250が該I又は低ドープGe/GeSi層120上に直接的に付着させる場合がある。ITO250の厚さは10nm乃至3000nm以上の範囲とすることが可能である。
幾つかの場合には、1nm乃至50nm以上の範囲の膜厚で半透明金属膜を該I又は低ドープGe/GeSi層120上に直接的に付着させる場合がある。該金属膜はPt,Ni,Cr,Cu,Al,V,W等の適宜の物質から構成することが可能である。該ITOは薄い金属膜と関連して使用することも可能である。
カソード222及びアノード220をN(210)層及びP又はITO(250)層の夫々の上に形成する。MSPDに対して、0乃至-5ボルト、且つ、幾つかの場合に、-3.3ボルト、且つ、幾つかの場合に、-1.8ボルト、且つ、幾つかの場合に、0ボルトの範囲の電圧で該カソードとアノードとの間に逆バイアス電圧を印加させることが可能である。
MSAPDの場合、該構成体はPIN(単一フォトンアバランシェフォトダイオードSPADに対して)とすることが可能である。幾つかの例において、該構成体がP(Ge/GeSi)I(Ge/GeSi)P(Si/GeSi)I(Si)N(Si)又はP(Ge/GeSi)I(Ge/GeSi)P(Si)N(Si)であるようにシリコン内に電荷及び増倍層を付加することが可能である。その他のAPD構成体も可能であり且つPとNとは交換可能である。マイクロストラクチャ孔を付加した場合、フォトン吸収用の層厚さは、フォトントラッピングに起因して或る波長においてマイクロストラクチャ孔が無い同様の光検知器と比較して同等の及び/又はより優れた外部量子効率を達成するために、減少させることが可能である。この厚さの減少は、MSPD及びMSAPDに対して高いデータレート帯域幅及び高い外部量子効率とさせることが可能である。更に、MSAPDの場合、吸収層厚さの減少は、又、逆バイアス電圧を100-200ボルトから45V未満、幾つかの場合には、30V未満、幾つかの場合には、20V未満、且つ、幾つかの場合には、10V未満へ減少させることが可能である。
幾つかの場合において、該BOX層は、エッチャントが表面から該BOX層へ到達することを可能とするトレンチを使用して光検知器領域及び/又はCMOS/BiCOMS領域下側において完全に又は部分的にエッチング除去させることが可能である。幾つかの場合には、該CMOS/BiCMOS領域は、不透明誘電体及び/又は金属層で、入射フォトン及びその他の迷光から遮断させることが可能である。幾つかの場合には、その全体的又はほぼ全体的なモノリシックチップを誘電体でハーメチックシールすることが可能である。
ポリSi層を該Ge/GeSi層120上に付着させることが可能であり、且つ、幾つかの場合には、酸化物250を被覆することが可能であり、且つ、例えば、P型へドープさせることが可能であり、且つ該アノードは50nm乃至500nm以上の厚さを有することが可能なPポリSiとコンタクトすることが可能である。
図3は、幾つかの実施例に基づいて、マイクロストラクチャを付加した図2のものと同様の概略断面図である。マイクロストラクチャ312は反転型ピラミッド、円錐、又は漏斗等のマイクロストラクチャ型孔とすることが可能である。マイクロストラクチャ312はウエット及び/又はドライエッチング方法を使用して形成することが可能である。マイクロストラクチャ孔312のエッチ深さは100nm以下乃至3000nm以上、幾つかの場合には、200nm乃至1500nm、幾つかの場合には、300nm乃至2500nm、且つ幾つかの場合には、300nm乃至5000nmの範囲とすることが可能である。表面でのマイクロストラクチャ孔312の横方向寸法は、100nm乃至3500nm、幾つかの場合には、300nm乃至3000nm、且つ、幾つかの場合には、波長未満乃至動作波長の10倍、の範囲とすることが可能である。マイクロストラクチャ孔312の隣接する端部同士間の間隔は、20nm乃至5000nm、及び、幾つかの場合には、波長未満乃至動作波長の10倍、の範囲とすることが可能である。マイクロストラクチャ孔312の形状は矩形、多角形、楕円形、円形及び/又はその他の形状又は他のマイクロストラクチャ孔との関係で任意の態様で変化することが可能な寸法とすることが可能である。マイクロストラクチャ孔312は一つ又はそれ以上のディメンジョンにおいて周期的な、非周期的な、及び/又はランダムな間隔とすることが可能である。
図4は、幾つかの実施例に基づいて、マイクロストラクチャを付加した場合の図2のものと同様の概略断面図である。この場合には、誘電体領域110は台形形状であり、その結果、Ge/GeSiSAG漏斗型構造120となっている。台形形状の誘電体領域110がマイクロストラクチャを形成している。水平に対しての側壁角度は90乃至30度以下の範囲とすることが可能であり、且つ幾つかの場合には、該構成体は複数の側壁角度を有することが可能であり且つ又90度より大きな側壁角度を有することも可能である。幾つかの場合には、層250はPポリSiとすることが可能であり又はポリSiの層で被覆させる場合がある。
図5Aは、幾つかの実施例に基づいて、図4に示したものと同様の構造における光学パワー吸収(1-R-T、尚Rは反射でTは透過)の有限差分時間領域(FDTD)シミュレーションのプロットである。本構造は61度の角度を有する側壁を具備している。Ge/GeSi厚さは600nmである。該Si層は400nmの厚さを有している。そのBOX層は750ミクロン厚さのSi基板上で2000nmである。該シミュレーションは、1200nmから1650nmへの波長に対するものである。3つの曲線が示されており;曲線514はマイクロストラクチャ孔が無い同様の構造に対するものであり、曲線510は正方形格子における1200nm周期で片面につき700nmの正方形孔に対するものであり、且つ曲線512は正方形格子における1200nm周期で片面につき850nmの正方形孔に対するものである。幾つかの波長において、GeMSPDの吸収は、同様の波長において約20%のマイクロストラクチャ孔の無い同様の構造と比較して、80%を超えている。BOX層が無いが図4に示した如き構造に対して同様の結果が得られている。
図5Bは、幾つかの実施例に基づく、図4に示した構造に対する図5Aのものと同様のFDTDシミュレーションのプロットである。曲線520は、BOX層無しでの正方形格子において1000nmの周期で各側部が700nmの寸法で二酸化シリコンで充填した正方形のマイクロストラクチャ孔を有する構造に対するものである。曲線524は、1200nmから1650nmの波長でのマイクロストラクチャ孔の無い600nm厚さのGe層の吸収に対するものである。垂直軸は吸収(1-R-T)である。マイクロストラクチャ孔で向上された光学パワー吸収は或る波長においては80%を超える場合がある。該吸収は量子効率又は外部量子効率に直接比例する。マイクロストラクチャ孔を有する構造は、或る波長において、マイクロストラクチャ孔の無い同様の構造の吸収よりも1.3乃至1.5倍一層大きい吸収向上係数を有する場合がある。幾つかの場合においては、該吸収向上係数は、或る波長においては、1.1乃至20以上範囲となる場合がある。
図6は、幾つかの実施例に基づいて、SOIエピタキシャル構成体上に形成された、GeP及びI又は低ドープ層及びSiNの1例である。幾つかの場合には、BOXが含まれない場合がある。該SOIウエハは、N装置層が示されているが、N又はP装置層を含む場合がある。該装置層は100乃至500nm以上の範囲の厚さ、及び数Ω・cmで且つ幾つかの場合には数Ω・cmより大きな固有抵抗を有する場合がある。N+層が100乃至500nmの範囲の厚さで示されている。GeI又は低ドープ層が該N+層の上に示されており、ドーピング濃度が1.5×1015/cmであり且つ厚さが300乃至3000nmの範囲である。次いで、P+Ge層を該GeI層の上に成長させることが可能であり、そのドーピング濃度は1×1020/cm以上である。厚さは100乃至500nmの範囲にわたる場合がある。その他の厚さ、ドーピング濃度、及び固有抵抗とすることも可能である。該構造はMSPDのために使用することが可能であり、且つ、幾つかの場合には、MSAPDのために使用することが可能である。Si内に電荷層及びSi内に増倍層を付加した場合、その構造はMSAPD用に使用することが可能である。PIN,PIPIN,PIPN等のその他のAPD構造も可能である。
図7は図8と同様の例であるが、一つ以上の層をGeSi層とすることが可能な点が異なっている。GeSi合金においては、Geの割合部分は全部GeからGe無しへ変化することが可能である。合金GeSiのバンドギャップは、該合金中のGeの量が増加すると、一層小さくなる。合金GeSi中のGe含有量を調節することによって、MSPD及びMSAPDの動作波長を最適化させることが可能であり、その場合に、マイクロストラクチャ孔を付加した場合に、高いデータレートを有する光学信号のパワー吸収、従って該吸収層の量子効率及び厚さを高いデータレート帯域幅、高い量子効率(外部量子効率)、高い量子効率、低いアバランシェブレークダウン電圧、及び、幾つかの場合には、低いタイミングジッタに対して最適化させることが可能である。例えば、Zang et al.、「ナノストラクチャ型光トラッピングを有するシリコン単一フォトンアバランシェダイオード(Silicon single-photon avalanche diodes with nanostructured light trapping)」、DOI: 10.1038/s
41467-017-00733-y、の文献を参照すると良い。尚、該文献を引用により本書に取り込む。
図8は、幾つかの実施例に基づいて、図6又は図7に示したような構造を有するCMOS/BiCMOS ASICのモノリシック集積化の概略断面図である。図示した如く、光検知器領域を画定するためにメサを使用することが可能である。マイクロストラクチャ孔812は図3において説明したいものと同様であり、該マイクロストラクチャ孔は反転型ピラミッド、円錐、台形、円筒形、及び/又はその任意に組み合わせとすることが可能である。マイクロストラクチャ孔はエッチングされるので、エッチングプロセスに依存して表面での形状は他の形状となる場合がある。例としては、等方的、非等方的、等方的と非等方的エッチング方法の組み合わせ、ウエット又はドライのいずれか又はウエットとドライエッチング方法の組み合わせを包含している。該マイクロストラクチャ孔は、第1ドープ済み層を介して、該第1ドープ済み層/領域を介して且つ部分的にI又は低ドープ領域内へ、該I又は低ドープ領域を介して、該I又は低ドープ領域を介して且つ第2ドープ済み層/領域内へ部分的に、又は該第2ドープ済み層/領域を介して、該第1ドープ済み層又は領域内へ部分的にエッチングさせることが可能である。該マイクロストラクチャ孔は20nm乃至5000nm以上の範囲のエッチ深さを有することが可能である。
マイクロストラクチャ孔812の一つ以上の方向における間隔は50nm乃至5000nmの範囲である場合があり且つ面上において一つ以上の方向において周期的及び/又は非周期的及び/又はランダムとすることが可能である。P及びN領域/層へのアノード及びカソードは、ASICエレクトロニクスへの不図示の伝送線への接続及び逆バイアスコンタクトの両方を提供する。一つ以上の層/領域はGeSi合金とすることが可能である。
図9は、幾つかの実施例に基づいて、メサの代わりに分離トレンチが含まれている点を除いて図8と同様である。分離トレンチ960及び962は深い拡散ウエルとすることも可能であり且つ簡潔性のために図示されていないシリコンエレクトロニクスASICへのRF信号伝送線及びバイアスするためのNオーミック及びカソードコンタクトを形成するために該N+層と接触するために使用することが可能である。
一つ以上の層又は領域はGeSi合金とすることが可能である。P及びNは交換することが可能であり且つ幾つかの場合にはMSAPD用の層を付加することが可能である。ノイズを考慮するためには一方の極性が別の極性よりも望ましい場合があり、例えば、ノイズを一層良好に減少させるためには、電子の増倍の方が正孔の増倍よりも望ましい場合がある。
上部のN又はP層/領域902は結晶性、多結晶性、非結晶性、又は非晶質とすることが可能であり、且つ成長期間中にドーパントを付加すること、成長の後に拡散させること、又は成長の後にイオン注入することが可能である。更に直列抵抗を減少させるために、該上部層の上に半透明金属層(不図示)を付加させることが可能であり、又はインジウムスズ酸化物等の透明導電性金属酸化物を付加させることが可能である。
マイクロストラクチャ型Ge・オン・Si用の動作波長は、或る波長において80%以上、幾つかの場合には或る波長において50%以上、及び、幾つかの場合には該スパンの或る波長において20%以上、の外部量子効率で且つ25Gb/s以上のデータレートにおいて800nm乃至2000nmの範囲にわたる場合がある。
更に、GeSi又はGe層のいずれかは歪ませるか又は歪ませないことが可能である。幾つかの場合に、歪GeSi又はGe層は同等の歪ませていないGeSi又はGe層よりも一層小さなバンドギャップを有している。幾つかの場合に、歪GeSi又はGe層は、Si上の同等の緩和させたか又は歪ませていないGeSi又はGe層よりも転位密度が一層低い場合がある。幾つかの場合に、Si層は、結晶性及び/又は微結晶又は非晶質等の非結晶性のいずれかの形態でSi上に成長されたGeSi又はGe層上にエピタキシャル成長させるか及び/又は付着させることが可能である。当該技術において既知の如く、Siエミッタ及びコレクタとGeSi又はGeベースとでヘテロ接合バイポーラトランジスタを作ることが可能である。幾つかの場合に、歪を減少させるため及び貫通転位密度を減少させるためにGe又はGeSiに関連して炭素を使用することが可能である。
図10は、幾つかの実施例に基づく、PIN MSPD構造の概略断面図である。シリコン構造を図示しているが、それらの層の内のいずれかに対してGeSiを使用することが可能であり、その場合に、Geの割合は0から1に変化することが可能である。この例において、量子効率、即ち外部量子効率、即ち応答性を向上させるために反転型ピラミッド1012がマイクロストラクチャ孔としてエッチングされている。この量子効率の向上はフォトントラッピングに起因する場合があり、且つ、幾つかの場合には、該マイクロストラクチャ孔からの集団的再照射に起因する場合があり、及び/又は垂直な角度及び/又は-45乃至45度以上、及び、幾つかの場合には、-60乃至60度の範囲の垂直な角度からずれた角度のいずれかMSPD又はMSAPDの表面上に光が入射する場合に、回折が吸収層又は領域の面に沿った横断方向に支配的に伝播する光を発生させる場合がある。幾つかの場合には、表面に対して垂直から-30乃至30度以上である。
該PIN構造はP,I及びNからなる複数の領域である場合があり、その各々は同一又は異なるドーピングであり、且つ、幾つかの場合には、少なくとも一つの層又は領域はGeSiとすることが可能でありその場合のGeの割合は0から1の範囲とすることが可能である。エピタキシャル成長期間中に、ドーパントが拡散して高度にドープされた領域から低ドープ領域への遷移領域が発生する。数ナノメートルから数千ナノメートルの範囲である場合があるこの遷移領域のプロファイルは層厚さ、成長温度、成長速度、及びドーピング濃度等の要因に依存する。ドーパントの拡散は、物質品質、転位密度、及び歪等の要因に依存する。更に、GeSi・オン・Si又はGe・オン・Si又はSi・オン・GeSi又はSi・オン・Ge等のヘテロ接合の場合には、電荷トラッピングを回避するためにそのバンドギャップは意図的にグレーディングを付けることが可能であり、且つ、幾つかの場合には、そのグレーディングは成長条件に起因する意図的なものではない場合がある。
説明の便宜上、図10にはPINの3つの領域のみが図示されているに過ぎないが、実際には、I領域内へのP及びN遷移部分の影部分が存在しており、及び、幾つかの場合には、ヘテロ接合が関与するバンドギャップグレーチングが存在している。
該P領域は、固有抵抗が0.01Ω・cm未満である10乃至500nmの範囲の厚さを有することが可能である。該I又は低ドープ領域は、固有抵抗が0.1Ω・cm以上である100乃至5000nmの範囲の厚さを有することが可能である。該N層又は領域は固有抵抗が0.01Ω・cm以下である10乃至500nm以上の範囲の厚さを有することが可能である。該PIN構造はSOIウエハ上に成長させることが可能であり、BOXの厚さは10乃至4000nm以上の範囲で且つそのデバイス層即ち装置層はN又はPとすることが可能である。幾つかの場合には、該PINは例えばN型のバルクSi層上に成長させることが可能である。同様に、MSAPD(マイクロストラクチャアバランシェフォトダイオード)の場合には、その層構造はPIN、PIPIN、PNとすることが可能である。MSPD及びMSAPDの両方の場合に、そのP及びNは逆にすることが可能である。
マイクロストラクチャ孔1012の横方向表面寸法は100nm乃至3500nm以上の範囲とすることが可能である。マイクロストラクチャ孔1012は矩形状、三角形状、多角形状、円形状、楕円形状、アメーバ状、及び/又はこれらの形状の任意の組み合わせとすることが可能である。該孔は、更に、いずれかの寸法において、不規則的、ランダム、及び/又は可変性とすることも可能である。マイクロストラクチャ孔1012の隣接する端部間の間隔は10nm乃至5000nm、及び、幾つかの場合には、100nm乃至1500nmの範囲とすることが可能である。該間隔は、いずれかの寸法において、周期的、規則的、不規則的、及び/又はランダムなものとすることが可能である。マイクロストラクチャ孔1012の断面は漏斗型、円錐型、反転ピラミッド型、円筒型、楕円型、又はそれらの形状の任意の組み合わせとすることが可能である。その断面は、異なる垂直面に沿って切断される断面に対して異なるものである場合がある。マイクロストラクチャ孔1012の深さは50nm乃至5000nm以上の範囲とすることが可能である。幾つかの場合には、マイクロストラクチャ孔1012はP又はN型の第1ドープ領域内とすることが可能であり、且つ、幾つかの場合には、該マイクロストラクチャ孔は該第1ドープ領域を貫通して該低ドープ又はI領域内へ形成させることが可能である。幾つかの場合には、マイクロストラクチャ孔1012は該第1ドープ領域及び該低ドープ領域又はI領域を貫通しN又はPの第2ドープ領域内へ形成させることが可能である。幾つかの場合には、マイクロストラクチャ孔1012は該第1ドープ領域を含み且つ低ドープ又はI領域内へ延在することが可能である。例えば以下に記載する図12A-12Eを参照すると良い。
光学信号が図示した如くに表面に入射する。MSPD/MSAPDへの電気的コンタクトを与えるためにP及びN領域にアノード及びカソードが設けられており、その場合に、該低ドープ又はI領域を完全に空乏状態とさせるために逆バイアス電圧を印加させることが可能である。幾つかの場合には、その印加電圧は0乃至-50ボルトの範囲とすることが可能である。該光学信号に対応する電気信号が伝送線の付加によって該アノード及びカソードから取り出される。該MSPD/MSAPDは単一のチップ上にCMOS/BiCMOSエレクトロニクスとモノリシックに集積化させることが可能である。この様なモノリシック集積化は光学受信機のコストを著しく低下させ且つ寄生容量、インダクタンス、及び抵抗を減少させるので性能を改善させることが可能である。
光学信号波長は、純粋なSiの場合の0から純粋なGeの場合の1の範囲GeSi合金におけるGe割合に依存して750nm乃至2000nmの範囲を取ることが可能である。例えば、Ge・オン・SiのMSPD/MSAPDは700乃至2000nmで動作することが可能である。データレートは1Gb/s以下乃至100Gb/s以上の範囲を取ることが可能である。
表面横方向寸法が10nm乃至3000nm以上の範囲で且つMSPD/MSAPDの表面内への深さが10nm乃至5000nm以上の範囲である場合のマイクロストラクチャ孔1012等のマイクロ又はナノ構造の場合、鋭利な端部は電界を集中させる場合があり、従って、逆バイアスが増加される場合に、外部量子効率、即ち量子効率、即ち応答性が、例えば、高電界の領域において、特に鋭利又は急激な端部近くにおいてのアバランシェ利得に起因して逆バイアス電圧の関数として増加する場合がある。図10において、鋭利な端部はマイクロストラクチャ孔のエッチングがP層を通過してI領域内へ延在する箇所である場合があり、そこではP及びI領域は最早平坦状ではなくマイクロストラクチャ孔を有しており且つその端部は高電界の領域である場合がある。マイクロストラクチャ孔が無いメサPIN構造の場合には、P、I、及びN領域が急激に終端するメサの端部が高電界の領域である場合がある。しかしながら、マイクロストラクチャ孔がある場合には、アバランシェ利得用の光学強度と電界とが増加された重複となる高い光学強度の領域と一致する高い電界の一層多くの領域が存在している。
図11は図10における如きMSPD構造の実験結果を示すプロットである。この構造は正方形格子における周期が2000nmで横方向寸法が1300nmの反転型ピラミッドを有しており、そのP領域は300nmで、I領域は2000nmで、N領域は300nmの厚さである。光の波長は850nmであり、縦軸は応答性で、横軸は逆バイアス電圧である。曲線1110はMSPDであり、曲線1112はマイクロストラクチャ孔が無い等価なPIN構成体である。MSPDの応答性は逆バイアスが増加すると共に増加している。100%の量子効率に対応する応答性は約-10Vにおいて到達しており、且つ30程度に高い応答性は約-35Vにおいて到達しており、それは4000%の量子効率又は約80のアバランシェ利得に対応している。MSPD又はマイクロストラクチャ孔の無いPINPDのブレークダウン電圧は約-40Vである。MSPDは約-5V以上の逆バイアスにおいてMSAPDとして動作することが可能である。プレブレークダウン電圧におけるMSPD/MSAPDのアバランシェ利得は、マイクロストラクチャ孔が無い等価なフォトダイオード/アバランシェフォトダイオードのものよりも一層高い場合がある。実験的には、アバランシェ利得がMSPDにおいて観察されており、それは一層高い逆バイアス電圧においてMSAPDである場合がある。
図12Aは、図10におけるようなMSPD/MSAPD構造の概略断面図であるが、幾つかの実施例に基づいて、そのマイクロストラクチャ孔は導電性物質で部分的に又は完全に充填されている。該導電性物質1250の例は、インジウムスズ酸化物のような透明導電性金属酸化物、及び多結晶性又は非晶質シリコン、ゲルマニウム、又はその他の半導体を包含している。該マイクロストラクチャ孔は、エピタキシャル成長を使用して、シリコン、GeSi、及び/又はGe等の結晶性半導体で完全に又は部分的に充填させることが可能である。更に、幾つかの場合には、該マイクロストラクチャ孔は、1nm乃至100nm以上の範囲の厚さのPt、Ni、Cr、Au、Cu等の薄い金属で部分的に又は完全にコーティングさせることが可能である。光は、例えば、ビア(via)を介して底部から入射させることも可能である。
該導電性物質の付加は、高電界を集中させるための鋭利及び/又は急激な端部を更に強調させてアバランシェ利得用の高電界領域と高光学強度領域との重複を向上させる場合がある。
このことはPIN、PN、PINP、又はPIPIN構成体、金属半導体のショットキー接合、及びMSM及びMIN構成体(尚、Mは金属でSは半導体)へ適用させることが可能である。PとNとは交換可能である。
マイクロストラクチャ孔1212は、第1ドープ領域1202内、低ドープ領域1204内に形成することが可能であり、及び/又は第2ドープ領域1206内へ延在することが可能である。全ての場合において、マイクロストラクチャ孔1212はこれらの領域の内の一つ又はそれ以上をトラバース即ち横断することが可能である。幾つかの場合において、図12Aに示されるごとく、マイクロストラクチャ孔1212は、第1ドープ領域1202を貫通し且つ低ドープ領域1204内へ突出して形成することが可能である。
装置ブレークダウン電圧前のアバランシェ利得を有するMSAPDの利点は、装置ブレークダウン電圧において動作するアバランシェフォトダイオードよりも、例えば、-40℃から100℃への温度変化での環境における動作において一層信頼性があり且つ一層堅牢である場合があるということである。
利得が無い場合には、その光検知器はMSPDとして動作し、且つ利得がある場合には、その光検知器はMSAPDとして動作する。この様な装置の例示的な適用例は光学通信、LIDAR、単一フォトンMSAPD、イメージング、及び低光レベルの検知用光学センサー等における場合がある。
例えば、ファイバーツーザホーム(FTTH)は1乃至50Gb/sの範囲のデータレートでのアバランシェフォトダイオードの使用を必要とし、且つLIDAR(光方向及び測距)は数十ピコ秒のタイミングジッタでの1Gb/s未満のデータレート用のMSAPDを必要とする。LIFI(ライトフィデルティ-情報及び位置を送信するために光を使用するワイアレス通信)は、自由空間光学通信用にMSAPDを使用することが可能な別の分野である。ナイトビジョン及び高感度イメージングも一層大きなスペクトル情報を与えるために2次元アレイ、及び、幾つかの場合には、3次元アレイにおいてのMSAPDを使用することが可能である。
図1-4、8-10、及び12A-Eにおけるマイクロストラクチャ孔は、表面において任意の形状とすることが可能であり且つ任意の断面形状とすることが可能である。例えば、表面において、マイクロストラクチャ孔は円形状、矩形状、正方形状、三角形状、多角形状、楕円形状、アメーバ状、星形形状、及び/又は任意のパターン又はパターン無しでのこれらの形状の任意の組み合わせとすることが可能である。該断面形状は、漏斗型、反転ピラミッド型、円錐型、円筒型、砂時計型、球型、楕円型、ピラミッド型、台形型、反転台形型、及び/又はそれらの任意の組み合わせとすることが可能である。更に、該領域及び/又は層の内の一つ又はそれ以上はGe割合が0と1の範囲であるGeSi合金とすることが可能である。
幾つかの場合には、該マイクロストラクチャ孔は、金属、透明導電性金属酸化物、導電性ポリマー、導電性非晶質半導体、導電性スピンオンガラス、結晶性及び/又はポリ結晶性半導体等の導電層で完全に又は部分的にコーティンングさせることが可能であり、そのことは鋭利又は急激な端部においての高電界の発生を更に向上させる。高電界と光学フィールド即ち光学強度との間の重複の増加は、量子効率、即ち外部量子効率(量子効率及び外部量子効率という用語は本願においては等価なものとして交換可能に使用している)、即ち逆バイアス電圧の関数としての応答性を著しく向上させることが可能である。図11に示されるごとく、高い量子効率即ち応答性は、ブレークダウン電圧の前で低い逆バイアス電圧において達成することが可能である。応答性は、850nmにおいて0乃至-35Vの電圧範囲にわたり0.3乃至10の範囲である場合があり、且つ、幾つかの場合においては、-2Vにおける応答性は或る波長においての0Vにおける応答性よりも1.3倍一層大きい場合がある。幾つかの場合には、その応答性は0と-3Vの電圧の間において1.5倍以上である場合がある。幾つかの場合には、その応答性は0と-10Vの電圧の間において2倍以上である場合がある。幾つかの場合には、その応答性は或る波長における0Vにおける応答性よりも-10Vの電圧において3倍以上である場合がある。例示的な波長は850nmを含んでおり、且つ、幾つかの場合には、800nm乃至2000nmの範囲における波長を含んでいる。
マイクロストラクチャ孔の導電層コーティングがある場合には、量子効率は、或る波長における0Vと比較して-2Vの逆バイアス電圧において20%だけ増加する場合がある。幾つかの場合において、該量子効率は、0Vと比較して-3Vの電圧において40%以上だけ増加する場合がある。幾つかの場合において、該量子効率は、或る波長においての0Vと比較して-4乃至-10Vの電圧範囲において2倍以上である場合がある。幾つかの場合において、該量子効率は、或る波長における0Vにおける量子効率と比較して-4乃至-30Vの電圧範囲において10倍以上である場合がある。マイクロストラクチャ孔が無い同等のP+INフォトダイオードでは、例えば、図11に示した如く、ブレークダウン電圧から5Vの電圧での応答性における増加はより少ない。
幾つかの場合には、印加電圧にわたっての応答性における変化割合は-2V以上の印加電圧に対して0.02乃至1A/(WV)以上の範囲である場合がある(逆バイアス電圧において一層高い、一層大きい、もっとということは電圧の一層大きな絶対電圧のことを意味しており、例えば、-3Vは-2Vよりも一層大きく、一層高く、もっとということである)。幾つかの場合には、MSPD/MSAPDは0.01A/WV以上の応答性/電圧勾配を有する場合がある。幾つかの場合には、応答性電圧勾配は0.04A/WV以上である場合がある。幾つかの場合には、応答性電圧勾配は0.08A/WV以上である場合がある。幾つかの場合には、応答性電圧勾配は0.2A/WV以上である場合がある。幾つかの場合には、応答性電圧勾配は0.4A/WV以上である場合がある。幾つかの場合には、応答性電圧勾配は0.8A/WV以上である場合がある。そして、幾つかの場合には、応答性電圧勾配は1A/WV以上である場合がある。その勾配測定は逆バイアス電圧の-1V乃至-50Vの範囲内のものとすることが可能である(X軸即ち電圧軸、図11参照)。波長は800nm乃至2000nmの範囲とすることが可能である。本書において使用されるように、該勾配は絶対勾配として定義されており且つ印加電圧がどこであるかが絶対数である(例えば、負の電圧の場合には、A/WV勾配は負である)。
幾つかの場合には、量子効率(QE)/Vの比(絶対値)はボルト当たり0.01乃至0.2以上の範囲である場合がある。幾つかの場合には、そのQE/V勾配は0.005
/V以上である場合がある。幾つかの場合には、該勾配は0.01/V以上である場合がある。幾つかの場合には、該勾配は0.05/V以上である場合がある。幾つかの場合には、該勾配は0.08/V以上である場合がある。幾つかの場合には、該勾配は0.1/V以上である場合がある。幾つかの場合には、該勾配は0.15/V以上である場合がある。幾つかの場合には、該勾配は0.2/V以上である場合がある。幾つかの場合には、該勾配は0.5/V以上である場合がある。幾つかの場合には、該勾配は1/V以上である場合がある。幾つかの場合には、該勾配は10/V以上である場合がある。そして、幾つかの場合には、該勾配は30/V以上である場合がある。絶対数か又は単に数の大きさで表すことが可能なQE/V勾配を計算するために、電圧軸は-1V乃至-50V以上の範囲とすることが可能である。
応答性/V及びQE/Vの勾配における増加は、幾つかの場合には、-1V乃至-10Vの電圧範囲において発生する場合がある。幾つかの場合においては、その増加は-2V乃至-10Vの電圧範囲おいて発生する場合がある。幾つかの場合には、その増加は-1乃至-15Vの電圧範囲において発生する場合がある。幾つかの場合には、その増加は-2乃至-15Vの電圧範囲において発生する場合がある。幾つかの場合には、その増加は-2乃至-20Vの電圧範囲において発生する場合がある。幾つかの場合には、その増加は-2乃至-30Vの電圧範囲において発生する場合がある。そして、幾つかの場合には、その増加は-2乃至-35V以上の電圧範囲において発生する場合がある。
幾つかの場合においては、一つ又はそれ以上の半導体層はGe/GeSiとすることが可能であり、その場合に、Ge割合は0(全Si)から1(全Ge)へ変化することが可能である。透明金属酸化物はITO、Mo酸化物、W酸化物、及び、幾つかの場合には、TiN等の透明金属窒化物とすることが可能である。そして、幾つかの場合には、10nm未満の厚さを有する薄い金属膜を使用することが可能である。使用される金属はAl、Cr、Ni、W、Mo、Zr、V、Pt、Ti、Ta、Cu、Ag、及びAuを包含することが可能である。
図12B及び12Cは図12Aと同様であり且つ、幾つかの実施例に基づいて、上部P層無しで図示してある。図12Bにおいて、金属/透明金属酸化物/透明金属窒化物1250はI又は低ドープSi又はGe又はGeSi層1204上に直接付着させることが可能である。この例においては、該金属及び導電性酸化物/窒化物層もマイクロストラクチャ孔1212内に付着される。幾つかの場合には、金属シリサイド層を使用することが可能である。
図12Cにおいて、該金属/透明金属酸化物/透明金属窒化物/金属シリサイド1252は該I又は低ドープSi又はGe又はGeSi1204の上部表面上にあり且つマイクロストラクチャ孔1212内ではない。幾つかの場合に、該金属/透明金属酸化物/透明金属窒化物/金属シリサイド1252は部分的に該マイクロストラクチャ孔内に存在することが可能である。
図12Dは図12Cと同様であるが、マイクロストラクチャ孔が形成されていない点で異なっている。幾つかの場合に、強い光学吸収がある場合には、マイクロストラクチャ孔が無い光検知器構造を或る波長において使用することが可能である。マイクロストラクチャ孔を有する光検知器は、或る波長において、該孔の無い同様の光検知器よりも一層高い外部量子効率を有する場合がある。
図12Eは、図12A-12Dに示したような光検知器の概略平面図である。この例においては、該光検知器は円形状の幾何学的形状を有しており、そのアノード1220及びカソード1222は同心円状である。幾つかの場合に、該幾何学的形状は正方形や六角形等の任意の多角形とすることが可能である。幾つかの場合に、一つを超える光検知器をCMOS BiCMOS ASICとモノリシックに集積化させることが可能である。それらの光検知器は伝送線1240及び1242で該CMOS BiCMOS ASICと接続される。幾つかの場合に、そのP及びNは交換可能である。この例はマイクロストラクチャフォトダイオード、又はマイクロストラクチャアバランシェフォトダイオード、又はマイクロストラクチャ単一フォトンアバランシェフォトダイオード用とすることが可能である。図12Eにはアノード1220とカソード1222との間に円形状の点線で示されているメサエッチも図示されている。
幾つかの場合には、そのBOX層を該光検知器下側の領域において部分的に又は完全にエッチング除去することが可能であり、且つ、幾つかの場合には、該BOX層を該CMOS BiCMOS ASIC領域下側において部分的に又は完全にエッチング除去することが可能である。
図13は図4と同様であり、且つCMOS/BiCMOSエレクトロニクスとモノリシックに集積化されているSi上に成長されているGeSi及び/又はGe選択的区域の概略断面図である。図13において、該Ge及び/又はGeSi(Ge割合部分は純粋Siである0から純粋Geである1へ変化することが可能)1304は誘電体島状部1310上に成長させて連続的であるか又はほぼ連続的な上部層を形成することが可能であり、且つ、その場合に、該誘電体島状部1310は埋設させるか及び/又は殆ど埋設させることが可能である。幾つかの場合に、該誘電体1310は、以下のもの、即ち、二酸化シリコン、シリコン酸化物、窒化シリコン、炭化ケイ素、ハフニウム酸化物、アルミニウム酸化物、及びゲルマニウム酸化物、の内の一つ又はそれ以上から構成することが可能である。幾つかの場合に、島状部1310における誘電体物質はエッチングにより除去してGe及び/又はGeSi合金層内にボイドを形成することが可能である。幾つかの場合に、島状部1310は誘電体層で被覆されたシリコン又は部分的なシリコンとすることが可能であり且つ、幾つかの場合に、一つ又はそれ以上の誘電体層で被覆されないものとすることが可能である。
該Ge及び/又はGeSi層1304は、低温Ge又はGeSiバッファ層を有するか又は有することのないSi1306上に、選択的区域成長を使用してエピタキシャル的に及び幾つかの場合には非エピタキシャル的に形成させることが可能であり、且つ低ドープ領域又はI(意図的にドープしておらず、即ち真性)領域1304は、100nm乃至2000nm以上の範囲の厚さを有することが可能であり且つ0.01Ω・cm未満の固有抵抗を有する高度にドープしたP又はN領域1302がそれに続くことが可能である。領域1302は50nm乃至200nm以上の範囲の厚さを有することが可能である。幾つかの場合に、全選択的区域成長層1304はI領域か又は例えば1×1016/cm未満のドーピングレベルでの低ドープ型とすることが可能であり、且つP又はN領域1302はドーパント拡散及び/又はP又はN型イオンのイオン注入によって形成することが可能である。幾つかの場合に、薄い金属コンタクト(不図示)を該I又は低ドープ領域上に使用してショットキーコンタクト又は金属対半導体接合を形成することが可能である。
誘電体島状部1310の横方向寸法は200nm乃至3000nmの範囲とすることが可能であり且つ正方形、多角形、三角形、円形、楕円、星形、アメーバ型、及び/又は任意のその他の形状及び形状の組み合わせとすることが可能である。その断面は異なる方向において異なるものとすることが可能であり、且つピラミッド又は反転ピラミッド、台形又は反転台形、単一又は複数の側壁角度、円筒型、漏斗型、垂直、オフ垂直、湾曲型、及び任意のその他の断面及び断面の組み合わせとすることが可能である。該誘電体及び/又は酸化物の厚さは1nm乃至2000nm以上の範囲とすることが可能である。幾つかの場合に、選択的区域エピタキシャル成長期間中に、区域にわたり且つ誘電体及び/又は酸化物を有する区域近くにボイドを形成することが可能である。これらのボイドはフォトントラッピングのためにも使用することが可能であり、それは協同散乱又はGe又はGeSi領域等の一層高い屈折率領域内、及び、Si膜の場合には、上部空気界面と異なる屈折率のいずれかの界面との間、に結果的にトラップされる入射フォトンの再放射である場合がある。
島状部1310は50nm乃至2000nm以上の範囲の間隔を有することが可能であり、且つ一つ又はそれ以上の方向において周期的及び/又は非周期的及び/又はランダムとすることが可能である。幾つかの場合に、該島状部は異なる寸法及び形状及び深さを有することが可能であり且つ或るパターン状であることが可能であり又は寸法、形状、及び深さの特性の内の何れかにおいてランダムなものとすることが可能である。
図14は、幾つかの実施例に基づいて、側壁及び上部表面の一部又は全ての上に酸化物を有する場合があるパターン形成したシリコン表面上のGe又はGeSi合金のエピタキシャル選択的区域成長の概略断面図である。パターン形成したシリコン島状部即ち突起1412の高さは、図示した如くに、Ge又はGeSi層1404の厚さ未満からGe又はGeSi層1404の厚さより一層大きいものとすることが可能である。幾つかの場合に、N又はPシリコン島状部又はメサ1412は、PIN又はPIPIN又はNINIP又はN+N-I-P-P+又はPIN又はIP領域を有するいずれかのフォトダイオード又はアバランシェフォトダイオード内に高電界の領域を与えることが可能であり、従って全体的な装置のブレークダウン電圧の前にアバランシェ利得を達成することが可能である。シリコンメサ又は島状部1412の幅及び形状は図13に関して記載した誘電体島状部と同様のものとすることが可能である。幾つかの場合に、選択的エピタキシャル成長又はパターン形成した表面上のエピタキシャル成長の期間中に、ボイドを形成させることが可能であり、そのことはフォトントラッピングにおいて有用である場合がある。トラップされたフォトンは該表面の面において、即ち横方向において、ポインティングベクトル成分を有する場合がある。幾つかの場合に、層1404全体が、例えば、I領域又は低ドープ領域とすることが可能であり、且つP又はN領域1402はドーパント拡散及び/又はP又はN型イオンのイオン注入によって形成することが可能である。
マイクロストラクチャ孔の無いPIN又はNIP構造における電界は支配的に垂直方向に沿ってであり、即ちPIN又はNIP光検知器の表面に入射する前の入射フォトンの方向に沿って支配的である。
該アノードとカソードとに0.1V乃至50Vの範囲の逆バイアスを印加させることが可能である。幾つかの場合に、該逆バイアス電圧は1V乃至35Vの範囲とすることが可能である。幾つかの場合に、該逆バイアス電圧は1V乃至10Vの範囲とすることが可能である(電圧の大きさのみが示されているが、該アノードは該カソードよりも一層負であり、即ち該カソードと比較して該アノードへ負の電圧が印加される)。
全ウエハにわたってのGe又はGeSiエピタキシャル成長よりもGe及び/又はGeSiの選択的区域成長の方が好適である場合がある。格子定数及び熱膨張係数における差異に起因して、ウエハが室温へ冷却されると、熱膨張における差異が応力に起因してウエハを湾曲させる場合がある。選択的区域エピタキシャル成長は局所化されており且つミクロンの大きさである光検知器及びトランジスタ領域においてのみのGe及び/又はGeSiを成長させることが可能であるので、ウエハを湾曲させることはない。Ge及び/又はGeSiの選択的区域成長は緩和型又は非緩和型とすることが可能であり、且つ熱膨張における不整合に起因して局所的な歪を有している場合がある。
少なくとも一つの領域がGe又はGeSiであるGe又はGeSi光検知器は、800nm乃至2000nm、及び、幾つかの場合には、800nm乃至1750nm、及び、幾つかの場合には、1000nm乃至1550nm、及び、幾つかの場合には、1250nmn乃至1350nm、及び、幾つかの場合には、830nm乃至1400nm、及び、幾つかの場合には、100nm乃至1350nm、の範囲にわたる波長において動作することが可能である。データレートは、1Gb/s未満乃至100Gb/s以上の範囲とすることが可能である。全ての場合に、Ge及びGeSi MSPD/MSAPD(マイクロストラクチャフォトダイオード及びマイクロストラクチャアバランシェフォトダイオード)は、上部表面から及び/又は底部表面から面照射される単一の及び/又は複数個のMSPD/MSAPD装置を有する単一のチップへ、CMOS及び/又はBiCMOS応用特定集積回路(ASIC)とモノリシックに集積化させることが可能である。
該MSAPD及びMSPDの適用例は、数例を挙げると、LIDAR、LIFI、ファイバーツーザホーム、データ通信、データセンター、プラスチックオプチカルファイバ。イメージング、を包含している。
図11における如く、マイクロストラクチャ孔、マイクロストラクチャ島状部又はメサは一層高い電界の領域を発生させることが可能であり、そのことは、マイクロストラクチャの無い同等の光検知器に対するよりもマイクロストラクチャ型光検知器に対して一層高い応答性とさせることが可能である。その応答性の増加は、幾つかの場合に装置アバランシェ電圧と呼ばれる装置ブレークダウン電圧より下のバイアス電圧において2倍乃至10倍以上の範囲である場合がある。
図15は、幾つかの実施例に基づいて、CMOS/BiCMOS ASICとモノリシックに集積化されたMSPD/MSAPDの概略断面図である。該CMOS/BiCMOS ASICは以下の機能性、即ち、信号処理、信号表示、信号条件付け、信号格納、及び信号伝送、の内の一つ又はそれ以上を包含することが可能である。そのシリコン基板は、エピタキシャル成長前にメサ及び/又は孔等のマイクロ又はナノ構造で事前にパターン形成することが可能であり、又は該パターンは、例えばN+層の第1ドープ層を成長させた後に付与させることが可能である。マイクロ/ナノ構造1510のパターンは周期的、非周期的、ランダムとすることが可能であり且つ基底部における横方向寸法が5nm乃至2500nmの範囲で深さ又は高さが10nm乃至2000nm以上の範囲であるピラミッド、反転ピラミッド、円錐状、多角形状、円筒状、及び/又は形状の任意の組み合わせの範囲の断面形状を有することが可能である。
幾つかの場合に、該メサ及びナノ・マイクロ孔はパターン形成されず、ブラックシリコン(black silicon)と同様に5nm乃至2000nm以上の範囲の深さ及び/又は高さへ基板内へドライエッチングされる。
Si及び/又はGe及び/又はGeSi層1504及び1506は該パターン形成された表面上にエピタキシャル的に成長させることが可能であり、且つ、幾つかの場合には、熱アニールと共に又は無しで付着の非エピタキシャルプロセスを使用することが可能である。PIN構造の場合、N+層又は領域1506を成長させ、次いで低ドープ層又は領域1504を成長させ、次いで高度にドープしたP+層又は領域1502を成長させることが可能である。該ドープした層又は領域の厚さは50nm乃至500nm以上の範囲とさせることが可能であり且つ低ドープ層又は領域は100nm乃至5000nm、及び、幾つかの場合には、200nm乃至1500nm、の範囲の厚さを有することが可能である。マイクロストラクチャ孔1512は、ドライエッチング又はウエットエッチング又は両方の組み合わせによって上部ドープ表面1502内にエッチングさせることが可能であり且つ上部ドープ領域1502内を延在することが可能である。マイクロストラクチャ孔1512は該上部ドープ領域1502を貫通して低ドープ領域1504内へ延在することが可能である。マイクロストラクチャ孔1512は低ドープ領域1504を貫通し及び/又は第2ドープ領域1506内へ延在することが可能である。幾つかの場合に、マイクロストラクチャ孔1512はBOX層へ又はその中へ延在することが可能であり、且つ、幾つかの場合には、BOX層を省略することが可能であり、且つ該マイクロストラクチャ孔は基板へ又はその中へ延在することが可能である。該BOX層は、全ての場合に、オプションとすることが可能であるが、BOX層は一層大きな屈折率対比を与える場合があり、且つ、幾つかの場合に、該BOX層は完全に又は部分的に選択的にエッチング除去させることが可能である。幾つかの場合に、高帯域CMOS/BiCMOS(相補的金属酸化物半導体/バイポーラ相補的金属酸化物半導体)が好適にSOI(シリコン・オン・インシュレータ)ウエハ上に形成される。
マイクロストラクチャ孔1512の寸法は、それらの最大横方向寸法において、100nm乃至3000nm、及び、幾つかの場合には、500nm乃至2000nmの範囲である場合があり、且つ矩形状、三角形状、多角形状、円形状、楕円状、星型、アメーバ状、及び形状の任意の組み合わせ及び加算とすることが可能である。その断面形状は反転ピラミッド、円錐状、漏斗状、台形状、円筒状、楕円状、及び形状の任意の組み合わせ及び加算とすることが可能である。マイクロストラクチャ孔1512は光検知器の表面に渡る分布において周期的、非周期的、ランダムなものとすることが可能である。隣接するマイクロストラクチャ孔の間の間隔は50nm乃至2500nmの範囲とすることが可能である。該MSPD/MSAPDの感光性横方向寸法は、帯域幅及び適用例に依存して、5μm乃至1000μm以上、及び、幾つかの場合には、20μm乃至5000μm、の範囲とすることが可能である。
テクスチャを付けた基板は、更に高電界を集中させて高電界領域を有するトラップされた光学モードの一層高い重ね合わせを与えて低逆バイアスにおいて即ちPIN又はNIP光検知器のブレークダウン電圧より低いバイアスにおいてアバランシェ利得を介しての応答性の向上を提供することが可能である。幾つかの場合には、該PINはPP-N又はPN-N又はPN又はNPとすることが可能である。
高い応答性のMSPD/MSAPDは、データ通信及びLIDAR適用例の両方において有用である。両方の適用例に対する市場規模は1年あたり数十億ドルの範囲内にあると予測されており、且つモノリシック集積化は、幾つかの場合には、光学トランシーバ及びLIDARのコストを高々90%及びそれを超えて減少させるための重要な因子となる場合がある。CWDM(粗波長分割多重)用に使用されるMSPD/MSAPDの表面照射型アレイは、集積化導波路マルチ波長光学回路よりも、データセンター相互接続適用例において温度感受性が一層低く且つ一層堅牢である。
LIDAR適用例の場合、SiMSPD/MSAPDは、エレクトロニクスと高い密度のアレイに集積化させることが可能であり、且つ、フォトントラッピングと共に、波長を1100nmへ拡張させることが可能であり、且つ、アバランシェ利得と共に、同じ逆バイアスにおける同等の従来のSi光検知器と比較して2倍乃至10倍以上の応答性を有することが可能である。Ge及びGeSi合金の付加によって、波長は800nm乃至1800nm以上へ拡張させることが可能であり、且つ単一のシリコンチップ上にエレクトロニクスと高密度アレイに集積化させることが可能である。アバランシェ利得がある場合、マイクロストラクチャ孔の無い同様のGe又はGeSi光検知器と比較して或る逆バイアス電圧においての或る波長において、応答性は2倍乃至10倍以上である場合がある。全ての場合において、該領域又は層の内の少なくとも一つはGeSiとすることが可能であり、その場合に、Geの割合は0乃至1の範囲にわたることが可能である。図15においては分離トレンチ1560も見えている。
図16は、幾つかの実施例に基づいて、垂直共振器面発光レーザ、MSPD/MSAPD及びCMOS/BiCMOS ASICを含む単一シリコンチップの概略平面図である。1次元、2次元、及び3次元でのMSPD/MSAPD/MS-SPAD(マイクロストラクチャフォトダイオード、マイクロストラクチャアバランシェフォトダイオード、マイクロストラクチャ単一フォトンアバランシェフォトダイオード)アレイ1630は、光学受信機ASICエレクトロニクスとモノリシックに集積化させることが可能であり、且つ送信機ASICエレクトロニクス1632も同一の単一シリコンチップ1600上へモノリシックに集積化させることが可能である。該モノリシック集積化は、光検知器1630からASIC1632への及びASIC1632からVCSEL1634への埋設型電子伝送線を包含することが可能である。ASIC1632内には逆及び順方向バイアスを包含させることが可能である。該VCSEL1634III-V物質群であり且つ不均質物質成長、III-V物質のシリコンへのウエハボンディング、エピタキシャルリフトオフ技術、及び、幾つかの場合には、フルイディックアッセンブリ即ち流体組立を使用して集積化させることが可能である。組立の場合には、該VCSELの底部とコンタクトしている埋込型電極伝送線及び/又は該VCSELの上部又は上部近くとコンタクトしている別個の電極伝送線を有しているシリコン内へピットをエッチング形成することが可能である。幾つかの実施例によれば、電極/伝送線の両方が該VCSELの上部又は上部表面近くとコンタクトすることが可能である。VCSEL1634は半田ボールを有するビームリードを有することが可能であり、従って、各VCSELを専用のピット内へ落下させることによって、該半田ボール/ビームリードは電極/伝送線とコンタクトを形成することが可能である。更に、半田ボールは該ピットの底部へ付着することが可能であり、従って、加熱することにより、該VCSELは該ピットの底部へ取り付けることが可能であり、それは該VCSELから熱を引き出すために外部ヒートシンクへ延在することが可能な銅スラグ等の熱的ヒートシンクを包含することも可能である。幾つかの場合には、該VCSELは、数個の例を挙げると、例えばニッケル、鉄、レアアース等の強磁性、常磁性物質等の磁界に引き付くことの可能な金属を包含することが可能である。反対極性の磁性物質を該VCSELの背面及び該ピットの底部上に付着させることが可能であり、従って、該VCSELチップは、幾つかの場合には、該ピット内に自己組立することが可能である。該ピット形状及び該VCSEL形状は、例えば台形形状を使用する場合のように、該VCSELチップが一方向においてのみ嵌合することが可能であるような或る形状である。該VCSEL上の突出するビームリードは、該VCSELチップがそのレーザ射出表面を下側に向けて該ピット内に入ることを阻止する。幾つかの場合に、磁性層は使用しない場合がある。幾つかの場合に、該ピットは漏斗形状である場合があり、且つ該VCSELチップは、例えば、漏斗形状及び台形状とさせることが可能であり、その結果、該VCSELは一つの方向においてのみ該ピット内に嵌合することが可能である。幾つかの場合に、ビームリードが使用されない場合がある。該VCSELの電極/伝送線への取り付けは付加的なマスキング及び処理ステップで達成することが可能である。ASIC1632は、その電気的出力を処理するために、各々が夫々の光検知器1630又は夫々のグループの光検知器1630へ結合されている複数個のアクティブ電子回路を有することが可能である。更に、アクティブ電子回路1632の内の一つ又はそれ以上は一つ又はそれ以上の夫々のVCSEL1634と結合させてそれらを必要に応じて駆動させることが可能である。個別的なアクティブ電子回路は、直線的アレイ、二次元アレイ、三次元アレイ等の垂直配置等の任意の適宜の形態とすることが可能である。
幾つかの場合に、該ピットは、アレイ内のVCSELが異なる角度で光のビームを投射することが可能であるように異なる角度で配向させることが可能である。幾つかの場合に、アレイ内のVCSELのグループはそのアレイ内のVCSELの他のグループとは異なる角度を有することが可能である。グループは一つ又はそれ以上のVCSELとすることが可能である。
幾つかの場合に、自己組立は流体及び/又は液体が関与する場合がある。幾つかの場合に、シリコンモノリシックチップは自己組立を助けるために流体内において振動させることが可能である。幾つかの場合に、モノリシックシリコンウエハが個々のチップへ切断される前にウエハスケールレベルで全体的な製造プロセス及び組立が完了される。幾つかの場合には、組立後ウエハスケールレベルにおいて、モノリシック集積チップがVCSELと共にハーメチックシール即ち密封される。
図17は、幾つかの実施例に基づいて、シリコンモノリシック集積化チップ内にエッチングされたリセプタクル台形ピット内に嵌合するビームリード台形形状テーパ状VCSELチップの概略斜視図である。VCSELチップ1634は、上から見た場合に台形形状をしている本体1710内にマウントされているエミッタ1708を有している。本体1710は又それが底部端部1714よりも上部端部1712において一層大きいようにテーパーが付けられている。VCSELチップ1634は、更に、2個のビームリード1716及び1718を包含しており、それらは本体1710の上部端部1712の上方を横方向に突出している。単一シリコンチップ1600(図16中に図示)の上部表面1730上にはピット1720が形成されており、それはVCSELチップ1634の形状と一致している。特に、ピット1720は、上から見た場合に、台形形状をしている。ピット1720もテーパーが付けられており、従ってそれは底部端部1724におけるよりも上部端部1722において一層大きくなっている。VCSEL1634とピット1720の両方の形状及びテーパーのために、点線矢印1750で示した如く、該VCSELは該ピット内に一つの配向状態のみで嵌合することが可能である。
半田ボール1746及び1748は、夫々、伝送線1736及び1738へ取り付けることが可能である。VCSEL1634がピット1720内に配置されると、ビームリード1716及び1718は、夫々、伝送線1736及び1738へ熱を付与することによって半田付けさせることが可能である。半田はVCSELのビームリードの底部上にも配置させることが可能である。
ウエハレベルにおいて流体自己組立を使用することは、トランシーバアレイ又はデータセンター適用例用のトランシーバ単一エミッタ及び受信機の製造コストを著しく減少させることが可能である。この様な技術を使用することはトランシーバのコストを最大で90%だけ及びそれを超えて減少させることが可能である。同様の技術をLIDAR適用例に対する送信機及び受信機アレイへ適用させることも可能である。幾つかの場合に、最大で99%及びそれを超えるLIDARのコスト低下を達成することが可能である。
図18及び19Aは、図16に示したものと同様のチップの平面図であるが、各チップ本体にVCSELが含まれている。図18の場合には、単一シリコンチップ1800が、複数の光検知器1630と、図16に示した如く、1個又はそれ以上のASIC1632と、各々が4個のVCSEL1808を包含しているVCSELチップ1834とを包含している。図19Aの場合には、単一シリコンチップ1900が、複数の光検知器1630と、図16及び18に図示した如く、ASIC1632と、8個のVCSEL1908を含んでいるVCSELチップ1934とを包含している。注意すべきことであるが、図18及び19Aに示した例は各チップにおいて4個及び8個のVCSELを示しているが、一般的には、各チップ内にはその他の数のVCSELを配置させることが可能である。
幾つかの場合に、VCSELの流体自己組立は、薬局において錠剤を計数するための錠剤トレイを使用するようなものと考えることが可能であり、その場合に、全ての錠剤穴が充填されると、過剰な錠剤はボトルへ流し戻され、錠剤穴内にトラップされた錠剤は顧客のために別個のボトル内へ流し込まれる。
幾つかの場合に、VCSELチップ電極及び伝送線電極が冗長性及び対称性を有している場合には、台形等の一つの配向だけではなく、矩形又は正方形等の2つ又はそれ以上の配向を使用することが可能である。例えば、1個又はそれ以上のVCSELを包含している矩形形状VCSELチップの場合、ビームリード等の電極は、片側において一つの極性であり且つ反対側において逆の極性とすることが可能である。この様に、VCSELチップは矩形状のピットに嵌り且つ正しい極性で伝送線電極とコンタクトすることが可能である。このことは正方形ピットの場合へ拡張させることが可能であり、その場合には、VCSELチップは、各側部から一対づつ4対の電極を有することが可能である。この1から4への付加された配向上の自由度は流体自己組立の成功率及び歩留まりを一層大きなものとさせることを可能とする。
幾つかの場合に、光学的相互接続適用例の場合、各VCSELはその自身の組の電極及び伝送線を有しており、従ってVCSELチップが1個を超えるVCSELを包含している場合には、付加的な対の電極及びビームリードが必要とされる場合がある。同様に、LIDAR適用例の場合、各VCSELが別個にパルス動作される場合には、各VCSELは付加的な対のビームリード電極を必要とすることとなるが、複数のVCSELからなる複数のグループが一緒にパルス動作される場合には、ビームリード電極の数はそれに対応して減少させることが可能である。
幾つかの場合に、BOX(埋込酸化物)層は設けられていない。幾つかの場合に、該BOXは、MSPD/MSAPD感光性区域内又はそれ無しでマイクロトレンチを使用して、MSPD/MSAPD下側の区域から部分的に及び/又は完全にエッチング除去させることが可能である。幾つかの場合に、寄生効果を可及的に減少させてエレクトロニクスの性能を更に向上させるために、CMOS/BiCMOSエレクトロニクス下側の或る区域において該BOX層を部分的に及び/又は完全に除去することが望ましい場合がある。
該BOX層の除去によって露出されたMSPD/MSAPD及び/又はCMOS/BiCMOSエレクトロニクス下側の表面をパッシベートさせるために熱酸化を使用することが可能である。
幾つかの場合に、該層又は領域のいずれかをGeSiとすることが可能であり、又は該層の内の少なくとも一つをGeSiとすることが可能である。そのGe割合は0「純粋シリコン」から1「純粋ゲルマニウム」の範囲とすることが可能である。
幾つかの場合に、例えばP層等のドープ層の内の少なくとも一つは、薄い金属層及び/又はインジウムスズ酸化物等の透明導電性金属酸化物層で置換させることが可能である。該金属又は金属酸化物層はマイクロストラクチャ孔を有することが可能である。そして、幾つかの場合において、該金属層及び/又は金属酸化物層はマイクロストラクチャ孔がないものとすることが可能である。例えば、半導体物質無しでマイクロストラクチャ酸化物を使用して領域を画定する選択的区域成長の場合には、これらの酸化物は、例えばシリコン上のゲルマニウム及び/又はゲルマニウムシリコン合金などの半導体の選択的区域成長においてマイクロストラクチャ孔を画定するために使用することも可能である。この場合には、該金属及び/又は金属酸化物層は選択的区域成長された半導体の表面上にわたっての連続的な層とすることが可能である。該金属又は金属酸化物膜はその厚さが0.5nm乃至1000nm以上の範囲とすることが可能である。幾つかの場合には、1乃至10nmである。マイクロストラクチャ孔の横方向寸法は、10nm乃至2000nmの範囲とすることが可能である。そして、幾つかの場合に、200nm乃至2000nmである。該孔の縦方向の深さは1nm乃至3000nmとすることが可能であり、且つ、幾つかの場合には、該マイクロストラクチャ孔は該金属又は金属酸化物層内にのみ存在するものとすることが可能であり、且つ、幾つかの場合には、該孔の深さはドープ領域内に部分的にエッチングさせたもの及び/又はI領域内に部分的にエッチングさせたものとすることが可能である。
幾つかの場合に、該金属膜及び/又は金属酸化物はマイクロストラクチャ孔を有するドープ層の表面上とすることが可能である。そして、幾つかの場合に、該金属膜又は金属酸化物層はマイクロストラクチャ孔の無いドープ層の上とすることが可能である。そして、幾つかの場合に、或る区域において、該金属膜又は金属酸化物膜はPIN層MSPD/MSAPD構造の少なくとも一つにおける孔と一致するマイクロストラクチャ孔を有することが可能である。
図19Aは、レーザアレイ及び検知器アレイの両方のためのモノリシック集積化した光検知器1630及びCMOS/BiCMOS ASICエレクトロニクス1632及び送信機と受信機の両方のための全ての信号処理エレクトロニクスを有する流体組立を行った面発光レーザ1908の概略部分平面図である。レーザアレイチップをCMOS/BiCMOS ASIC1632へ接続している伝送線へ接続しているビームリードタブ1916も図示されている。シリコンチップ内へ流体組立を行ったアレイチップは半田バンプ技術で取り付けることが可能である。流体組立についてはZheng et al.、「流体的不均質マイクロシステム組立及びパッケージング(Fluidic Heterogeneous Microsystems Assembly and Packaging)」、ジャーナル・オブ・マイクロエレクトロケミカル・システムズ、Vol. 15, No. 4、2006年8月、の文献においても記載されており、尚、その文献を引用により本書に取り込む。レーザ光を指向させるため及び光検知器へ結合させるためのオプチカルファイバ及びその他の光学レンズ組立体は示されていない。幾つかの場合に、流体組立と関連して又はそれ自身でロボットによる組立を使用することが可能である。
図19Bは図19Aと同様の単一チップの斜視図であるが、面発光レーザの代わりに端部発光を使用している。単一チップ1910は図16-18及び19Aにおけるように流体組立させることが可能である。この場合のレーザアレイチップ1936は面発光ではなく端部発光である。レーザアレイチップ1936をCMOS/BiCMOS ASIC1932へ接続させている伝送線へ接続させるビームリードタブ1918も図示されている。レーザアレイチップ1936は、オプチカルファイバーの精密なアライメントのためにV溝(不図示)を有するオプチカルファイバー1960へ結合されている。同様に、導波路型光検知器1930をSi上にモノリシックに製造させることが可能であり、且つオプチカルファイバー1962へ結合させることが可能である。レーザアレイ及び検知器アレイの両方のためのCMOS/BiCMOSエレクトロニクス1932は単一シリコンチップ1910上にモノリシックに集積化させることが可能である。
図20Aは、幾つかの実施例に基づく、噛合型マイクロストラクチャ光検知器の概略部分平面図である。説明の便宜上、噛合型電極の1.5周期分のみが示されている。光をトラッピングするマイクロストラクチャ孔2012が噛合型電極2020(M1)と2022(M2)との間に図示されている。M1及びM2は電極「ディジット」を接続する電極/伝送線である。該電極/伝送線は、単一シリコンチップ上に信号処理エレクトロニクスを有するマイクロストラクチャ型光検知器のモノリシック集積化のためにCMOS/BiCMOS応用特定集積回路(ASIC)(不図示)へ接続されている。マイクロストラクチャ孔光検知器のアレイは、1×4又はN×M等の高速データ通信適用アレイ用にCMOS/BiCMOS ASICと集積化させることが可能であり、尚N及びMは100ギガビット以上、及び、幾つかの場合には、シリコンI又は低ドープ層に対して800乃至1100nm、Ge/GeSiI又は低ドープ層に対して800乃至1600nmの波長において400ギガビット以上の総合データレートを送信するための任意のディジットとすることが可能である。光の方向及び測距(LiDAR)のためには、マイクロストラクチャ噛合型光検知器のアレイを数百ピコ秒以下の時間分解能及び800nm乃至1650nmの範囲における或る波長に対して、0.2A/W以上、及び、幾つかの場合には、0.5A/W以上、及び、幾つかの場合には、1.0A/W以上の高い応答性で飛行時間信号を検知するために使用することが可能である。これらの噛合型マイクロストラクチャ孔光検知器は、PIN構造又は金属/半導体/金属構造(連続的ショットキーダイオード)を有するフォトダイオード、又はPIN,PIPN、PIPIN構造を具備するアバランシェフォトダイオードとすることが可能である。光は表面に対して垂直に入射することが可能である。マイクロストラクチャ孔2012は電極M1(2020)とM2(2022)との間に散在させることが可能である。そして、幾つかの場合には、マイクロストラクチャ孔2012は該電極と交差することが可能であり又はその一部とすることが可能である。PIN又はPIPN又はPIPIN構造に対しては、M1(2020)はアノードとすることが可能であり且つM2(2022)はカソードとすることが可能である。
横方向寸法即ち感光性噛合領域は光学データ通信適用例の場合に10μm乃至500μmの範囲とすることが可能である。LiDAR適用例に対するマイクロストラクチャ感光性噛合領域の横方向寸法は30μm乃至1cm以上の範囲とすることが可能である。電極2020及び2022の幅は10nm乃至1000nmの範囲とすることが可能である。該電極は、アルミニウム、銅、チタン、ニッケル、及びクロム等の金属を有することが可能である。そして、それは、金属とシリサイドとの組み合わせ、例えば、数例を挙げると、アルミニウムシリサイド、プラチナシリサイドとすることが可能である。光学データ通信適用例用の噛合型電極間の間隔は、0.4μm乃至10μm以上、及び、幾つかの場合に、0.5μm乃至5μmの範囲とすることが可能である。LiDAR適用例の場合には、噛合型電極の間隔は、0.5μm乃至500μm以上の範囲とすることが可能である。幾つかの場合には、LiDAR適用例用の間隔は2μm乃至100μmである。
マイクロストラクチャ孔2012は、正方形、矩形、円形、楕円又はアメーバ形状、又は形状の任意の組み合わせ等の任意の形状を有することが可能である。該孔の横方向寸法は100nm乃至3000nm以上の範囲にわたることが可能であり、且つ隣接する孔の間の間隔は0nm(すなわち、「交差しているか」又は「接触している」)乃至3000nmの範囲にわたることが可能である。いくつかの場合に、該孔の間隔は0nm乃至1500nmの範囲とすることが可能である。幾つかの場合に、該孔の間隔は100nm乃至1500nmの範囲とすることが可能である。該孔の間隔は周期的及び/又は非周期的及び/又はランダム、及び/又は周期的、非周期的、及びランダムの任意の組み合わせとすることが可能である。
電極M1(2020)及びM2(2022)は、信号処理及びバイアス付与のためにCMOS/BiCMOSエレクトロニクス(不図示)への伝送線へ接続されている。逆バイアス電圧が、-0.1ボルト乃至-50ボルト以上、及び、幾つかの場合には、-1ボルト乃至-35ボルト以上の範囲の電圧で該アノードとカソードとの間に印加される。
噛合型マイクロストラクチャ孔光検知器の高速動作のために、高電界噛合型領域外側の光発生されたキャリアが該装置の高速応答を低下させる場合がある遅い拡散電流に対して貢献する場合ある。噛合型電極の高電界領域外側の光発生されるキャリアの発生を減少させるために、噛合型電極がメサの上の区域に閉じ込められるようにメサをエッチング形成することが可能である。幾つかの場合に、該電極は該メサから離れて延在することが可能である。幾つかの場合に、メサの代わりに、噛合型電極の周りにトレンチ(例えば、溝)をエッチング形成することが可能であり、且つ、幾つかの場合に、該電極は該溝内に延在することが可能である。幾つかの場合に、メサの代わりに、該噛合型領域外側の区域を高電界領域外側の光発生されたキャリアの寿命を減少させるために非常に高いドーズでイオン注入させることが可能である。幾つかの場合に、該噛合型電極は該イオン注入した領域内へ延在することが可能である。該寿命を短くさせるために使用することが可能なイオンは、N又はPドーパントイオン、及び/又はH、He、N、O及びAr等の不活性イオンとすることが可能である。該不活性イオンはそれがイオン注入される区域において非晶質半導体領域を形成させるために使用される。それにより、該キャリアの寿命はピコ秒程度へ著しく減少させることが可能である。
該噛合型電極下側の感光性区域は、正方形、矩形、多角形、及び円形とすることが可能である。例えば、正方形又は円形の形状に対する横方向寸法は、10μm乃至100μm以上の範囲とすることが可能である。幾つかの場合に、該横方向寸法は、5μm乃至100μm以上の範囲とすることが可能である。幾つかの場合に、該横方向寸法は、10μm乃至1000μm以上の範囲とすることが可能である。10乃至100Gb/sのデータレート帯域幅の場合、該横方向寸法は5μm乃至100μmの範囲とすることが可能である。5Gb/s未満のデータ帯域幅の場合には、該寸法は50乃至250μmの範囲とすることが可能であり、且つ、1Gb/s未満のデータ帯域幅及び/又は該光検知器の応答速度の場合には、該横方向寸法は50μm乃至1000μm以上の範囲とすることが可能である。
幾つかの場合に、該噛合型電極は透明及び/又は半透明金属酸化物、及び/又は金属窒化物、例えば、インジウムスズ酸化物、及び/又はチタン窒化物、及び/又はシリサイド等とすることが可能である。幾つかの場合に、該噛合型電極は、そこからの反射を減少させるために、数例を挙げると、Si、Ge、GaAs等の非晶質半導体で被覆させることが可能である。
図20Bは、幾つかの実施例に基づく、マイクロストラクチャ孔を有する噛合型光検知器の概略部分平面図である。図20Bの場合には、電極M1及びM2は曲がりくねっており、且つマイクロストラクチャ孔2012はこれらの電極M1及びM2間に散在されている。これらの曲がりくねった電極は、ほぼ等しい水平及び垂直電極が存在するように噛合型マイクロストラクチャ孔光検知器の光学的分極感度を減少させることが可能である。M1及びM2電極は、信号処理及び該光検知器のバイアス付与のためにシリコンCMOS/BiCMOSエレクトロニクスへの金属伝送線へ接続されている。
図20Cは、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の概略部分平面図である。この場合には、該噛合型電極は、水平及び垂直の両方を電極を有しており、それらは光学的分極感度を減少させる。光学的分極感度が望ましいか又は許容可能である適用例においては、該電極は、例えば図20Aに示した如く支配的な単一の方向を有することが可能である。その他の場合には、該噛合型電極は、光学的分極変化に対しての感度を減少させるために曲がりくねった、及び/又は同心円状、及び/又はその他の形状とさせることが可能である。該噛合型電極の幅は20nm乃至1000nm、及び、幾つかの場合には、20nm乃至200nmの範囲とすることが可能である。該噛合型電極の間のギャップは0.5ミクロン以下乃至100ミクロン以上とさせることが可能である。幾つかの場合には、該ギャップは1ミクロン乃至10ミクロンとさせることが可能である。幾つかの場合に、該ギャップは1ミクロン乃至50ミクロン以上とさせることが可能である。該I層又は低ドープ層の厚さは、100nm乃至10000nm、及び、幾つかの場合には、500nm乃至2000nmの範囲とさせることが可能である。該噛合型電極は
クロム、ニッケル、バナジウム、ハフニウム、モリブデン、タングステン、アルミニウム、チタン、タンタル、又は銅等の金属とさせることが可能である。幾つかの場合に、該電極はP及びNドープウエルを包含することが可能である。幾つかの場合に、該電極はプラチナシリサイド、ニッケルシリサイド、又はチタンシリサイド等のシリサイドを包含することが可能である。幾つかの場合に、該電極は非晶質半導体物質を包含することが可能である。幾つかの場合に、N及びPドーピング、及び/又はメタリゼーション、及び/又はシリサイド付着の前に、噛合型トレンチを該I又は低ドープ層内に部分的に又は完全にエッチングさせることが可能である。
該電極の幅に対する該電極間の間隔の比は、0.2乃至100以上の範囲とすることが可能である。該電極は直線的とさせるか及び/又は任意の曲率を有することが可能である。幾つかの場合に、電極M1の幅と電極M2の幅とは異なるものとすることが可能であり、且つ、幾つかの場合に、電極M1及び/又はM2の幅はその長さに沿って変化するものとすることが可能である。
図21は、幾つかの実施例に基づいて、マイクロストラクチャ孔を有しており且つCMOS/BiCMOS ASICとモノリシックに集積化されている噛合型光検知器の概略断面図である。該CMOS/BiCMOS ASIC2132は光検知器の電気出力信号を処理するための形態とさせることが可能である。該断面図は、厚さが0.1ミクロン乃至4ミクロン以上の範囲である埋込酸化物「BOX」層を具備するSOI「シリコン・オン・インシュレータ」ウエハを示している。低ドープ装置層は真性「I」又は低ドープP又はN層とすることが可能であり、厚さが0.1μm乃至10μm以上、及び、幾つかの場合には、0.5μm乃至5μm、の範囲である。該装置層の固有抵抗は1Ω・cm乃至30Ω・cmの範囲とすることが可能であり、及び、幾つかの場合には、0.1Ω・cm乃至100Ω・cm、及び、幾つかの場合には、0.8Ω・cm乃至60Ω・cmの範囲とすることが可能である。該P及びN領域は、ボロン又はアルミニウム等のドーパントイオンでイオン拡散によって形成することが可能であり、且つ該N領域はリン又は砒素等のNドーパントで0.1ミクロン乃至10ミクロンの範囲の深さへの拡散及び/又はイオン注入によって形成することが可能である。該P及びNの固有抵抗は、0.1Ω・cm未満、及び、幾つかの場合には、0.01Ω・cm未満、及び、幾つかの場合には、0.001Ω・cm未満、及び、幾つかの場合には、0.0001Ω・cmとさせることが可能である。該P及びN領域の幅は50nm乃至1000nmの範囲とすることが可能である。P及びN領域の間の間隔は0.5μm乃至10μm以上の範囲とすることが可能である。そして、幾つかの場合に、該PとNとの間の間隔は1μm乃至100μmの範囲とすることが可能である。反転ピラミッド等のマイクロストラクチャ孔2112を該I又は低ドープ層内へエッチングさせることが可能である。幾つかの場合に、該孔は該ドープしたN及び/又はP層内へエッチングさせることが可能である。該マイクロストラクチャ孔2112は反転ピラミッド、漏斗、円錐、円筒、台形、及び/又は断面形状の任意の組み合わせの断面形状を有することが可能である。マイクロストラクチャ孔2112の深さは50nm乃至5000nmの範囲とすることが可能である。幾つかの場合に、該深さは200nm乃至2500nmの範囲とすることが可能である。幾つかの場合に、該マイクロストラクチャ孔は該I又は低ドープ層内へ部分的にエッチングさせることが可能であり、且つ、幾つかの場合には、該孔は該I又は低ドープ層を貫通して該BOX層へエッチングさせることが可能である。該マイクロストラクチャ孔は二酸化シリコン、及び/又は窒化シリコン、窒化アルミニウム、又はハフニウム酸化物等の誘電体で部分的に又は完全にパッシベーションさせることが可能である。該P及びN領域へのオーミックコンタクトは拡散及び/又は注入によって形成することが可能である。イオン注入の後に熱アニールを実施して該注入したイオンをアルミニウム、チタン、プラチナ、銅等のオーミック金属と、及び/又はアルミニウムシリサイド、モリブデンシリサイド、チタンシリサイド、タンタルシリサイド等のシリサイドと、及び/又は該P及びN領域への任意のその他のオーミックコンタクトと活性化させることが可能である。M1及びM2等のオーミック電極は20nm乃至1000nmの範囲とすることが可能である。CMOS/BiCMOS ASIC2132は噛合型マイクロストラクチャ孔光検知器と集積化させることが可能である。逆バイアス電圧がM1「アノード」とM2「カソード」との間に印加され、逆バイアス電圧は-0.1ボルト乃至-40ボルト以上、及び、幾つかの場合には、-1ボルト乃至-20ボルト以上の範囲である。該噛合型マイクロストラクチャ孔光検知器は表面照射型で、その光は支配的に該表面に対して垂直であり、且つ、幾つかの場合には、該光は0度の「垂直」乃至60度以上の「垂直からずれた」角度範囲とすることが可能である。
シリコンI又は低ドープ装置層の場合における波長範囲は600nm乃至1100nmの範囲とすることが可能である。幾つかの場合に、該範囲は780nm乃至1000nmとすることが可能である。幾つかの場合に、該範囲は850nm乃至980nmとすることが可能である。幾つかの場合に、該装置層はGeSi合金とすることが可能であり、その場合にGeの割合は0(全部シリコン)乃至1(全部ゲルマニウム)の範囲とすることが可能であり、且つ、幾つかの場合に、Ge合金(Ge割合が0乃至1の範囲)を選択的区域成長又は一様区域成長のいずれかを使用してシリコン装置層上に成長させることが可能である。GeSi合金I又は低ドープ層の場合、波長は800nm乃至1600nmの範囲とすることが可能である。GeSi合金厚さは0.1ミクロン乃至10ミクロンの範囲とすることが可能である。同様のマイクロストラクチャ孔及びP及びNオーミック領域をGeSi合金上に形成させることが可能であり、かつ逆バイアスが該アノードとカソードとの間に印加される。図21は、複数個の噛合型アノード及びカソードを有している光検知器の一対の噛合型アノードとカソードの概略部分断面図を示している。該I又は低ドープ層は0.1μm乃至10μmの範囲の厚さを有しており、CMOS/BiCMOS ASIC2132とのモノリシック集積化を可能とさせる。そのP及びNウエル/トレンチは、50nm乃至5000nm以上の範囲の深さで、部分的に該I層内に存在するか又は完全に該I層を貫通することが可能である。該N及びPドーピングは0.1Ω・cm以下の固有抵抗を有することが可能である。幾つかの場合には、各電極に対して複数個のウエル/トレンチを実現することが可能である。
マイクロストラクチャ孔2112は、マイクロストラクチャ孔の無い同等の噛合型光検知器と比較して、上部表面上に光学信号が入射する噛合型光検知器の外部量子効率(EQE)を向上させることが可能なフォトントラッピングを可能とさせる。マイクロストラクチャ孔を有する噛合型光検知器の向上されたEQEは、マイクロストラクチャ孔の無い噛合型光検知器のEQEよりも10%以上一層大きい場合がある。幾つかの場合には、該EQEは40%以上向上される場合がある。幾つかの場合に、該EQEは60%以上向上される場合がある。幾つかの場合に、該EQEは100%以上向上される場合がある。幾つかの場合に、該EQEは150%以上向上される場合がある。幾つかの場合に、該EQEは200%以上向上される場合がある。幾つかの場合に、該EQEは500%以上向上される場合がある。幾つかの場合に、該EQEは1000%以上向上される場合がある。該EQEの向上は800nm乃至1650nmの範囲内の或る波長に適用される。幾つかの場合に、該波長は800nm乃至980nmの範囲内である。幾つかの場合に、該波長は800nm乃至1100nmの範囲内である。幾つかの場合に、該波長は1000nm乃至1350nmの範囲内である。幾つかの場合に、該波長は1350nm乃至1650nmの範囲内である。
該I又は低ドープ層におけるマイクロストラクチャ孔はシリコン又はゲルマニウムシリコンとすることが可能なI層内におけるフォトントラッピングを可能とさせる。そのトラップされたフォトンは、底部上の二酸化シリコンBOX層と空気及び/又は上部表面上の誘電体層とによって閉じ込めることが可能な該I又は低ドープ層内のモードを有することが可能である。この信号フォトンの閉じ込めトラッピングは、マイクロストラクチャ孔を有する噛合型光検知器のEQEを向上させることとなる。該噛合型光検知器のマイクロストラクチャ孔は、更に、該表面からの光学的反射を減少させることが可能であり、そのことは一層高いEQEとさせることを可能とする。マイクロストラクチャ孔での反射の減少は20nm以上の広い波長範囲にわたって発生することが可能である。幾つかの場合には、該波長範囲は50nm以上の場合がある。幾つかの場合には、該波長範囲は100nm以上である場合がある。幾つかの場合には、該波長範囲は120nm以上である場合がある。幾つかの場合には、該波長範囲は180nm以上である場合がある。幾つかの場合には、該波長範囲は200nm以上である場合がある。更に、反射の減少は、マイクロストラクチャ孔を有する噛合型光検知器が入射信号フォトンの広い範囲の入射角度を許容することを意味する場合がある。該角度範囲は垂直から±5度又はそれ以上とすることが可能である。幾つかの場合には、該角度範囲は垂直から±10度又はそれ以上とすることが可能である。幾つかの場合には、該角度範囲は垂直から±15度又はそれ以上とすることが可能である。幾つかの場合には、該角度範囲は垂直から±25度又はそれ以上とすることが可能である。幾つかの場合には、該角度範囲は垂直から±30度又はそれ以上とすることが可能である。幾つかの場合には、該角度範囲は垂直から±35度又はそれ以上とすることが可能である。幾つかの場合には、該角度範囲は垂直から±45度又はそれ以上とすることが可能である。幾つかの場合には、該角度範囲は垂直から±55度又はそれ以上とすることが可能である。マイクロストラクチャ孔表面の反射率は15%以下とすることが可能である。幾つかの場合には、該反射率は10%以下とすることが可能である。幾つかの場合には、該反射率は5%以下とすることが可能である。幾つかの場合に、該反射率は3%以下とすることが可能である。幾つかの場合には、該反射率は1%以下とすることが可能である。該反射率は、800nm乃至1650nmの範囲内の或る波長においてである。幾つかの場合に、該波長は850nm乃至950nmの範囲とすることが可能である。幾つかの場合に、該波長は850nm乃至1000nmの範囲とすることが可能である。幾つかの場合に、該波長は1200nm乃至1350nmの範囲とすることが可能である。幾つかの場合に、該波長は1400nm乃至1650nmの範囲とすることが可能である。
逆バイアス電圧がアノードP即ちM1とカソードN即ちM2との間に印加される。所望により反射防止コーティングを表面上に使用することが可能である。該マイクロストラクチャ孔はネイティブの熱酸化物、及び/又はその他の誘電体でパッシベーションすることが可能である。高速光学データ通信用のディジット間の間隔(即ち、「ディジット間」距離又は間隔)は、100Gb/s以上乃至数Gb/sの範囲のデータレートに対して0.3乃至10μmの範囲とすることが可能である。LiDAR適用例の場合には、該ディジット間間隔は1μm乃至1000μm以上の範囲とすることが可能であり、それは10ピコ秒未満乃至1ナノ秒以上の空間的タイミング分解能に対応する場合がある。
幾つかの場合に、底部シリコン基板を部分的に又は完全にエッチング除去した噛合型マイクロストラクチャ光検知器の底部表面から光を入射させることが可能である。幾つかの場合に、噛合型マイクロストラクチャ孔光検知器の下側で、BOX層を完全に又は部分的にエッチングすることが可能である。BOX層の厚さは0.1ミクロン以下乃至10ミクロン以上の範囲とすることが可能である。幾つかの場合に、電気的分離トレンチを包含させることが可能であり、それは該BOX層へエッチングされるが、図示していない。
幾つかの場合に、該I又は低ドープSiは結晶性とすることが可能である。幾つかの場合に、該I又は低ドープSiは多結晶性とすることが可能である。幾つかの場合に、該I又は低ドープSiは非結晶性とすることが可能である。幾つかの場合に、該I又は低ドープSiは非晶質性とすることが可能である。幾つかの場合に、結晶性、多結晶性、非結晶性、及び/又は非晶質性の層の組み合わせを使用することが可能である。
図21に図示していないものは、マイクロストラクチャ孔、メサ、及び/又はトレンチに対するパッシベーションである。表面パッシベーションは、二酸化シリコン、窒化シリコン、ハフニウム酸化物、及び/又は非晶質シリコン等の熱酸化物、誘電体、及び/又は非晶質半導体の付着物を包含することが可能である。フッ化水素等の化学的処理及び迅速熱アニール等の熱処理を表面パッシベーションの一部として使用することが可能である。CMOS処理用の技術において既知のその他の表面パッシベーション技術を使用することも可能である。該マイクロストラクチャ孔はウエットエッチング、ドライエッチング、及び/又はウエットとドライエッチングの任意の組み合わせを使用することが可能である。
図22は、幾つかの実施例に基づいて、噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図を示している。これはトレンチを導入した点を除いて図21と同様である。トレンチ2240及び2242は、図示した如くに、該I又は低ドープ層内へ部分的に又はそれを貫通して完全にエッチングされている。次いで、P及びNドーパントを拡散によって付与し、従って該トレンチの壁はハッチングによって図示した如くにP又はNイオンでドープされている。この例においては、トレンチ2240はPイオンでドープされており且つトレンチ2242はNイオンでドープされている。M1及びM2のような電極が、夫々、該P及びNドープ領域上に付着される。これらの電極は該P及びNドープ領域へのオーミックコンタクトを形成することが可能である。該電極M1及びM2はアルミニウム、モリブデン、チタン、タンタル、又はプラチナ等の金属とすることが可能である。幾つかの場合に、該電極に対してシリサイドを使用することが可能である。該トレンチは、誘電体で部分的に又は完全に充填させることが可能であり、及び/又は充填しないままとすることが可能であり、及び/又は金属及び/又はシリサイドで充填させることが可能である。該噛合型電極下側のトレンチは該P及びN領域への電気的コンタクトを提供し且つ光学的反射表面を提供することも可能である。該トレンチによって提供される光学的反射は光学的波を該I又は低ドープ領域内に閉じ込めることが可能である。該I又は低ドープ領域の面内の光学的波は、該I又は低ドープ領域半導体と空気、誘電体、又は金属とすることが可能な該トレンチの境界との間の界面で反射することが可能である。このことは更に該I又は低ドープ層におけるフォトンのトラッピングを向上させることが可能であり、それにより該EQEを向上させることが可能である。逆バイアス電圧をM1(アノード)とM2(カソード)との間に印加させることが可能であり、その逆バイアス電圧は-0.5ボルト乃至-100ボルト以上の範囲内である。幾つかの場合に、該逆バイアス電圧は-1ボルト乃至-35ボルトの範囲である。幾つかの場合に、該逆バイアス電圧は-1ボルト乃至-10ボルトの範囲である。幾つかの場合に、該逆バイアス電圧は-1ボルト乃至-4ボルトの範囲である。
図23は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図である。図23は図21と同様のであるが、P又はNドープウエルが無い。図23の場合には、電極M1及びM2は金属であり且つ該I又は低ドープ層と直接コンタクトしており、その結果金属半導体金属「MSM」構造となっている。このMSM構造は一方が順方向バイアスされ且つ他方が逆バイアスされている2ショットキーダイオードと等価である。光発生されたキャリアがM1又はM2コンタクトのいずれかへ掃引させることが可能であるようにM1とM2との間に電界を発生させるために逆バイアス電圧をM1とM2とに印加させることが可能である。更に、図22における如く、マイクロストラクチャ孔を有するMSM噛合型フォトダイオードのために金属M1又はM2でトレンチを充填させることが可能であるように電極M1及びM2の下側にトレンチをエッチングさせることが可能である。逆バイアス電圧をM1とM2との間に印加させることが可能であり、その逆バイアス電圧は-0.5ボルト乃至-100ボルト以上の範囲である。幾つかの場合に、該逆バイアス電圧は-1ボルト乃至―10ボルトの範囲とすることが可能である。幾つかの場合に、該逆バイアス電圧は-1ボルト乃至-4ボルトの範囲とすることが可能である。
例えば、Martuza et al.、「赤外線検知適用例用のナノ結晶性シリコン横方向MSM光検知器(Nanocrystalline Silicon Lateral MSM Photodetector for Infrared Sensing Applications)」、IEEEトランズアクションンズ・オン・エレクトロン・デバイシーズ、 Vol.
65、No. 21:2281-2285、2011年7月;及びXiao, et al.、「標準CMOSプロセスにおけるモノリシックに集積化したMSM光検知器を有する2Gb/s光学的受信機(A 2 Gb/s optical receiver with monolithically integrated MSM photodetector in standard CMOS process)」、チャイニーズ・サイエンス・ブレチン、Vol. 56、No. 21:2281-2285、2011年7月(以後、「Xiao」として言及する)の文献を参照すると良い。尚、これらの両方の文献を引用により本書に取り込む。
Xiaoにおいては、フィンガー幅が0.8ミクロンでフィンガー間隔が0.5ミクロンに対して850nmにおいて約0.1A/Wの応答性が観察されており、それは約17%の外部量子効率に対応する。フォトントラッピングのために孔2312のようなマイクロストラクチャ孔を付加した場合には、吸収、従って量子効率、即ち外部量子効率は20%以上向上させることが可能である。幾つかの場合に、その向上は30%以上である場合がある。幾つかの場合に、その向上は40%以上である場合がある。幾つかの場合に、その向上は50%以上である場合がある。幾つかの場合に、その向上は60%以上である場合がある。幾つかの場合に、その向上は70%以上である場合がある。その向上は850nmにおいて観察することが可能である。幾つかの場合に、その外部量子効率は300nm以下の一層幅狭のフィンガーで改善させることが可能である。幾つかの場合には、200nm以下である。幾つかの場合には、100nm以下である。幾つかの場合には、50nm以下である。高い量子効率及び/又は高い帯域幅動作のためには、フィンガーの幅のフィンガーの間隔に対する比(フィンガー間の間隔/フィンガーの幅)は2以上とすることが可能である。幾つかの場合に、該比は5以上とすることが可能である。幾つかの場合に、該比は10以上とすることが可能である。幾つかの場合に、該比は20以上とすることが可能である。マイクロストラクチャ孔を有する噛合型光検知器は、マイクロストラクチャ孔の無い同等の噛合型光検知器よりも一層高い外部量子効率を有することが可能である。
光学的データ通信などの適用例用のMSM構造等のマイクロストラクチャ孔噛合型光検知器は10Gb/s以上のデータレートを達成することが可能である。幾つかの場合に、25Gb/s以上を達成することが可能である。幾つかの場合には、40Gb/s以上を達成することが可能である。幾つかの場合には、50Gb/s以上を達成することが可能である。幾つかの場合には、100Gb/s以上を達成することが可能である。幾つかの適用例においては、データレートが一層低く且つ非常に高い外部量子効率が所望される。幾つかのこの様な場合には、或る波長において、データレートが10Gb/s以下で且つ外部量子効率が50%以上を達成することが可能である。幾つかの場合に、100%以上の外部量子効率を5Gb/s未満のデータレートに対して或る波長において達成させることが可能である。幾つかの場合には、25Gb/s以上のデータレート、及び、幾つかの場合には、50Gb/s以上のデータレートに対して、或る波長において、100%以上の外部量子効率を達成することが可能である。
図24は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔アバランシェフォトダイオードの部分概略断面図である。その構造は図21に示したものと同様であるが、N領域とコンタクトして付加的なP領域が設けられている。アノード即ちP又はM1とカソード即ちN又はM2との間の逆バイアスの下で、該I又は低ドープ領域において光発生されたキャリアは該アノード及びカソードへ掃引される。光発生された電子は該アノードへ向かって掃引され且つPN接合においてアバランシェ利得を有することが可能である。-5乃至-100ボルト以上の範囲の逆バイアス電圧を該アノードとカソードとの間に印加させることが可能である。1.5倍乃至100倍以上のアバランシェ利得を達成することが可能である。そして、幾つかの場合には、1.5倍乃至3倍であり、且つ、幾つかの場合には、1.5倍乃至10倍である。図示した構造は横方向PIPN接合であり、且つ、幾つかの場合には、アバランシェ利得がPIN構造において発生する場合がある。幾つかの場合において、底部表面からシリコン基板をエッチング及び/又はポリシングによって部分的に又は完全に除去してある噛合型マイクロストラクチャ孔アバランシェフォトダイオードの該底部表面上に光が入射することが可能である。光学的データ通信適用例の場合、ディジット間距離(即ち、噛合型電極間の距離)は0.3乃至10μmの範囲である場合があり、且つLiDAR適用例の場合には、該ディジット間距離は1μm乃至100μm以上の範囲である場合がある。任意の寸法の1次元又は2次元アレイを噛合型マイクロストラクチャ孔光検知器/MSM/フォトダイオード/アバランシェフォトダイオードと共に製造することが可能であり、且つCMOS/BiCMOS ASICとモノリシックに集積化させることが可能である。
図25は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔アバランシェフォトダイオードの部分概略断面図である。M2近くのP及びNドープ領域の間の間隔で、横方向PIPIN構造、即ちP/I/低ドープ/Nが示されている。-5ボルト乃至-100ボルト以上の範囲の逆バイアスをアノードM1とカソードM2とに印加させることが可能である。該噛合型マイクロストラクチャ孔フォトダイオードによって発生される電気信号が金属、及び/又は高度に導電性のシリサイド伝送線を介してCMOS/BiCMOS ASICエレクトロニクスへ伝送される。その全構造を単一のシリコンチップ上にモノリシックに集積化させることが可能である。
噛合型マイクロストラクチャ孔光検知器はPN、PIN、PIPN、PIPIN、又は金属-半導体-金属構造とすることが可能である。そのPとNとは交換可能であり、例えば、NINP、NINIPである。N及びP領域のドーピングは表面から拡散又は注入することが可能であり、且つ、幾つかの場合には、N又はPのドーピング、及び/又は金属、及び/又は高導電性シリサイドの付着前に、トレンチをエッチングすることが可能である(図22における如く)。
動作波長を800nmから1650nmへ拡張させるためにシリコン装置層上にI又は低ドープゲルマニウム、Ge/GeSiを成長させることが可能である。全ての場合に、該噛合型マイクロストラクチャ孔光検知器は表面照射型及び/又は底部照射型とすることが可能である。底部シリコン基板はエッチング及び/又はポリシングによって部分的に又は完全に除去させることが可能である。上部及び/又は底部表面上に反射防止コーティング(不図示)を付与することが可能である。該反射防止コーティングは誘電体及び/又は誘電体又は半導体のナノ構造とすることが可能である。
図26は、CMOS/BiCMOS ASICとモノリシックに集積化されている噛合型マイクロストラクチャ孔フォトダイオードの概略部分断面図である。図示した構造は図21に示したものと同様である。この場合には、I又は低ドープGe又はGeSi(尚、Geの割合は0乃至1と変化することが可能)の層2602がI又は低ドープSi装置層2604の上に成長されており、その下側はBOX層でそれに続いてSiハンドル基板となっている。P及びNウエル又はトレンチをイオン拡散方法、及び/又はイオン注入方法を使用して実現することが可能である。Ge/GeSiのI又は低ドープ層2602は0.3ミクロン乃至10ミクロン、且つ、幾つかの場合には、0.5ミクロン乃至1.5ミクロンの範囲の厚さを有することが可能である。該Ge/GeSi層の固有抵抗は1Ω・cm以上とさせることが可能である。幾つかの場合に、該固有抵抗は0.1Ω・cm以上とすることが可能である。幾つかの場合に、該固有抵抗は5Ω・cm以上とすることが可能である。幾つかの場合に、該固有抵抗は10Ω・cm以上とすることが可能である。該P及びNドープウエルは0.1Ω・cm以下の固有抵抗を有することが可能である。幾つかの場合には、該固有抵抗は0.01Ω・cm以下である。幾つかの場合に、該固有抵抗は0.001Ω・cm以下である。幾つかの場合に、該固有抵抗は0.0001Ω・cm以下である。該P及びNウエルの幅は20nm乃至1000nm以上の範囲とすることが可能であり、且つその深さは20nm乃至10ミクロン以上の範囲とすることが可能である。該ウエルはGe/GeSiのI又は低ドープ層2602内へ部分的に拡散又は注入させることが可能であり、又はそれは該I又は低ドープ層の厚さ全体を貫通して拡散又は注入させることが可能である。金属又はシリサイドオーミック電極が、20nm乃至1000nm以上の範囲の幅で且つ20nm乃至1000nmの範囲の厚さで該P及びN層の上に形成される。該噛合型電極間のギャップは0.2ミクロン乃至100ミクロン以上の範囲とすることが可能であり、且つ、幾つかの場合には、1ミクロン乃至10ミクロン、及び、幾つかの場合には、1ミクロン乃至100ミクロンとすることが可能である。マイクロストラクチャ孔は該GeのI又は低ドープ層2602内へ部分的に及び/又は全体的にエッチングさせることが可能であり、及び、幾つかの場合には、幾つかの又は全てのマイクロストラクチャ孔を該I又は低ドープSi層2604内へエッチングさせることが可能である。幾つかの場合には、BOX層へエッチングされる電気的分離トレンチを包含させることが可能である。マイクロストラクチャ孔エッチ深さは250nm乃至10000nm以上とすることが可能であり、及び、幾つかの場合には、200nm乃至2000nmの範囲とすることが可能である。該マイクロストラクチャ孔は、円形、楕円、矩形、三角形、多角形、アメーバ状、星形、クローバ、及び形状の任意の組み合わせ等の任意の形状を有することが可能である。該マイクロストラクチャ孔の断面は、数例を挙げると、円筒状、漏斗状、円錐状、台形状、多角形状、反転ピラミッド、及び形状の任意の組み合わせとすることが可能である。横方向孔直径は100nm乃至3000nm以上の範囲とすることが可能である。幾つかの場合には、該直径は500nm乃至2500nm以上の範囲とすることが可能である。幾つかの場合には、該直径は400nm乃至2000nmの範囲とすることが可能である。幾つかの場合に、該直径は500nm乃至2500nm以上の範囲とすることが可能である。該マイクロストラクチャ孔は複数の横方向寸法を有することが可能である。隣接するマイクロストラクチャ孔の間の間隔は0nm(交差しているか又は接触している)乃至3000nmの範囲とすることが可能である。幾つかの場合に、該間隔は0nm乃至1000nmの範囲とすることが可能である。幾つかの場合に、該間隔は0nm乃至5000nm以上の範囲とすることが可能である。全ての場合における該マイクロストラクチャ孔は周期的、非周期的、又はランダムとさせることが可能である。
該I又は低ドープシリコン装置層2604は、10Ω・cm以上の固有抵抗で、10nm乃至1000nm以上、及び、幾つかの場合には、100nm乃至2000nm以上の範囲の厚さを有することが可能である。幾つかの場合には、該固有抵抗は1Ω・cm以上、及び、幾つかの場合には、1Ω・cm未満又はそれ以上とすることが可能である。該BOX層は100nm乃至4000nm以上の範囲の厚さを有することが可能であり、及び、幾つかの場合には、該BOX層は該噛合型マイクロストラクチャ孔光検知器、及び/又はCMOSエレクトロニクス下側の領域において部分的に又は完全にエッチング除去させることが可能である。幾つかの場合に、背面照射型噛合型マイクロストラクチャ光検知器の場合に、該シリコンハンドル層は薄くさせ且つ研磨させることが可能であり、且つ、幾つかの場合に、該シリコンハンドル層は該噛合型マイクロストラクチャ孔光検知器下側の区域において除去させることが可能である。誘電体膜の反射防止コーティング及び/又はナノ構造を底部及び/又は上部表面へ付与させることが可能である。幾つかの場合に、金属表面からの反射を減少させるために、シリサイド等の非晶質半導体を該金属電極へ付与することが可能である。
光学的データ通信適用例の場合には、光学的波長は800nm乃至980nmの範囲である。幾つかの場合には、該波長は800nm乃至1100nmの範囲である。幾つかの場合に、該波長は800nm乃至1350nmの範囲である。幾つかの場合に、該波長は800nm乃至1550nmの範囲である。幾つかの場合に、該波長は800nm乃至1700nmの範囲である。幾つかの場合に、該波長は1200nm乃至1350nmの範囲である。幾つかの場合に、該波長は1200nm乃至1550nm,の範囲である。幾つかの場合に、該波長は1200nm乃至1700nmの範囲である。幾つかの場合に、該波長は1500nm乃至1800nmの範囲である。データレートは数Gb/s乃至100Gb/s以上の範囲とすることが可能である。幾つかの場合に、データレートは1Gb/s乃至25Gb/sの範囲とすることが可能である。幾つかの場合に、データレートは10Gb/s乃至40Gb/sの範囲とすることが可能である。幾つかの場合に、データレートは25Gb/s乃至50Gb/sの範囲とすることが可能である。幾つかの場合に、データレートは25Gb/s乃至100Gb/s以上の範囲とすることが可能である。噛合型マイクロストラクチャ孔光検知器は、マイクロストラクチャ孔の無い同等の噛合型光検知器の応答性よりも一層大きい応答性を有することが可能である。幾つかの場合に、該マイクロストラクチャ孔噛合型光検知器は、或る波長において、マイクロストラクチャ孔の無い噛合型光検知器の応答性よりも10%以上高い応答性を有することが可能である。幾つかの場合に、応答性は30%以上一層高いものとすることが可能である。幾つかの場合に、応答性は50%以上一層高いものとすることが可能である。幾つかの場合に、応答性は80%以上一層高いものとすることが可能である。幾つかの場合に、応答性は120%以上一層高いものとすることが可能である。幾つかの場合に、応答性は200%以上一層高いものとすることが可能である。幾つかの場合に、応答性は300%以上一層高いものとすることが可能である。幾つかの場合に、応答性は500%以上一層高いものとすることが可能である。幾つかの場合に、応答性は1000%以上一層高いものとすることが可能である。
LiDAR適用例の場合に、波長は800乃至1000nmの範囲とすることが可能であり、幾つかの場合には、800乃至1550nm、及び、幾つかの場合には、800乃至2000nmの範囲とすることが可能である。該噛合型マイクロストラクチャ孔光検知器の時間分解能は1ナノ秒未満、幾つかの場合には、100ピコ秒未満、及び、幾つかの場合には、20ピコ秒未満とすることが可能である。250メートル距離を有するLiDARの場合、1メートルの距離分解能が適切である場合があり、それは約3ナノ秒の時間分解能に対応する。25メートル距離LiDARの場合、30cmの距離分解能が所望である場合があり、それは100ピコ秒の時間分解能に対応する。5メートルLiDAR適用例の場合、3cmの距離分解能が所望される場合があり、それは10ピコ秒の時間分解能に対応する。
マイクロストラクチャ孔2612は該噛合型マイクロストラクチャ孔光検知器の量子効率(QE)を向上させることが可能なフォトントラッピングを起こさせることが可能である。Ge/GeSi噛合型マイクロストラクチャ孔光検知器は光学信号に応答することが可能であり、その波長は800nm乃至2000nmの範囲である場合がある。幾つかの場合に、該波長は850nm乃至1200nmの範囲である場合があり、幾つかの場合に、850nm乃至1350nm、幾つかの場合に、800nm乃至1650nm、幾つかの場合に、1250nm乃至1350nm、及び、幾つかの場合に、1200nm乃至1750nmの範囲である場合がある。逆バイアスがアノード(M1)とカソード(M2)との間に印加され、逆バイアス電圧の範囲は0.5ボルト乃至-100ボルト以上である。図21における如き光学信号が上部表面から入射し、且つ、幾つかの場合に、底部表面から入射することが可能である。約5メートル距離の短LiDARの場合、該噛合型マイクロストラクチャ孔光検知器時間分解能の半値全幅のライズタイムは10乃至50ピコ秒の範囲である場合があり、且つ、幾つかの場合には、30ピコ秒未満である場合がある。25メートルの中間レンジLiDARの場合、その時間分解能は30ピコ秒乃至300ピコ秒の範囲となる場合がある。長レンジLiDARの場合、該「ライズタイム」即ち該噛合型マイクロストラクチャ孔光検知器上に光パルスが入射する結果として発生される電気パルスの半値全幅は、500ピコ秒乃至10ナノ秒の範囲となる場合がある。
幾つかの場合に、該I又は低ドープGe/GeSi層2602は結晶性とすることが可能である。幾つかの場合に、該I又は低ドープGe/GeSiは多結晶性とすることが可能である。幾つかの場合に、該I又は低ドープGe/GeSiは非晶質とすることが可能である。幾つかの場合に、該I又は低ドープGe/GeSiは結晶性、多結晶性、ナノ結晶性、及び/又は非晶質の組み合わせとすることが可能である。
図26に示されていないものは、Ge/GeSi内にドライエッチ及び/又はウエットエッチされたマイクロストラクチャ孔に対するパッシベーション層である。誘電体及び/又は非晶質半導体等のパッシベーション層はマイクロストラクチャ孔及びメサの表面をパッシベートさせるために使用することが可能である。パッシベーション方法と関連して、化学処理、ネイティブ酸化物、及び/又は熱酸化物等のその他の技術も全て使用することが可能である。付着技術は、数例を挙げると、エピタキシャル成長、原子層付着、化学蒸着、プラズマエンハンスト化学蒸着、分子ビームエピタキシ、及びレーザアブレーション等を包含することが可能である。
Ge/GeSiはウエハ全体にわたり成長させることが可能であり、且つ格子不整合に起因する曲がりを補正するために、該曲がりを補償するためにシリコンウエハの底部上に誘電体層をコーティングさせることが可能であり、及び、幾つかの場合には、選択的区域成長されたGe/GeSi領域は該噛合型光検知器の感光性区域においてのみとさせることが可能であり、そのことは単一の連続的な膜でシリコンウエハ全体にわたりGe/GeSiを有することを回避する。シリコン上の選択的区域成長されたGe/GeSiは横方向寸法が5ミクロン乃至1000ミクロン以上の範囲である島状部の形態とさせることが可能である。
図27は、幾つかの実施例に基づく噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図である。該構造は、N及びPウエルをドーピングする前にトレンチをエッチングしていることを除いて図26に示したものと同様である。次いで、P及びNドーパント拡散によって付与し、その結果トレンチの壁はハッチングで示した如くにP又はNイオンでドープされる。アノードM1金属及びカソードM2は、夫々、P及びN領域とコンタクトして配置される。該トレンチは該I又は低ドープGe/GeSi層内に部分的に又は完全にエッチングさせることが可能であり、且つ、幾つかの場合に、該I又は低ドープシリコン層2604内へエッチングさせることが可能である。
図28は、幾つかの実施例に基づく噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図である。該構造は、P及びNドープウエルの代わりに、金属電極M1と金属電極M2とが該I又は低ドープGe/GeSi層2602へのショットキーコンタクトを形成していることを除いて、図26に示したものと同様である。幾つかの場合に、M1とM2とはシリサイド、例えばTiNとすることが可能であり、且つ、幾つかの場合に、金属M1及びM2は、金属表面からの光学的反射を減少させるために、Si、Ge及び/又はGaAs等の非晶質半導体で被覆させることが可能である。金属M1及びM2はAl、Ni、Cr、Ti、W、Mo、及び/又はCu等の物質とすることが可能である。
図29は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔アバランシェフォトダイオードの部分概略断面図である。該構造は図24に示したものと同様であるが、I又は低ドープGe/GeSi層2602が付加されている。Pウエル及び電極M1がGe/GeSi層2602上に形成され、且つPNウエルが該I又は低ドープSi層2604上に形成され、M2噛合型金属電極がN上である。Ge/GeSi層2602は図26に関して説明した如くマイクロストラクチャ孔2612を包含している。図24における如く、逆バイアスがアノード(M1)とカソード(M2)との間に印加され、逆バイアス電圧は-5ボルト乃至-100ボルト以上の範囲であってPN接合におけるアバランシェ利得を達成する。幾つかの場合に、該PN接合は、該I又は低ドープSi層2604の上の代わりにI又は低ドープGe/GeSi層2602上に形成することが可能であり、且つ電極M2はNウエル上に形成される。アバランシェ利得は、-4ボルト乃至-100ボルト以上の範囲の逆バイアス電圧に対して、0.1乃至20dB以上の範囲である場合がある。
図30は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔アバランシェフォトダイオードの部分概略断面図である。図示した構造は、PIPINアバランシェフォトダイオード構造を形成するためにP及びNウエルの間に小さなギャップがある点を除いて図29に示したものと同様であり、且つ、幾つかの場合に、該P及びNウエルは該I又は低ドープGe/GeSi層2602上に形成することが可能である。逆バイアスをアノード(M1)とカソード(M2)との間に印加させてアバランシェ利得を達成する。ポリSi層をGe/GeSi2602の表面上に付着させることが可能であり、Pドーパントが該ポリSiを貫通して該Ge/GeSi2602内へ延在し、且つ、幾つかの場合には、該ポリSi内のみであり、且つ該M1及び/又はM2電極は図71Eにおける如く該ポリSi上に付着させることが可能である。
図31は、幾つかの実施例に基づく、2組の噛合型電極マイクロストラクチャ孔光検知器の部分概略断面図である。この例に示されているものは2つの構造であって、各々は図29に示したものと同様である。電気的分離トレンチ3160が該2組の噛合型電極の間に示されている。理解すべきことであるが、同様の分離トレンチは図21乃至30に示した如き横方向構造に関連して設けることが可能である。噛合型マイクロストラクチャ孔光検知器における噛合型電極の組数は感光性区域の全体的な寸法及び該噛合型電極の間の間隔に依存する。噛合型電極の組数は2乃至1000以上、幾つかの場合には、4乃至40組の電極、及び、幾つかの場合には、20乃至100組の電極又はそれ以上の範囲である場合がある。アバランシェ利得は、-4ボルト乃至-100ボルト以上の範囲の逆バイアス電圧に対して、0.1乃至20B以上である場合がある。
図32は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図である。該構造は、該I又は低ドープGe/GeSi層2602がパターン形成したSi装置層3204上に成長されることを除いて、図26に示したものと同様である。シリコン装置層3204上のパターンは、フォトントラッピングが外部QE及び/又はマイクロストラクチャ噛合型フォトダイオードの応答性を向上させるために、ピラミッド及び/又は反転ピラミッド、矩形、多角形、円筒形状等のナノ/マイクロ構造3210を有することが可能である。Si層3204上の該マイクロ又はナノ構造3210は、100nm乃至2000nm、及び、幾つかの場合に、300nm乃至3000nmの範囲の横方向寸法を有する場合がある。マイクロストラクチャ3210間の間隔は、0乃至2000nm、及び、幾つかの場合には、0乃至1000nmの範囲である場合がある。マイクロストラクチャ3210の高さ又は深さは10nm乃至1000nm以上である場合がある。P及びNウエル又は金属ショットキーコンタクトを該I又は低ドープGe/GeSi層2602上に形成することが可能である。光が上部表面上に照射され、且つ、幾つかの場合には、底部表面から照射させることが可能である。Siマイクロストラクチャ3210の上に成長された該I又は低ドープGe層2602は、200nm乃至3000nm以上の厚さ範囲を有する場合がある。幾つかの場合に、該ピラミッド、及び/又は反転ピラミッド3210は、選択的区域エピタキシ成長を可能とさせるために、誘電体又は酸化物で部分的に又は完全にコーティングさせることが可能である。
幾つかの場合に、図2に示した如く、ワッフルパターンで又はマイクロストラクチャ孔でのパターンでGe/GeSiの選択的区域成長を可能とさせるために、誘電体マイクロストラクチャを該シリコン上に配置させることが可能である。横方向噛合型マイクロストラクチャ孔光検知器を形成するために、噛合型電極を該選択的区域成長されたGe/GeSi上に付着させることが可能である。そして、幾つかの場合に、横方向噛合型マイクロストラクチャ孔PIN構造を作成するために、P及びNウエルを形成することが可能である。そして、幾つかの場合に、それは金属-半導体-金属構造とすることが可能である。
図33は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図である。該構造は、Nウエル及びM2金属が該I又はSi層3204上に形成され且つ電気的分離トレンチ3360が付加されていることを除いて、図32に示したものと同様である。該I又は低ドープSi3204の固有抵抗は該Ge/GeSi層2602の固有抵抗よりも一層大きい場合がある。
Ge/GeSi又は低ドープ層2602がSiのI又は低ドープ層3204上に形成される場合には、該BOX層は省略することが可能である。例えば、I又は低ドープSi層上の該I又は低ドープGe/GeSiは、該BOX無しでSi基板上に直接成長させることが可能であり、且つCMOS/BiCMOSエレクトロニクスとモノリシックに集積化させることが可能である。
図34は、幾つかの実施例に基づいて、単一シリコンチップ上にCMOS/BiCMOS ASICとモノリシックに集積化された複数個の噛合型マイクロストラクチャ孔光検知器に対する部分概略平面図である。この例に示されているものは、1×4「カッド(Quad)」光検知器配列である。本明細書において使用されるごとく、「光検知器」という用語は、ホトダイオード、アバランシェフォトダイオード、単一フォトンアバランシェフォトダイオード、及び金属-半導体-金属光検知器を包含することが可能である。4×4、8×8、1×8、及び2×8等の一層高い密度のアレイが一層高い帯域幅の光学データ通信に対して可能である。LiDARアレイ等の幾つかの適用例において、密度は一層高い場合があり、例えば、10×10、20×20、30×30、又は30×100等がある。
光学データ通信の場合、PAM-4等の変調スキームを使用して、1×4アレイは100Gb/s、幾つかの場合は、200Gb/s、及び、幾つかの場合は、400Gb/sの総合データ帯域幅を達成することが可能である。噛合型マイクロストラクチャ孔光検知器はCMOS/BiCMOS ASICと高い密度へ集積化させることが可能であり、例えば、4×4アレイは400Gb/s乃至1600Gb/s以上を達成することが可能である。光学信号が上部表面へ入射し、且つ、幾つかの場合に、底部表面から入射することが可能である。該光学信号は一つ又はそれ以上のオプチカルファイバーリボンによって持ち込むことが可能であり、且つ、幾つかの場合には、波長分割多重化の場合に、異なる波長の光が光学フィルタを使用して異なる噛合型マイクロストラクチャ孔光検知器上に入射することが可能である。該噛合型マイクロストラクチャ孔光検知器用の波長範囲は、SiのI又は低ドープ層に対して800乃至1100nmである場合があり、そして、Ge及び/又はGeSiのI又は低ドープ層に対しては800乃至2000nmである場合がある。
図35は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の概略部分平面図である。マイクロストラクチャ孔3512がI又は低ドープSi及び/又はGeSi層3502内に形成して示されている。この場合、メサ3530を該I又は低ドープのSi及び/Ge又はGeSi層3502内にエッチングして該噛合型領域外側での光発生されるキャリアを取り除くか又は減少させる。この様なキャリアは該噛合型光検知器をして一層遅い応答時間とさせる場合があり、従って光発生されたキャリアの遅い拡散に起因して一層低い帯域幅とさせる場合がある。Si噛合型マイクロストラクチャ孔光検知の場合、メサ3530を該BOX層へエッチングさせることが可能である。該噛合型電極は該メサと重畳することが可能であり、且つ、幾つかの場合に、該メサを超えて延在する場合がある。Si上に成長されたGe又はGeSiのI又は低ドープ層の場合には、該メサは該Si層へ且つ、幾つかの場合に、該BOX層へエッチングさせることが可能である。Ge又はGeSi噛合型マイクロストラクチャ孔光検知器の場合、幾つかの場合には、該BOX層は省略することが可能である。幾つかの場合に、メサの代わりに、該噛合型領域の周りに溝又はトレンチを使用して、噛合型電極「フィンガー」間の高電界領域へ拡散する浮遊光発生キャリアを減少させるか又は最小とさせることが可能である。幾つかの場合に、一層低い噛合型光検知器応答時間、又はデータ帯域幅を許容可能である場合にはメサ又は溝を省略することが可能である。
図36は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔領域の外側の区域においてイオン注入が使用される場合の噛合型マイクロストラクチャ孔光検知器の概略部分平面図である。噛合型区域3630の外側の領域3614においてイオンが注入される。そのイオン注入領域3614は、噛合型光検知器のインパルス応答における拡散テールを減少させるか又は最小とさせるために高電界領域の外側での光発生キャリアの効果を減少させることが可能である。光発生キャリアの寿命を減少させるためにH、N、O、He、Ar、Ze、Ne、及び/又はC等のイオンのイオン注入を使用することが可能である。イオン注入ドーズは1×1012/cm乃至1×1017/cm以上の範囲とすることが可能である。イオン注入エネルギは5keV乃至400keVの範囲とすることが可能であり、且つ、幾つかの場合に、イオン化したイオンを使用して、該エネルギは400kV乃至1000keV以上を超えることが可能である。幾つかの場合に、該噛合型高電界領域外側での光発生キャリアの寿命を減少させるためにその他のイオンドーズ及び/又はエネルギ範囲を使用することが可能である。
図37は、幾つかの実施例に基づく、スーパーストレートを包含する噛合型マイクロストラクチャ孔光検知器の概略部分断面図である。図示した構造は、図21及び26に示したものと同様である。この場合には、スーパーストレート3701がGe/GeSiのI又は低ドープ層3702の上に形成されている。マイクロストラクチャ孔3712はスーパーストレート3701内にエッチング又はその他の方法で形成されている。そのスーパーストレート物質は、二酸化シリコン、窒化シリコン、ハフニウム酸化物、炭化シリコン、及び/又はアルミニウム酸化物等の誘電体とすることが可能である。幾つかの場合に、スーパーストレート3701はポリイミド等のポリマー、又は非晶質又は多結晶半導体層とすることが可能である。マイクロストラクチャ孔3712は、スーパーストレート3701内へ部分的に、該スーパーストレートを完全に貫通して、及び/又は該スーパーストレートを通過して該Si/Ge/GeSiのI又は低ドープ層3702内へ、エッチングさせることが可能である。該スーパーストレート3701内のマイクロストラクチャ孔3712は該I又は低ドープ半導体層3702におけるフォトントラッピングを起こさせることが可能である。
噛合型マイクロストラクチャ孔光検知器の場合、ディジット間距離と「ディジット」(即ち、電極)の幅との間の比は、一般的には、高速適用例においては大きいものとすべきである。例えば、ギャップの幅が「G」で且つディジット(即ち、電極)の幅が「W」である場合には、G/Wは、好適には、10以上とすべきであり、且つ、幾つかの場合には、電極からの反射に対する光損失を最小とさせるために、20以上とすべきである。1例において、高速噛合型光検知器の場合、該ギャップは2ミクロンとすることが可能であり且つ該電極の幅は200nmとすることが可能である。幾つかの場合に、該ギャップは1.5ミクロンとすることが可能であり、且つ該電極の幅は100nmとすることが可能である。幾つかの場合に、該ギャップは1.5ミクロンとすることが可能であり、且つ該電極の幅は60nmとすることが可能である。幾つかの場合に、該電極の幅は20nmとすることが可能である。G/Wの比は10以上とすることが可能であり、幾つかの場合には、20以上、幾つかの場合には、30以上、幾つかの場合には、100以上、そして、幾つかの場合には、150以上とすることが可能である。幾つかの適用例では、G/W比は1000以上とすることが可能である。単一の噛合型マイクロストラクチャ孔光検知器において、単一のギャップ対幅の比が存在する場合があり、且つ、幾つかの場合には、複数のギャップ対幅の比が存在する場合があり、それらは同一であるか又は互いに異なるものである場合がある。
該マイクロストラクチャ噛合型光検知器を含むマイクロストラクチャ孔光検知器の場合、該マイクロストラクチャ孔は一つ又はそれ以上の横方向寸法を有する場合がある。該横方向寸法は100nm乃至3000nm、幾つかの場合には、200nm乃至2000nm、幾つかの場合には、300nm乃至3000nm、幾つかの場合には、400nm乃至3500nm、幾つかの場合には、500nm乃至5000nm、幾つかの場合には、600nm乃至3000nm、幾つかの場合には、700nm乃至5000nm、幾つかの場合には、800nm乃至5000nm、の範囲とすることが可能であり、そして、幾つかの場合には、それらの横方向寸法の内の一つを5000nmよりも一層大きいものとすることが可能である。該マイクロストラクチャ孔は正方形、三角形、台形、多角形、星型、円形、楕円、クローバ型、砂時計型、アメーバ型、又は形状の任意の組み合わせとすることが可能である。該マイクロストラクチャ孔の断面は三角形状、台形状、矩形状、漏斗状、円錐状、球状、円筒状、アメーバ状、及び/又は断面形状の任意の組み合わせ、とすることが可能であり、及び、幾つかの場合には、異なる配向状態にある同一のマイクロストラクチャ孔の異なる断面スライスは異なる断面を有することが可能である。該マイクロストラクチャ孔は、50nm乃至10000nm以上、幾つかの場合には、50nm乃至5000nm、幾つかの場合には、50nm乃至2000nm、幾つかの場合には、50nm乃至1000nm、そして、幾つかの場合には、50nm乃至500nmの範囲の深さからエッチングさせることが可能である。幾つかの場合に、該エッチング深さは100nm乃至1000nm以上、幾つかの場合に、200nm乃至1500nm以上、幾つかの場合に、30nm乃至2000nm以上、そして、幾つかの場合に、30nm乃至3000nm以上の範囲とすることが可能である。該マイクロストラクチャ孔は任意の組み合わせのエッチング深さを有することが可能である。隣接するマイクロストラクチャ孔の間の間隔は0nmの「接触している」又は「重畳している」から3000nm、幾つかの場合には、0乃至1500nm、そして、幾つかの場合には、0乃至2500nm以上とすることが可能である。該マイクロストラクチャ孔は周期的格子に配列させることが可能であり、幾つかの場合には、非周期的とすることが可能であり、幾つかの場合には、ランダムとすることが可能であり、及び、幾つかの場合には、周期的、非周期的、及びランダムの組み合わせとすることが可能である。
図38は、幾つかの実施例に基づく、金属半導体金属フォトダイオードの概略部分断面図である。この構造は、金属M1及びM2の下側にP又はNドープ領域がI又は低ドープシリコン層内へ延在していることを除いて、図23に示したものと同様である。MSM構造においては、ドープ領域は両方Pドープ又は両方Nドープである。例えば、噛合型電極M1はPとコンタクトしており、且つ噛合型電極M2もPドープ領域とコンタクトしており、且つ、幾つかの場合には、噛合型電極M1はN領域とコンタクトしており且つ噛合型電極M2もN領域とコンタクトしている。P又はN領域のドーピングは1×1016/cm乃至1×1019/cm以上の範囲とすることが可能である。ドープしたウエルは10nm乃至1000nm以上の深さを有することが可能であり且つSiのI又は低ドープ層の厚さの一部又はそれを貫通して延在することが可能である。該電極はAl、Ni、Cr,Cu、Mo、Ti、Ta、及び/又はV等の金属とすることが可能である。該電極はシリサイド又はシリサイドと金属との組み合わせとすることも可能である。該マイクロストラクチャ孔は該I又は低ドープSi半導体内へ部分的にエッチングさせることが可能であり、及び/又は該I又は低ドープSi半導体内へ完全にエッチングさせることが可能である。幾つかの場合に、ドーピング及び/又は金属及び/又はシリサイドの付着の前に、トレンチを図22に示した如くにエッチングさせることが可能である。この同じ構造を、例えば図28、32、及び33に示した如くにGe/GeSiへ適用することが可能である。
図39は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔フォトダイオードの概略部分断面図である。該構造は、M1及びM2電極が該I又は低ドープSi層上に付着されていることを除いて、図28に示したものと同様である。該電極は該I又は低ドープSi層へのショットキーコンタクトを構成することが可能であり、且つ、幾つかの場合には、図38に示した如く、該電極はP又はNコンタクトを構成することが可能である。幾つかの場合に、図26における如く、横方向PIN構造を与えることが可能である。逆バイアスがM1とM2との間に印加され、且つその電界はGe/GeSi層内に存在し、そこで光発生したキャリアーをM1及びM2コンタクトへ掃引させることが可能である。図39の構造は暗電流を減少させることが可能であり、そのことは光学通信システム、且つ、幾つかの場合に、LiDARシステム、におけるノイズを減少させるか又は最小とさせることが可能である。図29及び30における如く、PIPN接合等のPN接合の付加はアバランシェ利得を与えることが可能である。アバランシェ利得は1dB乃至10dB以上の範囲である場合がある。図32及び33における如きSi内のマイクロストラクチャ上の選択的区域成長されたGe/GeSiを使用することが可能であり、同様に、M1及びM2電極の両方を該I又は低ドープSi層上又は該Ge/GeSi層上に配置させることが可能である。
GeはSiよりも一層高い誘電定数を有しているので、M1及びM2電極の間の電界もGe層を貫通することとなる。このGeにおける電界は該Ge層内の光発生されたキャリアをM1及びM2電極へ向けて掃引させる。幾つかの場合には、M1及びM2はショットキーコンタクトとすることが可能であり、且つ、幾つかの場合には、M1及びM2はP及びN接合とすることが可能である。該電界は噛合型電極M1及びM2へ印加される逆バイアスによって発生される。該I又は低ドープGe/GeSiは結晶性、多結晶性、ナノ結晶性、非晶質、又はそれらの任意の組み合わせとすることが可能である。
図40は、幾つかの実施例に基づく、Ge/GeSi・オン・Si噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図である。図示した構造は、アバランシェ利得を与えるために付加的なPN接合が付加されていることを除いて、図39に示したものと同様である。フォトントラッピング用のマイクロストラクチャ孔を有する該I又は低ドープGe/GeSi・オン・I又は低ドープSiは、光発生されたキャリアを吸収し、それらは-3V乃至-100V以上の範囲の逆バイアスでM1(アノード)とM2(カソード)との間に印加される逆バイアス電圧の下で掃引される。幾つかの場合には、該逆バイアスは-3V乃至-40V以上の範囲であり、且つ、幾つかの場合に、-3V乃至-25V以上の範囲である。アバランシェ利得は0dB乃至20dB以上の範囲である場合があり、且つ、幾つかの場合には、800nm乃至2000nmのスペクトルにおける或る波長において、1.5dB乃至10dB以上である場合がある。幾つかの場合に、該利得範囲は800nm乃至1100nm、幾つかの場合に、850nm乃至1050nm、幾つかの場合に、850nm乃至1350nm、幾つかの場合に、1250nm乃至1400nm、そして、幾つかの場合に、1000nm乃至1750nmでの波長における場合がある。
データレートは、10メガビットMb/s乃至3ギガビットGb/s、幾つかの場合に、数Gb/s乃至10Gb/s以上、の範囲である場合があり、幾つかの場合に、該データレートは25Gb/s以上である場合があり、幾つかの場合に、該データレートは50Gb/s以上である場合があり、且つ、幾つかの場合に、該データレートは100Gb/s以上である場合がある。該I又は低ドープGe/GeSiは該噛合型電極「フィンガー」間のSi上に選択的に成長させることが可能であり、且つ、幾つかの場合に、該Geは該Si上にグローバルに成長させることが可能であり、且つ該Siへの金属コンタクトを与えるために該Ge内にトレンチをエッチング形成することが可能である。該BOX層は、幾つかの場合に、省略することが可能である。
図41は、幾つかの実施例に基づく、I又は低ドープGe/GeSi噛合型マイクロストラクチャ孔フォトダイオードの部分概略断面図である。この場合に、I又は低ドープGe/GeSi領域4102はI又低ドープSi4104上に選択的エピタキシー区域成長を使用して形成させることが可能である。該電極間で該Si4104内にスロットをエッチングさせることが可能である。該スロットにおいて、マイクロ又はナノ構造4110をエッチング形成されることが可能である。次いで、該I又は低ドープGe/GeSi4102が該スロットにおいて選択的区域成長される。該I又は低ドープGe4102の表面上に付加的なマイクロストラクチャ孔4112を形成することが可能である。M1及びM2のショットキーコンタクトを該Si4104に対して構成することが可能であり、且つ、幾つかの場合に、該Si内にPN接合を形成することが可能であり、その場合にM1及び
M2はオーミックコンタクトを構成することが可能であり、夫々、アノード及びカソードを形成する。幾つかの場合に、アバランシェ利得PN接合をSi4104内に付加することが可能である。逆バイアスを噛合型電極M1とM2との間に印加させて該I又は低ドープGe/GeSi4102内に電界を発生させて、光発生されたキャリアを該アノード及び/又はカソードへ、且つ、幾つかの場合に、順方向及び逆バイアスショットキーコンタクトM1及びM2へ掃引させる。該スロットの深さ及び/又は該I又は低ドープGe/GeSi層4102の厚さは、100nm乃至5000nm以上、幾つかの場合に、250nm乃至2000nm以上、幾つかの場合に、300nm乃至2000nm、幾つかの場合に、300nm乃至1500nm、及び、幾つかの場合に、300nm乃至900nmの範囲とすることが可能である。該GeSi合金は0より大きい乃至1の範囲のGe割合を有することが可能であり、その場合に1とは純粋なGeの場合である。該Ge/GeSi・オン・Si噛合型マイクロストラクチャ孔光検知器はCMOS、BiCMOS ASIC4132と集積化させることが可能である。噛合型マイクロストラクチャ孔光検知器(MSM、PIN、PIPN、PIPIN、PN、NIN、PIP、MSN、MSP)のアレイを、層4104における夫々のスロットを使用して、同一のチップ上に製造させることが可能であり、該アレイ寸法はm×nとすることが可能であり、尚m及びnは任意のディジットであり、例えば、光学データ通信の場合には、mは1乃至4の範囲とすることが可能であり、且つnは1乃至4の範囲とすることが可能である。そして、幾つかの場合に、mは1乃至10以上とすることが可能であり、且つ、幾つかの場合に、nは1乃至10以上とすることが可能である。LiDAR適用例においては、m及びnは1乃至100以上の範囲とすることが可能である。
幾つかの場合に、Ge/GeSi・オン・Si噛合型マイクロストラクチャ孔光検知器の場合に、該BOX層は省略することが可能である。幾つかの場合に、Si噛合型マイクロストラクチャ孔光検知器の場合に、該BOX層を省略することが可能である。幾つかの場合に、該I「真性」又は低ドープSi、Ge、GeSiは結晶性とすることが可能であり、幾つかの場合に、該I又は低ドープSi、Ge、GeSiは非晶質層及び/又は領域とすることが可能である。
図41は、高バンドギャップ/低バンドギャップ/高バンドギャップ横方向フォトダイオード構造の1例である。光発生されたキャリアは支配的に該低バンドギャップ物質(Ge/GeSi)内にあり且つ該電気的コンタクトは該高バンドギャップ物質(Si)内にあり、それは一層高い誘電定数を有することが可能な該低バンドギャップ物質において支配的に集中される電界を発生させることが可能である。逆バイアスをアノード及びカソード及び/又はショットキーコンタクトすることが可能な電極へ印加させる。同様に、図39も高バンドギャップ/低バンドギャップ/高バンドギャップの横方向光検知器構造を示している。
図42は、幾つかの実施例に基づく、Ge/GeSi・オン・Si噛合型光検知器の概略部分断面図である。図示した構造は、マイクロストラクチャ孔を省略したことを除いて図39に示したものと同様である。噛合型電極M1及びM2は該シリコン上に配置されており、且つ、幾つかの場合には、Ge/GeSi層とコンタクトさせることが可能である。逆バイアスをM1とM2との間に印加させるが、M1及びM2はショットキーコンタクトとすることが可能であり、且つ、幾つかの場合には、M1及びM2はP及びNドーピング等のドープ領域とコンタクトすることが可能である。ナノストラクチャ又はマイクロストラクチャ孔が無い図42に示した噛合型光検知器は、或る波長に対して、図39に示した同様のGe/GeSi噛合型マイクロストラクチャ孔光検知器よりも一層低い外部量子効率又は応答性を有している。
図43は、幾つかの実施例に基づく、Ge/GeSi噛合型光検知器の概略部分断面図である。図示した構造は、フォトントラッピング用のマイクロ及び/又はナノストラクチャが省略されていることを除いて、図41のものと同様である。図43に示したように、この構造は、或る波長においてのフォトントラッピング用のマイクロストラクチャ孔を有する同様の構造よりも一層低い外部量子効率及び応答性を有する場合がある。該Ge/GeSiは結晶性、多結晶性、ナノ結晶性、非晶質、又は、幾つかの場合に、これらのいずれかの組み合わせとすることが可能である。電極M1及びM2はショットキーコンタクトを形成することが可能である。幾つかの場合に、PIN、PIP、NIN、PIPN、PIPIN横方向構造を形成するために、P及びNドーピングを該電極の下側に形成することが可能である。幾つかの場合に、該金属電極及び/又はP及びNドープウエルは該シリコンとコンタクトすることが可能である。幾つかの場合に、該金属及び/又はP及びNドープウエルは該Ge/GeSiとコンタクトすることが可能である。幾つかの場合に、該金属及び/又はP及びNドープウエルは該Si及びGe/GeSiの両方とコンタクトすることが可能である。該Ge/GeSiはI又は低ドープとすることが可能であり、且つ該SiはI又は低ドープとすることが可能である。逆バイアスがM1とM2との間に印加されて該Ge/GeSi領域内に高電界領域を形成する。光発生されるキャリアは該高電界の下で該Ge/GeSiから夫々アノード及びカソードとすることが可能なM1及びM2電極へ掃引される。幾つかの場合における該BOX層は省略することが可能である。Ge/GeSiが噛合型電極間のスロット内に選択的に成長される噛合型Ge/GeSi光検知器は、CMOS/BiCMOSエレクトロニクスとモノリシックに集積化させることが可能である。該GeSi合金におけるGe割合部分は0乃至1の範囲を取ることが可能であり、その場合に0とは全Siであり且つ1とは全Geである。該Ge/GeSi・オン・Si噛合型フォトダイオードは800nm乃至2000nm波長で動作することが可能である。幾つかの場合に、該波長は800乃至1100nm、800乃至1350nm、900乃nm乃至1400nm、又は1000nm乃至1600nmの範囲を取ることが可能である。データレートは1Gb/s未満乃至10Gb/sの範囲を取ることが可能である。幾つかの場合に、データレートは25Gb/sに到達することが可能であり、幾つかの場合に、50Gb/s、及び、幾つかの場合に、100Gb/s以上に到達することが可能である。噛合型Ge/GeSi光検知器のアレイは光学データ通信とLiDAR適用例の両方のために製造することが可能である。該アレイは1次元又は2次元、及び、幾つかの場合に、3次元とすることが可能である。
該低ドープSiの固有抵抗は低ドープGe/GeSiの固有抵抗よりも一層大きなものとすることが可能である。該選択的区域成長したGe/GeSi下側の該Si層の厚さは1nm乃至1000nm、及び、幾つかの場合に、100nm以下の範囲とすることが可能である。
図44A-44Bは、幾つかの実施例に基づく、噛合型光検知器電極の概略部分断面図である。電極M1及びM2はAl、Mo、Ni、Cr、Cu、Pt、Ti、Ta、又はV等の金属とすることが可能である。幾つかの場合に、該電極はNiシリサイド又はPtシリサイド等の金属シリサイドとすることが可能である。幾つかの場合に、該電極は一つ又はそれ以上の金属、金属シリサイド、及び/又は金属窒化物の組み合わせとすることが可能である。幾つかの適用例の場合に、該電極M1及びM2からの光学反射が不所望である場合がある。図44Aにおいて、非晶質半導体4424が電極M1及びM2上に付着されている。非晶質半導体4424に対する物質の例は、非晶質シリコン、非晶質Ge、及び非晶質GaAsを包含している。該噛合型電極を被覆する非晶質半導体は入射フォトンを吸収することが可能であり、従ってその電極表面からの反射を著しく減少させることが可能である。非晶質半導体4424の厚さは10nm乃至1000nm以上の範囲とすることが可能である。
図44Bは、ナノストラクチャ型半導体及び/又は金属電極M1及びM2上に付着した誘電体4426を示している。ナノストラクチャ4426は、例えば、ブラックシリコンとすることが可能である。電極M1及びM2上に付着されたこれらのナノストラクチャ4426は入射フォトンを吸収することが可能であり、従って噛合型電極の表面からの反射を著しく減少させることが可能である。光学データ通信等の幾つかの適用例において、噛合型光検知器表面からの光学反射は望ましいものではなく、該半導体領域からの表面反射を減少させるために反射防止コーティング(不図示)を使用することが可能である。そして、幾つかの場合に、該I又は低ドープ半導体からの表面反射を減少させるために、マイクロストラクチャ孔等のマイクロストラクチャを使用することが可能である。
該感光性I又は低ドープ噛合型光検知器外側の領域は、非晶質半導体及び/又はシリコンのドライエッチングを使用して作成することが可能なブラックシリコンでコーティングすることが可能である。非晶質半導体及び/又はブラックシリコンを、光学的反射を減少させるために、伝送線、及び該感光性区域外側のその他の領域の上に付着させることが可能である。幾つかの場合における光学通信適用例における光学的反射は、或る動作波長において、5%以下、及び、幾つかの場合に、3%以下、及び、幾つかの場合に、1%以下とすることが望ましい。
図45は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の部分概略平面図である。この場合に、Ge/GeSi層4502はSi基板SOI基板4508上に図1における如く選択的に成長される。該Ge/GeSi4502内のマイクロストラクチャ孔4510は二酸化酸化シリコン等の誘電体であり、且つ該Ge/GeSiは誘電体又は二酸化シリコンで被覆されていない区域内に成長させることが可能である。図45において、Ge/GeSi層4502は噛合型領域内にのみ成長され、そのほかの個所においては、該装置は誘電体層及び/又は二酸化シリコンで被覆させることが可能である。該Ge/GeSi層内のマイクロストラクチャ孔4510は、に酸化シリコン等の誘電体の島状部で実現させることが可能である。これらの誘電体又は二酸化シリコンの島状部は任意の形状とすることが可能であり、例えば、正方形、円形、多角形、楕円形、星形、クローバ型、アメーバ型、及び/又は任意のその他の形状の組み合わせとすることが可能である。誘電体島状部4510の横方向寸法は、50nm乃至3000nm以上、幾つかの場合に、200nm乃至2500nm以上、及び、幾つかの場合に、300nm乃至2000nmの範囲とすることが可能である。隣接する誘電体島状部4510の間の間隔は、50nm乃至3000nm以上、幾つかの場合に、200nm乃至2000nm、及び幾つかの場合に、300nm乃至3000nmの範囲とすることが可能である。島状部4510の高さは、10nm乃至5000nm、幾つかの場合に、100nm乃至3000nm、及び、幾つかの場合に、10nm乃至10000nm以上の範囲とすることが可能である。該島状部の内の一つを超える形状が存在する場合があり、且つ該島状部は周期的及び/又は非周期的、及び/又はランダムなパターン、及び幾つかの場合に、周期的、非周期的、及びランダムの任意の組み合わせの形態とすることが可能である。該Ge/GeSi4502は、真性(I)及び/又は低ドープP及びN、例えばP-又はP--、及び/又はN-又はN--等とすることが可能である。噛合型電極M1及びM2は、20nm乃至5000nm以上、及び、幾つかの場合に、20nm乃至300nmの範囲の幅を有することが可能である。噛合型電極M1及びM2の間の間隔は、100nm乃至10000nm以上の範囲とすることが可能である。該噛合型電極M1及びM2は一層幅広の伝送線へ接続され、該伝送線は更にボンドパッド及び/又はCMOSBiCMOSエレクトロニクス4532へ接続される。該噛合型電極「フィンガー」の長さは1ミクロン乃至100ミクロン以上、幾つかの場合に、5ミクロン乃至1000ミクロン以上、幾つかの場合に、5ミクロン乃至100ミクロン以上、及び、幾つかの場合に、10ミクロン乃至100ミクロン以上の範囲とすることが可能である。該噛合型光検知器の該噛合型フィンガー領域によって画定される全体的な感光性区域は、円形状、矩形状、多角形状、六角形状、正方形状、とすることが可能であり、且つその横方向寸法の内の少なくとも一つが1ミクロン乃至100ミクロン以上、幾つかの場合に、1ミクロン未満乃至1000ミクロン以上、及び、幾つかの場合に、10ミクロン乃至100ミクロンの範囲である場合がある。例えば、円形形状の感光性区域の場合、その直径は3ミクロン乃至1000ミクロン以上、及び、幾つかの場合に、10ミクロン乃至100ミクロンの範囲とすることが可能である。同様に、正方形形状の感光性区域の場合、一つの側部からの寸法は5ミクロン乃至1000ミクロン以上、幾つかの場合に、10ミクロン乃至100ミクロン以上、及び、幾つかの場合に、10ミクロン乃至100ミクロンの範囲とすることが可能である。該噛合型電極M1及びM2を接続する伝送線は該Ge/GeSi層から離隔させることが可能であり且つ該シリコン及び/又は誘電体表面、及び/又は二酸化シリコン表面上とさせることが可能である。
図46は、図45に示した噛合型マイクロストラクチャ孔Ge/GeSi・オン・Si光検知器の部分概略断面図である。該BOX層は省略可能である。該噛合型電極M1及びM2は、I又は低ドープGe/GeSi4502上のショットキーコンタクトとすることが可能であり、且つ、幾つかの場合に、該電極M1はPウエル(不図示)へのオーミックコンタクトとすることが可能であり、且つ電極M2はNウエル(不図示)へのオーミックコンタクトとすることが可能であり、及び、幾つかの場合に、電極M1はPウエル(不図示)とコンタクトすることが可能であり、且つ電極M2もPウエル(不図示)とコンタクトすることが可能であり、且つ、幾つかの場合に、M1はNウエル(不図示)とコンタクトすることが可能であり、且つM2もNウエル(不図示)とコンタクトすることが可能である。Ge/GeSi4502の選択的区域成長において、誘電体及び/又は二酸化シリコン島状部4510を、フォトン吸収、従って、幾つかの場合に、量子効率とも呼称される外部量子効率を向上させるためにフォトントラッピングのために使用することが可能なGe/GeSi層4502内にマイクロストラクチャ孔を画定するために使用することが可能である。逆バイアスをM1とM2との間に印加させ、且つ、幾つかの場合に、M1はアノードとすることが可能であり、且つM2をカソードとすることが可能であり、及び、幾つかの場合には、M2をアノードとしM1をカソードとすることが可能である。該I又は低ドープGe/GeSiの厚さは、100nm乃至5000nm以上、幾つかの場合に、500nm乃至2000nm、及び、幾つかの場合に、500nm乃至3000nmの範囲とすることが可能である。該マイクロストラクチャ孔4510の断面は、円筒状、漏斗状、台形状、反転台形状、とすることが可能であり、及び、幾つかの場合に、湾曲及び/又は直線及び/又は湾曲の表面の任意の組み合わせとすることが可能である。SOI基板の場合における装置層4604は真性及び/又はP-、P--、又はN-、N--、等の低ドープシリコンとすることが可能である。該Si装置層は10nm乃至2000nm以上の厚さ範囲を有することが可能である。
該Ge/GeSiのマイクロストラクチャ孔4510及びメサは、Ge/GeSi・オン・Siの選択的区域成長によって形成され、且つエッチングによって形成される同様の構造よりも一層低いリーク電流とすることが可能である。パッシベーションは図示しておらず、且つ非晶質半導体、酸化物、窒化物、及び二酸化シリコン、窒化シリコン、アルミニウム酸化物、及び/又はアルミニウム窒化物等のその他の誘電体を包含することが可能である。
図47は、幾つかの実施例に基づく、噛合型の選択的区域成長させたGe/GeSi・オン・Si光検知器の部分概略断面図である。この場合に、Ge/GeSi4702はSi4704の側壁から横方向に成長される。この構造において、Si4704は噛合型電極M1及びM2の間のスロットにおいて該BOX層4706へ向けてずーとエッチング除去される。次いで、Ge/GeSi4702が該噛合型領域において選択的区域成長され、その場合にGe/GeSiはSi4704の側壁上においてのみ成長を開始させ、且つ或る時間の後に、両側の側壁から成長するGe/GeSiは、噛合型電極M1及びM2の間のほぼ中間の距離において合体する。このことは、時折、エピタキシーラテラルグロース(epitaxy lateral growth)即ちエピタキシー横方向成長として知られている。Ge/GeSi成長が禁止される領域は二酸化シリコン、及び、幾つかの場合に、窒化シリコン、等の誘電体で被覆される。M1及びM2電極は該Si層4704上に配置させることが可能であり、且つP及びNウエル(不図示)へのショットキーコンタクト及び/又はオーミックコンタクトとすることが可能である。該Ge/GeSi4702は真性及び/又は低ドープP又はNとすることが可能である。幾つかの場合に、該Si層4704はP型N型のドープしたSi層とすることが可能であり、且つ、幾つかの場合に、アバランシェ利得領域が形成されるように該Si層上に付加的なPN接合を形成することが可能である。逆バイアスを該噛合型電極M1と該噛合型電極M2との間に印加させ、且つ、幾つかの場合に、M1はPウエル(アノード)とコンタクトし且つM2はNウエル(カソード)とコンタクトすることが可能である。逆バイアス電圧は-1ボルト乃至-100ボルト、幾つかの場合に、-3ボルト乃至-30ボルト、幾つかの場合に、-1ボルト乃至-4ボルト、及び、幾つかの場合に、0ボルトの範囲とすることが可能である。マイクロストラクチャ孔4712はエッチングすることが可能であり、及び、幾つかの場合に、マイクロストラクチャ孔は図45における如くに選択的区域成長させることが可能であり、且つ、幾つかの場合に、マイクロストラクチャ孔は省略することが可能である。マイクロストラクチャ孔噛合型光検知器は、或る波長において、マイクロストラクチャ孔の無い同等の噛合型光検知器のものよりも一層大きな外部量子効率、即ち量子効率、を有することが可能である。該Si層の厚さは100nm乃至3000nm以上の範囲とすることが可能であり、且つエピタキシャル横方向成長のGe/GeSi層厚さは100乃至3000nm以上とすることが可能である。該Ge/GeSi層内で発生する電子及び正孔等のフォトキャリアに対する波長範囲は、700乃至2000nm、及び、幾つかの場合に、800乃至1600nmの範囲とすることが可能である。マイクロストラクチャ孔の有無によらずに噛合型光検知器のアレイを製造することが可能である。M×Nのアレイで、Mは1乃至100以上のディジットとすることが可能であり、且つNは1乃至100以上のディジットとすることが可能であり、それはCMOS/BiCMOS ASICと単一チップ上にモノリシックに集積化させることが可能である。光学的通信においては、Mは1乃至10の範囲とすることが可能であり、且つNは1乃至10の範囲とすることが可能である。LiDAR及び/又はイメージング適用例においては、Mは1乃至300以上の範囲とすることが可能であり、且つNは1乃至300以上の範囲とすることが可能であり、且つ、幾つかの場合に、M及びNは1000以上とすることが可能である。非晶質Si及び/又は非晶質Geを該BOX層上に付着させて、Si側壁からのエピタキシャル横方向過剰成長(ELOG)に加えて選択的区域成長を容易化させることが可能である。
図48Aは、幾つかの実施例に基づいて、異なる水平面上に噛合型電極を具備する光検知器の概略部分断面図である。図示した如く、電極M1はトレンチ内に配置されており、且つ電極M2は該トレンチより上の方に配置されている。該トレンチの深さは50nm乃至3000nm以上、及び該トレンチの幅は50nm乃至300nm以上、及び、幾つかの場合に、100nm乃至500nmの範囲とすることが可能である。該I又は低ドープ半導体4802はSi、Ge、又はGeSi、SiとGe/GeSiとの任意の組み合わせとすることが可能であり、且つBOX層4706の上とすることが可能である。反転型ピラミッド、又は円筒状又は漏斗状等のマイクロストラクチャ孔4812は該I又は低ドープ半導体4702上に形成することが可能である。逆バイアスを該噛合型電極M1及びM2間に印加させてM1とM2との間に電界を形成させる。次いで、光発生されたキャリアを該アノード及びカソードへ向けて掃引させる。M1及びM2はショットキー及び/又はオーミックコンタクトとすることが可能であり、且つ、幾つかの場合に、M2をショットキーでM1をP又はNウエル(不図示)へのオーミックとすることが可能であり、且つ、幾つかの場合に、M1をPウエル(不図示)へのオーミックM2をNウエル(不図示)へのオーミックとすることが可能であり、且つ、幾つかの場合に、該N及びPを交換することが可能である。
幾つかの場合に、I又は低ドープSiの複数の層、及び/又はI又は低ドープGe/GeSi層を該噛合型マイクロストラクチャ孔光検知器において使用することが可能であり、且つ、幾つかの場合に、該噛合型マイクロストラクチャ孔光検知器において複数のドーピングレベル又はN及びP型を使用することが可能であり、且つ、幾つかの場合に、複数のイオン注入エネルギ、ドーズ、種を噛合型マイクロストラクチャ孔光検知器の製造において使用することが可能である。幾つかの場合に、N及びPの複数の拡散分布、及び/又はN及びPドーパントの複数の拡散深さを噛合型マイクロストラクチャ孔光検知器の製造において使用することが可能である。パッシベーション(不図示)は二酸化シリコン等のネイティブな酸化物、及び/又は誘電体及び/又は非晶質半導体を包含することが可能である。電極M1及びM2は金属とすることが可能であり、及び、幾つかの場合に、シリサイドとすることが可能であり、且つ、幾つかの場合に、金属シリサイドとすることが可能であり、且つ、幾つかの場合に、金属と金属シリサイドとの組み合わせとすることが可能である。
図48Bは、幾つかの実施例に基づく、図48Aと同様の構造の断面図である。図48Bにおいて、電極M2は、電界の一層一様な制御のために、図示した如くに表面の下側に沈んでいる。M2電極に対するトレンチの深さは10nm乃至1000nm以上の範囲とすることが可能であり、M1電極トレンチの深さは10nm乃至3000nm以上の範囲とすることが可能である。
幾つかの場合に、該噛合型マイクロストラクチャ孔光検知器は裏側表面から照射させることが可能であり、且つ、幾つかの場合に、該噛合型光検知器用の物質は、数例を挙げると、GaAs、InP、GaN等のIII-V物質群から構成することが可能である。
噛合型光検知器のデータレートは、該噛合型電極間の電子正孔トランジット(移動)時間と、該噛合型電極間の容量とから主に決定させることが可能である。マイクロストラクチャ孔を具備する噛合型光検知器は該「フィンガー」間に一層低い容量を有する場合があり、そのことはRC時間を更に減少させることが可能であって、その結果一層高速の光検知器となる。これら2つの時定数はトランジット時間及びRC時間として一般的に知られている。高データレート噛合型装置の場合に、動作電圧において、該噛合型フィンガー間の領域が殆ど空乏状態であるように、低ドープ及び/又はイントリンシック(真性)層を使用することが可能である。動作電圧に依存して、該半導体のドーピングは真性から低ドープ、例えばP--、N--、P-、N-、P及びNのような範囲とすることが可能である。逆バイアス電圧は-1ボルト乃至-100ボルト、幾つかの場合に、-1ボルト乃至-35ボルト、幾つかの場合に、-1ボルト乃至-4ボルト、及び、幾つかの場合に、0ボルトの範囲とすることが可能である。
図48Cは、幾つかの実施例に基づく、マイクロストラクチャ孔を具備する噛合型光検知器の概略部分断面図である。図示した構造は図48Aのものと同様である。この場合には、該噛合型電極の内の一つ、例えばM1、がBOX層4706へ延在するトレンチ内に付着されている。該トレンチはウエット又はドライエッチ、又はウエット及びドライエッチの組み合わせとすることが可能である。M1噛合型電極は金属及び/又は金属シリサイドとすることが可能であり、それは該トレンチを完全に充填することが可能である。例えば、該トレンチ内のM1電極の幅は、60nm以下乃至300nm以上の範囲を有することが可能である。M2電極は該I又は低ドープ半導体4702、例えばSi、の表面上に示されており、且つ60nm以下乃至300nm以上の範囲の幅を有することが可能である。該I又は低ドープ半導体4702、例えばSi、の厚さは、300nm乃至3000nm以上の範囲を有することが可能である。該BOX層4706の厚さは100nm,乃至4000nm以上の範囲を有することが可能であり、及び、幾つかの場合に、100nm未満とすることが可能である。
図48Dは、幾つかの実施例に基づく、マイクロストラクチャ孔を具備する噛合型光検知器の概略部分断面図である。図示した構造は、図48Cのものと同様である。この場合には、噛合型電極M1及びM2の両方が、図示した如くに、BOX層4706へエッチされている夫々のトレンチ内に付着されている。該噛合型電極は、ドライ及び/又はウエットエッチされているか又はドライ及びウエットエッチの任意の組み合わせでエッチされているトレンチ内に付着されている。電極M1及び/又はM2は金属、及び/又は金属シリサイド、及び/又は金属と金属シリサイドとの任意の組み合わせとすることが可能である。電極M1及びM2の両方がBOX層へ延在しているこの構造は、M1とM2との間に外部電圧バイアスが印加される場合にM1及びM2電極間に一層一様な電界を与えることが可能である。この様な一様な電界は、10乃至50Gb/s以上、及び、幾つかの場合に、100Gb/s以上の範囲であるデータレート用の噛合型光検知器の高いデータレート動作にとって重要である場合がある。該I又は低ドープ層4702の厚さは300nm乃至3000nmの範囲とすることが可能であり、及び、幾つかの場合に、該I又は低ドープ半導体の厚さは3000nm以上とすることが可能である。幾つかの場合に、該I又は低ドープ層半導体4702の厚さは500nm乃至5000nm以上の範囲とすることが可能である。幾つかの場合に、マイクロストラクチャ孔4812は省略することが可能であり、特に或る波長において5000nmを超える層厚さの場合にそうである。マイクロストラクチャ孔を具備する噛合型光検知器は、或る波長において、マイクロストラクチャ孔の無い同様の噛合型光検知器よりも一層高い外部量子効率、又は量子効率を有することが可能である。I又は低ドープシリコンを有する噛合型光検知器に対する波長範囲800nm乃至1100nmの範囲である場合があり、且つ、幾つかの場合に、850nm乃至950nm、及び、幾つかの場合に、850nm乃至1050nmの範囲である場合がある。噛合型光検知器はCMOS/BiCMOS ASICとモノリシックに集積化させることが可能であり、且つ1×4、4×4、乃至10×10、100×100、1000×1000以上の範囲のアレイ寸法を有することが可能である。
図48Eは、幾つかの実施例に基づく、マイクロストラクチャ孔を具備する噛合型光検知器の概略部分断面図である。図示した構造は、電極が部分的にのみ該I又は低ドープSi又はGe/GeSi4702内へ延在しているに過ぎず、且つ、幾つかの場合に、該電極は該I又は低ドープ半導体4702内へ1/3途中、そして、幾つかの場合に、該I又は低ドープ半導体内へ1/2以上の途中へ延在していることを除いて、図48Dのものと同様である。
該電極は金属又は金属シリサイド、又は透明金属酸化物、又は透明金属窒化物、及び/又はその任意の組み合わせとすることが可能である。幾つかの場合に、該ドーパントは横方向PIN接合を形成するためにP型又はN型とすることが可能であり、及び、幾つかの場合に、NIN接合を形成するためにN型とすることが可能であり、及び、幾つかの場合に、PIP接合を形成するためにP型とすることが可能であり、且つ、幾つかの場合に、アバランシェ利得のために、PIPN接合、又はNINP接合、又はPIPIN接合又はNINIP接合を形成するためにPN接合を付加させることが可能である。そして、幾つかの場合に、PIN接合又はNIP接合もアバランシェ利得を有することが可能である。マイクロストラクチャ孔を付加すると、電界集中は該孔において強力なものとなり、従ってマイクロストラクチャ孔の無い同等の光検知器構造よりも一層低いバイアスにおいてアバランシェ利得を達成することが可能となる。M1とM2との間の逆バイアス電圧は、0乃至100ボルト、及び、幾つかの場合に、1乃至4ボルト、及び、幾つかの場合に、3乃至40ボルト、及び、幾つかの場合に、10乃至100ボルト以上の範囲とすることが可能である。
図48Fは、幾つかの実施例に基づく、マイクロストラクチャ孔を具備する噛合型光検知器の概略部分断面図である。この場合には、該Ge/GeSi層4702はSi層4704上に形成されている。BOX層4706はオプションである。該I又は低ドープGe/GeSi4702は、100nm乃至3000nm以上、幾つかの場合に、500nm乃至2000nm、及び、幾つかの場合に、500nm乃至1000nmの厚さ範囲を有することが可能である。該I又は低ドープSi4704は、100nm乃至2000nm以上の層厚さ範囲を有することが可能であり、及び、幾つかの場合に、該BOX層はオプションとすることが可能である。該BOX層厚さは100nm乃至4000nm以上の範囲とすることが可能である。該噛合型電極M1及びM2は該Si層4704へ延在し、且つ、幾つかの場合に、該Si層4704内へ延在する。幾つかの場合に、該電極M1及びM2は該BOX層へ又はその中へ延在する。該M1及びM2電極は金属及び/又は金属シリサイド、及び/又は金属ゲルマニウム、及び/又は金属と金属ゲルマニウム合金との任意の組み合わせとすることが可能である。M1及びM2電極の幅は60nm乃至300nm以上の範囲とすることが可能であり、且つ、幾つかの場合に、M1及びM2電極は60nm未満の幅とすることが可能である。マイクロストラクチャ孔は横方向寸法が300nm乃至3000nm以上の範囲で、且つ深さが100nm乃至3000nm以上の範囲で、該Ge/GeSi内へエッチングさせることが可能である。該Ge/GeSi合金におけるゲルマニウム割合に依存する波長範囲は、800nm乃至2000nmの範囲とすることが可能である。幾つかの場合に、マイクロストラクチャ孔4812は、或る波長においてGe/GeSiの一層熱い層に対して省略することが可能である。噛合型マイクロストラクチャ孔光検知器は、或る波長において、マイクロストラクチャ孔の無い同様の噛合型光検知器よりも一層高い外部量子効率を有している。
図48Gは、幾つかの実施例に基づく、マイクロストラクチャ孔を具備する噛合型光検知器の概略部分断面図である。図示した構造は、図48Dのものと同様であるが、この場合には、金属半導体接合の代わりにPN接合が使用されており、且つP及びNドーパントが該BOX層へ延在している。幾つかの場合に、該ドーパントは該BOX層近くまで延在している。電極M1は該Pウエルへのオーミックコンタクトを形成し、且つ電極M2は該Nウエルへのオーミックコンタクトを形成している。逆バイアスをM1アノードとM2カソードとの間に印加させる。この構造は、図48Eに示した如く、Ge/GeSi・オン・Si噛合型光検知器において実現することも可能である。
金属電極を有しているか、又はP/Nドーパントが該BOX層へ、及び、幾つかの場合に、該BOX層の近くへ延在しているかのいずれかの噛合型光検知器は、非常に一様な電界を有することが可能であり、そのことは高速の光検知器動作を発生させることとなる。該噛合型光検知器の速度は、ほぼM1及びM2電極の間の間隔によって、及びM1及びM2電極の間の容量によって決定することが可能である。10Gb/s乃至25Gb/s以上のデータレートを、M1及びM2電極の間隔が500nm乃至2000nm、幾つかの場合に、1000nm乃至2000nm、及び、幾つかの場合に、500nm乃至2500nmの範囲で達成することが可能である。25Gb/s乃至50Gb/sのデータレートに対しては、電極間隔は300nm乃至1500nmの範囲とすることが可能である。該I又は低ドープ半導体層厚さは、300nm乃至3000nm以上、幾つかの場合に、500nm乃至2500nm、幾つかの場合に、500nm乃至1500nm、及び、幾つかの場合に、500nm乃至1000nm、の範囲とすることが可能である。外部量子効率(EQE)は、或る波長において、30%以上の範囲とすることが可能である。幾つかの場合に、該EQEは或る波長において50%以上の範囲とすることが可能である。幾つかの場合に、該EQEは或る波長において70%以上の範囲とすることが可能である。幾つかの場合に、該EQEは或る波長において90%以上の範囲とすることが可能である。幾つかの場合に、該EQEは或る波長において及び或るバイアスにおいて、100%以上の範囲とすることが可能である。
該噛合型光検知器の感光性区域の横方向寸法は、高データレート動作に対して5ミクロン乃至100ミクロンの範囲とすることが可能であり、且つ、幾つかの場合に、高データレート動作及び/又は高時間ドメイン分解能に対して10ミクロン乃至80ミクロンの範囲とすることが可能である。一層低いデータレート動作及び/又は一層低い時間ドメイン分解能に対しては、該噛合型光検知器の感光性区域の横方向寸法は100ミクロン乃至1000ミクロン以上の範囲とすることが可能である。
幾つかの場合に、該電極又はP及びNの拡散ウエルは、該BOX層へずーと到達する代わりに、該I又は低ドープ半導体(Si、Ge、GeSi)内へ部分的に延在することが可能である。例えば、幾つかの場合に、該エッチングしたトレンチ又は拡散したP及びNウエル内の金属電極は該I又は低ドープ半導体内へその厚さの1/3延在することが可能であり、及び、幾つかの場合に、該I又は低ドープ半導体層の厚さの1/2を超えて延在することが可能である。該電極又は該拡散したドーパントP又はNウエルの深さは、該I又は低ドープ半導体層内へ100nm乃至2000nm以上の範囲とすることが可能である。幾つかの場合に、該電極又はP及び/又はNウエルの深さは、500nm乃至1000nmの範囲とすることが可能である。図示していないものは、ドライ及び/又はウエットエッチング及びドライ及びウエットエッチングの任意の組み合わせを使用して最初にエッチングされるトレンチ、及びエッチングしたトレンチ内への金属付着の前のHFディップ等のいずれかの表面処理である。幾つかの場合に、P及び/又はNドーパントを該エッチングしたトレンチ内に拡散させて該トレンチの側壁にP及び/又はN接合を形成させることが可能であり、且つ該トレンチ内に金属オーミックコンタクトを形成してP及びN接合を形成することが可能である。そのトレンチの深さは、該I又は低ドープ半導体層又は領域内へ100nm乃至2000nm以上の範囲とすることが可能である。幾つかの場合に、該エッチングしたトレンチは、該I又は低ドープ半導体層領域内へ500nm乃至1000nmの範囲とすることが可能である。幾つかの場合に、該エッチングしたトレンチは、SOIウエハが使用される場合に、該BOX層へずーと延在することが可能であり、且つGe/GeSiのI又は低ドープ層がSiのI又は低ドープ層上に成長される場合に、該トレンチは該Si層へ延在することが可能である。幾つかの場合に、該トレンチは該Si層を通過して下方へ延在する場合がある。
外部量子効率及び噛合型マイクロストラクチャ孔光検知器の速度を最適化させるために、該電極及び/又はP及び/又はN拡散ウエルの該I又は低ドープ半導体内への深さを最適化させることが可能である。その最適化は、該I又は低ドープ半導体内の光発生された電子正孔対を、逆バイアス電圧下において、該金属半導体コンタクト及び/又はP及びN接合へ掃引させることが関与している。該電極/P又はN接合への該電子正孔対の移動時間及び該RC時間も該噛合型マイクロストラクチャ孔光検知器に対する或る帯域幅動作に対して最適化させることが可能である。例えば、25Gb/s乃至50Gb/sの帯域幅は、約30ピコ秒以下の移動時間及び約30ピコ秒以下のRC時間を必要とする場合がある。幾つかの場合に、該移動時間及び該RC時間の平方の和が1000ピコ秒未満であり、且つ、幾つかの場合に、500ピコ秒未満、又は625ピコ秒未満である。
図48Hは、幾つかの実施例に基づく、P-I又は低ドープPNアバランシェ横方向噛合型マイクロストラクチャ孔フォトダイオードの概略部分断面図を示している。該噛合型電極の2つの「周期」が示されている。アバランシェ利得は、或る波長範囲に対して1dB乃至20dB以上の範囲である場合がある。層4702はSi及び/又はGeSiとすることが可能であり且つそのP及びN拡散ウエル/トレンチが100nm乃至3000nm以上に変化する深さを有しており、且つ、幾つかの場合に、該P及びN拡散領域は該I又は低ドープ領域4702内へ部分的に存在することが可能である。SiのI又は低ドープ層に対する波長範囲は700nm乃至1100nmの範囲とすることが可能であり、GeSiにおけるGe割合に依存するGeSiのI又は低ドープ層に対する波長範囲は700nm乃至2000nmの範囲とすることが可能である。該I又は低ドープ層4702の厚さは、200nm乃至3000nm、及び、幾つかの場合に、500nm乃至1500nmの範囲とすることが可能である。データレートは、数Gb/s乃至50Gb/s以上、幾つかの場合に、25Gb/s乃至30Gb/s、及び、幾つかの場合に、25Gb/s乃至40Gb/s以上の範囲とすることが可能である。該マイクロストラクチャ孔は、反転ピラミッド、漏斗、円筒状、及び/又は任意のその他の形状/形状の組み合わせとすることが可能であり、その横方向表面寸法は200nm乃至2000nm、幾つかの場合に、300nm乃至1000nm、及び、幾つかの場合に、300nm乃至800nmの範囲とすることが可能である。該孔のエッチ深さは、100nm乃至3000nmの範囲とすることが可能であり、及び、幾つかの場合に、該孔は該I又は低ドープ領域、又は該N及びP領域内へ部分的にエッチングさせることが可能である。幾つかの場合に、該マイクロストラクチャ孔は、該I又は低ドープ領域において、及び/又は、該P及び/又はN領域において、該BOX層内へ完全にエッチングさせることが可能である。
図48I乃至48Lは、幾つかの実施例に基づく、4つの噛合型マイクロストラクチャ光検知器の概略部分断面図である。図48Iの構造は、反転ピラミッドのマイクロストラクチャ孔を包含している。図48Jの構造は、ピラミッド状突起のマイクロストラクチャを包含している。図48Kは、金属電極がP及び/又はNドープウエル又は領域よりも一層幅狭である状態を示している。図48Lは、一つ又はそれ以上のドープ領域よりも一層幅狭の電極を有するPIPNアバランシェフォトダイオードを示している。幾つかの場合に、該電極は該I又は低ドープ層/領域に対してショットキーコンタクトを構成することが可能である。幾つかの場合に、該電極の内の一つはショットキーコンタクトとすることが可能であり且つその他の電極はオーミックコンタクトとすることが可能であり、且つ、幾つかの場合に、該電極の内の一つがショットキーで且つその他の電極がP又はNウエル又は領域へオーミックコンタクトを構成することが可能である。幾つかの場合に、該層又は領域の内の一つ以上をGeSiとすることが可能である。アバランシェ利得をブレークダウン電圧において又はブレークダウン電圧を超えて観察することが可能であり、且つ、幾つかの場合に、PN、PP-N、PN-N、PIPIN、NINIP、PIPN、NINP、ショットキーMSM、ショットキーN、ショットキーPにおいてブレークダウン電圧前に観察することが可能であり、且つ、幾つかの場合に、P及び/又はN領域の内の一つ又はそれ以上をショットキーコンタクトと置換させることが可能である。
図49A-49Dは、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の概略部分平面図を示している。図49Aの場合には、該噛合型電極は異なる幅を有している。特に、噛合型電極M2の内の一つはその他の噛合型電極M1よりも著しく一層幅広である。電極M2は伝送線4826へ接続されており、且つ電極M1は伝送線4924へ接続されている。一層幅広の噛合型電極は半透明とすることが可能であり、従って光が該電極を透過することが可能であり、且つ該電極の間の領域に形成されているマイクロストラクチャ孔4912に加えてマイクロストラクチャ孔4910を該電極自身の上に形成することが可能である。幾つかの場合に、該噛合型電極は半導体とすることが可能であり、且つ、幾つかの場合に、半導体とITO(インジウムスズ酸化物)等の透明金属導電性酸化物との組み合わせとすることが可能である。幾つかの場合に、該噛合型電極は金属、半導体、及び/又は透明導電性金属酸化物及び/又は半透明金属とすることも可能であり、且つ、幾つかの場合に、ニッケルシリサイド、プラチナシリサイド等のシリサイドとすることが可能である。噛合型電極幅の比は1(M1及びM2は同じ幅を有している)乃至100以上(M2の幅はM1よりも100倍以上である)の範囲とすることが可能である。
図49Bは、M1及びM2電極は幅が同様であり且つ半透明金属から構成することが可能であって金属の厚さは10nm未満、及び、幾つかの場合に、5nm未満であることを除いて、図49Aと同様である。該金属はAl、Ni、Cr、Mo、W、V、Pt、Ag、Zr、又はTaとすることが可能である。幾つかの場合に、M1及びM2はTiN等の透明金属窒化物とすることが可能である。幾つかの場合に、それらは金属シリサイドとすることが可能である。電極M1及びM2下側の領域はP又はN型イオンでドープさせることが可能である。該電極は、幾つかの場合に、マイクロストラクチャ孔4910で示されているように該マイクロストラクチャ孔を完全に又は部分的に被覆することが可能であり、又は、幾つかの場合に、該マイクロストラクチャ孔は、マイクロストラクチャ孔4912で示されているように、該電極で被覆されることはない。
図49Cは、透明金属、金属酸化物、金属窒化物、及び/又は金属シリサイドから構成される電極M1及びM2を示している。幾つかの場合に、P又はNドーパントが該電極の下側とすることが可能であり、且つ、幾つかの場合に、Nドーパント又はPドーパントが該電極の下側とすることが可能である。図48A-48Gに示した如き電極は基板の表面下側に部分的に及び/又は完全に沈みこませることが可能である。注意すべきであるが、本書において使用されるように、「電極」という用語は、M1、M2のような電極の下側に形成されるP又はNドープ領域、カソード及び/又はアノードを包含することが可能である。図示していないことは、完全に又は部分的に埋設された電極及びP又はN接合を形成するために該電極及び/又はP及び/又はNドーパントをトレンチ内側に付着させてトレンチを形成するためのエッチングプロセスである。そのエッチングはドライ又はウエットとすることが可能であり、且つ、幾つかの場合に、表面処理を使用することが可能であり、且つ、幾つかの場合に、エッチング損傷を除去するために熱アニールを使用することが可能である。更に図示していないことは、酸化物又はその他の誘電体でのパッシベーションである。
該噛合型マイクロストラクチャ孔光検知器は、フォトダイオードか又はフォトコンダクタ(光伝導体)のいずれか、及び、幾つかの場合に、アバランシェフォトダイオードとすることが可能である。M1及びM2の電極物質は同様のものとすることが可能であり、且つ、幾つかの場合に、異なるものとすることが可能である。例えば、M1はショットキーコンタクトとすることが可能であり、且つM2はオーミックコンタクトとすることが可能である。幾つかの場合に、M1及びM2は両方ともショットキーコンタクトとすることが可能であり、幾つかの場合に、M1はPとすることが可能であり、且つM2はNとすることが可能であり、幾つかの場合に、M1及びM2は両方ともN又は両方ともPとすることが可能である。幾つかの場合に、M1はショットキーとすることが可能であり、M2はP又はNとすることが可能である。幾つかの場合に、M1は或る金属とのショットキーとすることが可能であり、且つM2はM1とは異なる金属とのショットキーとすることが可能である。例えば、M1はAlとすることが可能であり且つM2はPtとすることが可能である。その他の金属の組み合わせも可能であり、且つその他のP及びNドーパント及び金属及びシリサイドの組み合わせも可能である。幾つかの場合に、M1は透明導電性金属酸化物とすることが可能であり、且つM2はP又はN型へのオーミックコンタクトとすることが可能である。そして、幾つかの場合に、M1は透明金属とすることが可能であり、且つM2はP又はNオーミックコンタクト、及びそれらのその他の組み合わせとすることも可能である。該I又は低ドープ層はドーピング濃度が異なる1つ以上のI又は低ドープ層を有することが可能であり、且つ、幾つかの場合に、1つ以上の層をGeSiとすることが可能であり、それらの層は0.001Ω・cm乃至100Ω・cm以上の範囲の固有抵抗を有することが可能である。それらの層は連続的なものとすることが可能であり、且つ、幾つかの場合に、それらの層は非連続的なものとすることが可能であり、例えば、それらの層の或る領域は電極M1及びM2へのP及び/又はNコンタクトを形成するためにP及び/Nの異なるドーピング濃度を有することが可能である。幾つかの場合に、アバランシェ利得のために該電極間で該半導体内にドーピングする場合があり、且つ、幾つかの場合に、電界修正のために該電極間においてドーピングが存在する場合がある、。
図49Dは、噛合型マイクロストラクチャ光検知器の概略部分平面図であり、電極M1及びM2が孔又は突起とすることが可能なマイクロストラクチャ4912上をクロスオーバーすることが可能である。幾つかの場合に、該電極の幅は20nm乃至500nmの範囲とすることが可能であり、且つ該孔の表面寸法は200nm乃至2000nmの範囲とすることが可能である。該電極の長さ(伝送線から測定)は500nm乃至10000nm以上の範囲とすることが可能であり、且つ、幾つかの場合に、1ミクロン乃至100ミクロン以上の範囲とすることが可能であり、且つ、幾つかの場合に、該電極の長さは5ミクロン乃至1000ミクロン以上の範囲とすることが可能である。
幾つかの場合に、該噛合型光検知器の電極は曲がりくねったもの及び/又は光学的分極(偏光)感度を最小とさせるために全水平長と全垂直長とがほぼ同じであるように複数の分岐部を有することが可能である。反射防止コーティング(不図示)を該噛合型マイクロストラクチャ光検知器上の上部表面へ付与させることが可能である。そして、幾つかの場合に、非晶質半導体及び/又はブラックシリコンを該電極に対して及び該光検知器の表面上に付与することが可能である。
図49E及び49Fは、マイクロストラクチャ突起及びマイクロストラクチャ孔の概略部部分断面図である。図49Eはマイクロストラクチャピラミッド4970を示しており、それらは交差したり、接触したりするか、又は分離することが可能である。該マイクロストラクチャピラミッドのベースは200nm乃至2000nm以上の範囲とすることが可能である。その物質はSi又はGeSi及び/又はSiとGeSi層との任意の組み合わせとすることが可能である。該ピラミッドの高さは100nm乃至3000nm以上の範囲とすることが可能であり、且つ、幾つかの場合に、該反転ピラミッドの先端部は反転「マヤ」ピラミッド等のような平坦表面を有することが可能である。該反転ピラミッドは、交差し、及び/又は接触し、及び/又はそれらの間に空間を有することが可能であり、及び/又は交差し、接触し、及び交差しないの任意の組み合わせと会うることが可能である。
図49Eに示したようなマイクロストラクチャ突起は横方向噛合型光検知器へ適用することが可能であり、且つ、幾つかの場合に、垂直マイクロストラクチャ光検知器へ適応することも可能である。ピラミッドとすることが可能なマイクロストラクチャ突起又は反転ピラミッドとすることが可能なマイクロストラクチャ孔のいずれかの表面横方向寸法は300nm乃至4000nmの範囲とすることが可能である。幾つかの場合に、その表面横方向寸法は100nm乃至2500nmの範囲とすることが可能である。マイクロストラクチャ突起又は孔の間の間隔は0(接触又は重畳)乃至3000nmの葉にとすることが可能である。幾つかの場合に、垂直PINマイクロストラクチャ孔光検知器の場合、その間隔は100nm乃至3000nmの範囲とすることが可能である。幾つかの場合に、マイクロストラクチャ光検知器は突起と孔の両方を有することが可能である。マイクロストラクチャ光検知器におけるマイクロストラクチャ(孔及び/又は突起)の数は1乃至10億個以上の範囲とすることが可能である。該突起の高さ又は該孔の深さは30nm乃至3000nmの範囲とすることが可能であり、且つ、幾つかの場合に、100nm乃至5000nm以上、及び、幾つかの場合に、100nm乃至1500nmの範囲とすることが可能である。
図50は、幾つかの実施例に基づく、長さの関数として幅変化を有する噛合型電極M1及びM2を包含している噛合型マイクロストラクチャ孔光検知器の概略部分平面図である。これはこの様な変形例の内の一つに過ぎず、その他の変形例及びパターンとすることも可能である。M1及びM2の電極は金属、半導体、透明金属、透明導電性金属酸化物、窒化チタン、シリサイド、等とすることが可能であり、且つP及び/又はN領域へのショットキーコンタクト及び/又はオーミックコンタクトとすることが可能である。マイクロストラクチャ孔5012は該電極の上及び/又は下側の領域内、及び該電極の間の空間内に配置させることが可能である。
図51A及び51Bは、幾つかの実施例に基づく、選択的区域成長Ge/GeSiを有するシリコンウエハの概略部分平面図である。該選択的区域成長させたGe/GeSiは、感光性区域がある領域5102内においてのみ存在することが可能である。区域5102の横方向寸法は0.5ミクロン乃至1000ミクロン以上の範囲とすることが可能である。幾つかの場合に、該横方向寸法は1ミクロン乃至100ミクロンの範囲である場合がある。円形状のGe/GeSi光検知器においては、その直径は5ミクロン乃至100ミクロン以上の範囲とすることが可能である。幾つかの場合に、円形状又は楕円状の区域5102の代わりに又はそれに加えて、噛合型光検知器用にGe/GeSiのストリップが成長される。Siウエハ上の選択的区域成長されたGe/GeSiは該ウエハを湾曲させることを回避することが可能である。何故ならば、該Ge/GeSiのフットプリント即ち足跡は小さく且つGe/GeSiとSiとの間の格子不整合に起因する応力は殆ど局所化されるからである。
幾つかの場合に、10nm以下の薄い金属層を該マイクロストラクチャ孔の上に付着させてプラズモン吸収を向上させることが可能であり、そのことは、更に、量子効率、即ち外部量子効率を向上させることが可能である。そして、幾つかの場合に、該半導体におけるマイクロストラクチャ孔への該金属膜内に同心円状又は非同心円状にナノ又はマイクロの孔を形成することが可能である。
図51Aは、少なくとも初期的にはマイクロストラクチャ孔を有することのないGe/GeSi領域5102の選択的区域成長を具備するシリコンウエハ5150を示している。この場合には、該マイクロストラクチャ孔は該選択的区域領域5102内にエッチングさせることが可能である。図51Bは、図1に関連して前述したような選択的区域成長プロセス期間中に形成したマイクロストラクチャ孔を有する選択的区域成長領域5104を具備するシリコンウエハ5152を示している。領域5104は正方形として図示してあるが、例えばその他の複数の側部を有する形状等の異なる形状とさせることが可能である。
J. Michel et al.、「高性能Ge・オン・Si光検知器(High-performance Ge-on-Si photodetectors)」、ネイチャーフォトニクス、2010年7月30日、(引用により本書に取り込み且つ以後「J. Michel」として参照する)は、Ge・オン・Si/GeSi/GeSi・オン・Siの選択的区域成長を使用することを説明している。S. Assef et al.、「CMOS集積化高速MSMゲルマニウム導波路光検知器(CMOS-integrated high-speed MSM germanium waveguide photodetector)」、オプティックス・エクスプレス、2010年3月1日、Vol.18、No.5;及びS. Assef et al. 、「25GbpsWDM光学的通信適用例用の90nmCMOS集積化ナノフォトニクス技術(A 90nm CMOS Integrated Nano-Photonics Technology for 25Gbps WDM Optical Communications Applications)」、IEEE・インターナショナル・エレクトロン・デバイシーズ・ミーティング(IEDM)、2012年12月10-12日、(これら両文献とも引用により本書に取り込み且つ以後「Assef et al. 2010」及び「Assefa et al. 2012」として参照する)はCMOS/BiCMOS ASICと集積化して導波路Geフォトダイオードを製造するために迅速熱処理を使用して非晶質Geを結晶化させることを説明している。L. Chen et al.、「シリコン上の超低容量及び高速ゲルマニウム光検知器(Ultra-low capacitance and high-speed germanium photodetectors on silicon)」、オプティカル・エクスプレス、2009年5月11日、Vol.17、No.10、(この文献を引用により本書に取り込み且つ以後「Chen et al.」として参照する)、はGe・オン・Siフォトダイオードを製造すためのウエハボンディングについて説明している。
図52Aは、光学的フィールドの有限差分時間領域(FDTD)計算に対して使用される構造の断面図である。図52Bは、図52Aに示した構造における光学的フィールドのFDTD計算のプロットである。図52Bの縦軸は、孔を具備する該I又は低ドープ半導体(この例においてはSi)における光学的吸収を示している。光学的吸収は外部量子効率と直接的に関係しており、且つ、幾つかの場合に、それは外部量子効率である場合がある。図52Bの横軸は、入射光学信号の0.8ミクロンから0.95ミクロンにおけるミクロン単位での波長である。実線の曲線5210は、該噛合型フィンガーに沿って偏光された光及び該噛合型電極に対して垂直方向に偏光された光に対する平均の光学的吸収を示している。点線の曲線5212は、該電極に対して平行に偏光された光に対する光学的吸収を示しており、且つダッシュの曲線5214は該電極に対して垂直に偏光された光を示している。平均の光学的吸収、即ち平均の外部量子効率は850nmにおいて80%を超えており、且つ950nmにおいて50%を超えている。モデル化される構造は1ミクロンの厚さのI又は低ドープSiマイクロストラクチャ孔を有しており、それは反転ピラミッドであり且つ正方形の一つの側部に沿って700nmである。M1及びM2電極の間の間隔は1400nmであり、且つ該電極の幅は300nmである。該噛合型マイクロストラクチャ孔光検知器は、850-950nmの波長範囲にわたって、マイクロストラクチャ孔の無い同等の光検知器よりも一層高い外部量子効率を有している。該噛合型マイクロストラクチャ孔光検知器はその上部表面上で照射される。該電極は該I又は低ドープSi上に配置される。
X. Xiao et al.、「標準CMOSプロセスでモノリシックに集積化したMSM光検知器を具備する2Gb/s光学的受信機(A 2Gb/s optical receiver with monolithically integrated MSM photodetector in Standard CMOS Process)」、チャイニーズ・サイエンス・ブレチン、2011年7月、Vol.56、No.21(この文献は引用により本書に取り込み且つ以後「Xiao et al」として参照する)は、CMOS/BiCOMS ASICと集積化されたシリコンMSMについて説明している。Liu et al.、「縮尺したアクティブ層を具備するシリコン・オン・インシュレータ基板上の140GHz金属-半導体-金属光検知器(140 GHz metal-semiconductor-metal photodetectors on silicon-on-insulator substrate with scaled active layer)」、アプライドフィジックスレターズ(7)、1994年8月15日(この文献を引用により本書に取り込み且つ以後「Liu et al. 1994」として参照する)は、140GHzの帯域幅に到達するSiMSM光検知器について説明している。
図53は、幾つかの実施例に基づく、表面照射型光検知器の2次元アレイの配置の概略図である。モノリシック表面照射型集積化2次元光検知器アレイが、表面照射型光検知器5374を使用して製造された状態が示されている。光検知器5374は表面照射型であるので、それらは高密度2次元アレイに配設させることが可能であり且つCMOSBiCMOS ASIC5332とモノリシックに集積化させることが可能である。寄生効果は著しく減少されて高性能を可能としている。2次元表面照射型光検知器アレイ用のこの例においては、オプチカルファイバー5364は各々非常に高い帯域幅光学データ通信用の光検知器へ結合させることが可能である。幾つかの場合に、単一のファイバーは複数の波長を担持する2次元アレイへ結合させることが可能であり、且つ個別的な波長は、グレーティング等の波長選択性フィルターを使用して特定の光検知器へ指向させることが可能である。LiDAR等の適用例の場合、100×100以上の表面照射型光検知器からなる非常に高密度の2次元アレイを、高空間分解能適用例用にCMOSBiCMOS ASICとモノリシックに集積化させることが可能である。PIN等の垂直光検知器及びPIN又はMSM等の横方向光検知器の両方において吸収を向上させ、従って外部量子効率を向上させるためにマイクロストラクチャ孔を使用することは、或る波長において、マイクロストラクチャ孔の無い同様の光検知器のものよりも該光検知器の感度及び応答速度を著しく向上させることを可能とする。注意すべきことであるが、端部照射型である既知の導波路光検知器等の非表面照射型光検知器は、1次元アレイに配列させることが可能であるに過ぎず、従って、表面照射型光検知器のように高密度適用例に適しているものではない。
図54A及び54Bは、幾つかの実施例に基づく、垂直マイクロストラクチャ孔PINフォトダイオード及び横方向マイクロストラクチャ孔PINフォトダイオードの概略部分断面図である。P+とN+の層又は領域の間の層又は領域である該I層は、しばしば、1×1012/cm乃至5×1016/cmのドーピング範囲を有しており、それは真性又は低ドープP-N-層又は領域と呼ぶことが可能である。幾つかの場合に、P+及びN+層又は領域の間の領域は、1×1016乃至8×1017/cm以上のドーピング範囲を有する場合がある。これはN又はPドーピングと呼ぶことが可能である。該I又は低ドープ領域が一層高いドーピングを有している場合には、アノードとカソードとの間に印加される逆バイアスに起因する空乏化の幅は、例えば5×1015/cmより下の非常に低いドーピングを有するI又は低ドープ領域に対するよりも一層小さく、その結果-4乃至-10ボルトの範囲の一層低いブレークダウン電圧となり、一方、I又は低ドープ層/領域に対するブレークダウン電圧は-10ボルト乃至-100ボルト以上の範囲である場合があり、且つ、幾つかの場合には、-10乃至-45ボルトである。該P+とN+との間の層又は領域に対してのドーピングレベルが1乃至5×1017/cmの範囲である場合には、ブレークダウン電圧よりも低い電圧においてアバランシェ利得が観察される場合があり、それは例えば-5ボルトである。例えば、垂直P+N+マイクロストラクチャ孔フォトダイオードにおいて、該P+が0.2ミクロンの厚さで且つ該Nが1.5ミクロンで且つ該N+が0.2ミクロンである場合に、1040nmの波長において該表面を光学信号が照射すると、0.7A/W以上に高い応答性を得ることが可能であり、それは約-4ボルトのバイアス電圧においてでありそれは約5Vのブレークダウン電圧よりも約1ボルト低い。マイクロストラクチャ孔5412は反転ピラミッドであり、その側部横方向寸法は約700nmであり、且つ間隔は約300nmである。マイクロストラクチャ孔SiP+NN+光検知器の応答性は1040nm波長においてマイクロストラクチャ孔の無い同様のシリコンP+NN+光検知器よりも約5倍だけ一層たかく、且つ、幾つかの場合に、その応答性はマイクロストラクチャ孔の無い同様のSiP+NN+光検知器よりも10倍以上である場合がある。
同様の向上された応答性を該横方向P+NN+マイクロストラクチャ孔フォトダイオードに対しても観察することが可能であり、その場合に、M1及びM2噛合型フィンガーの間の間隔は1.5ミクロンとすることが可能であり且つ該マイクロストラクチャ孔は横方向側部寸法が約700nmであり且つ間隔が300nmである反転ピラミッドとすることが可能である。その他の寸法の反転ピラミッド及び間隔でも向上された吸収、従って向上された外部量子効率を発生させることが可能である。反転ピラミッドの横方向寸法は、500nm乃至1000nmの範囲とすることが可能であり、及び、幾つかの場合に、600nm乃至1300nm以上の範囲とすることが可能であり、及び、該間隔は0nm(横方向フォトダイオードの場合のみ)乃至1000nmの範囲とすることが可能である。
図55A及び55Bは、図48D及び48Eに示した構造のFDTDシミュレーションのプロットである。該電極は300nm幅であり、該反転ピラミッドは基部横方向寸法が700nmであり、反転ピラミッド間の間隔が300nmであって、該電極は1700nmのギャップを有している。該I又は低ドープSiは、シリコンウエハの上の2000nmのBOX層の上で1000nmの厚さを有している。図55Aは、800nm乃至1000nmの入射フォトン波長に対しての吸収(1-反射-透過)即ち近似的に外部量子効率を示している。ダッシュ曲線5510は該I又は低ドープ層内への電極500nmを示しており(図48Eにおける如く)、及び実線曲線5512は該BOX層への電極を示している(図48Dにおける如く)。該プロットから理解されるように、該吸収及び/又は外部量子効率(EQE)は800-1000nmの間の波長の殆どにおいて80%を超えることが可能であり、且つ、幾つかの場合に、該EQEは60%以上であることが可能であり、及び、幾つかの場合に、該EQEは800-1000nmのスパンにおける波長の内の幾つかにおいて40%以上であることが可能である。図55Bは、図48D及び48Eにおけるものと同様であるが、マイクロストラクチャ孔が無い場合の噛合型フォトダイオードの吸収即ちEQEを示している。ダッシュ曲線5520は該I又は低ドープ層内への電極500nmを示しており(図48Eにおける如く)、且つ実線曲線5522は該BOX層への電極を示している(図48Dにおける如く)。理解される如く、その吸収即ちEQEは800と1000nmとの間の波長の幾つかにおいて20%以下である。噛合型マイクロストラクチャ孔フォトダイオードは、マイクロストラクチャ孔の無い同等の噛合型フォトダイオードよりも一層高い吸収即ちEQEを有することが可能である。
図56A及び56Bは、横方向噛合型光検知器と垂直PINマイクロストラクチャ孔光検知器の両方に対してのGe・オン・Siの2ステップ選択的区域成長の部分概略断面図である。図56Aは、これも選択的区域成長によって形成された以前に成長されたGe層の上に選択的区域成長によって形成したマイクロストラクチャ孔を具備する横方向PIN噛合型光検知器を示している。Geの成長が望まれない区域はSiO、窒化シリコン、又はアルミニウム酸化物等の誘電体で被覆される。マイクロストラクチャ孔5612は、図1における如く、マイクロストラクチャ孔が所望される区域内に誘電体島状部を配置させることによりマイクロストラクチャ孔5612が形成され、及び選択的区域成長を使用することによって、図中に示した如く、誘電体が存在する領域を除いて全ての箇所にGeが形成される。P及びNドーパントを注入することが可能であり且つ電極M1及びM2は該P及びNドーパント上にオーミックコンタクトを形成することが可能であり、その結果、夫々、アノード及びカソードとなる。説明の便宜上、1個のセルと一対の噛合型電極が示されているに過ぎない。マイクロストラクチャ孔の寸法は100nm乃至4000nmの範囲となることが可能である。該孔と孔との間の間隔は100nm乃至3000nmの範囲とすることが可能である。幾つかの場合に、該Ge層又は該Ge層の内の少なくとも一つは、Geの割合が0未満乃至1の範囲であるGeSiとすることが可能である。該Ge及び/又はGeSiは真性及び/又は低ドープP及び/又はNとすることが可能である。該装置Si層は真性及び/又は低ドープP及び/又はNとすることが可能である。
図56Bは、Ge・オン・Siの垂直PIN2ステップ選択的区域成長を示している。Geの最初の下側の層はSi上に選択的区域成長され、それは該検知器の感光性区域を画定する。Geの2番目の選択的区域成長はマイクロストラクチャ孔5614を形成するために使用される。P+Ge層を該I又は低ドープGeの上に成長させて該垂直PIN構造を完成することが可能である。幾つかの場合に、該P領域はPドーパントイオンでイオン注入させるか又は拡散させることが可能である。Geの該P表面上にアノード電極を形成することが可能であり、且つ該N+Si層上にカソード電極を形成することが可能である。該Geは真性(I又は低ドープP及び/又はN)とすることが可能であり、該装置層SiはN+とすることが可能であり、且つ該BOX層はオプションとすることが可能である。幾つかの場合に、該GeはGeSi合金とすることが可能である。
該P及びNは交換可能であり、且つ、幾つかの場合に、P及びNの複数の領域を実現してアバランシェフォトダイオードを形成することが可能である。アバランシェ利得は、横方向構造であるか又は垂直構造であるかにかかわらずに、PN、PIN、PIPN、PIPIN構造において観察することが可能である。アバランシェ利得は、ブレークダウン電圧前の電圧において、ブレークダウン電圧において、及び/又はブレークダウン電圧よりも高い電圧において達成することが可能である。逆バイアスが該アノードと該カソードとの間に印加されるが、その場合の電圧は-1乃至-100ボルトの範囲、及び、幾つかの場合に、-1乃至-35ボルト、及び、幾つかの場合に、-1乃至-20ボルトの範囲である。
図56A及び56Bは、Ge・オン・Siの2ステップ選択的区域成長を示しており、且つ、幾つかの場合に、2ステップを超える選択的区域成長を使用することが可能である。幾つかの場合に、横方向PIN及び垂直PINマイクロストラクチャ光検知器の製造のためにGe/GeSiの複数の層を使用することが可能である。幾つかの場合に、その構造は、数例を挙げると、PN、PIN、P-N、PN-N、PIPN、P-PN、PN-PN、PIPINとすることが可能である。該P及びNは交換可能である。
幾つかの場合に、該BOX層は、該マイクロストラクチャ光検知器の下側及び/又は該CMOSBiCMOS ASICの下側から、部分的に及び/又は完全に除去させることが可能である。幾つかの場合に、熱的冷却を助けるために、空気又は流体を該BOX層をエッチング除去した領域内に強制的に流入させることが可能である。
H. Zang et al.、「金属-ゲルマニウム-金属光検知器へのドーパント分離の適用及びその暗電流抑圧メカニズム(Application of dopant segregation to metal-germanium-metal photodetectors and its dark current suppression mechanism)」、アプライド・フィジックス・レターズ、92、051110(2008)(引用により本書に取り込む)は、暗電流を減少させるために、例えばM1及びM2の両方がPドーピングを有しており、且つM1及びM2が反対極性のドーピングを有しており、M1及びM2の両方がPドーピングを有しているというように、P及びNドーピングを使用するショットキーバリア高さの修正について説明している。この文献は、又、Ge・オン・Siの選択的エピタキシャル成長(SEG)としても知られている選択的区域成長の1例について説明している。
図57は、幾つかの実施例に基づく、選択的区域成長させたGe・オン・Siの部分的概略断面図であって、その場合に、マイクロストラクチャ孔をエッチングし、次いで該マイクロストラクチャ孔の上にGeの再成長を行っている。このマイクロストラクチャ孔5712上のGe5714の再成長は、ドライ及び/又はウエットエッチングの結果としてマイクロストラクチャ孔の側壁に対する損傷に起因するリーク電流を減少させることが可能である。選択的区域成長させたGe及び/又はGeSiは、5ミクロン乃至1000ミクロン以上、及び、幾つかの場合に、20ミクロン乃至80ミクロン、及び、幾つかの場合に、30ミクロン乃至200ミクロンの範囲の直径を有することが可能である。最初の選択的区域成長させたGeの厚さは、300nm乃至3000nm、及び、幾つかの場合に、500nm乃至5000nmの範囲とすることが可能である。マイクロストラクチャ孔5712は、最初の選択的区域成長させたGe層内にドライ及び/又はウエットエッチされ、その場合の横方向寸法は100nm乃至4000nmの範囲であり且つ該マイクロストラクチャ孔間の間隔は100nm乃至3000nmである。該マイクロストラクチャ孔のエッチ深さは100nm乃至3000nmの範囲とすることが可能であり、且つ、幾つかの場合に、該深さは100nm乃至1000nmの範囲とすることが可能である。該マイクロストラクチャ孔は、該GeのI又は低ドープ層内へ部分的にエッチングさせることが可能であり、又は該Si層へ該GeのI又は低ドープ層を貫通して完全にエッチングさせることが可能である。2番目の選択的区域成長させたGe5714を、図示した如くに、最初の選択的区域成長させたGe上に成長させる。この2番目の層Ge/GeSi5714は100nm乃至1000nm、及び、幾つかの場合に、50nm乃至3000nm、及び、幾つかの場合に、100nm乃至500nmの範囲の厚さを有することが可能である。P及びN領域を形成して横方向噛合型マイクロストラクチャ孔光検知器又は垂直PINマイクロストラクチャ孔フォトダイオードを形成することが可能である。幾つかの場合に、横方向又は垂直フォトダイオードに対して、コンタクトの一つ又はそれ以上をショットキーコンタクトとすることが可能である。
該Ge/GeSiマイクロストラクチャ光検知器は800nm乃至2000nmの波長範囲で動作することが可能である。光学データ通信のためには、波長範囲は1000nm乃至1350nm及び1550nm乃至1670nmとすることが可能であり、その場合の後者は約2km乃至100km以上の長距離光学的リンクにとって望ましいものである。LiDAR適用例において、1350nm乃至1650nmの範囲における長波長動作は目の安全性の観点から望ましい場合がある。幾つかの場合に、複数のパルス動作及び/又は変調スキームでの複数の波長を正確な信号取得のため、及び異なるパルス動作波長及び/又は変調スキームを使用している場合がある他のLiDARからの干渉を回避するために使用することが可能である。幾つかの場合に、LiDARシステムの感度を増加させるためにホモダイン及び/又はヘテロダインを使用することが可能であり、及び、幾つかの場合に、LiDARシステムの性能及び感度を増加させるために複数の波長を使用することが可能である。更に、自動車の適用例の場合、自動車の間での自由空間長波長通信の使用は自動車の安全性及び交通管理にとって有益的である場合がある。幾つかの場合に、自転車に乗っている人及び歩行者に自動車が近づいてきていることを警告するために自転車に乗っている人及び歩行者も自由空間通信ユニットを有することが可能である。
横方向フォトダイオード又はフォトコンダクタ噛合型光検知器又は垂直フォトダイオードの両方について、I又は低ドープP-、N-、及び/又はN及びPドーピングを有するSi及び/又はGe/GeSiの一つ又はそれ以上の層が存在する場合がある。更に、I又は低ドープP-、N-、及び/又はN及びPドーピングを有するSi及び/又はGe/GeSiである領域が存在する場合がある。該ドーピングはN--、P--、乃至P++、N++、又は近似的に1×1014/cm乃至1×1020/cm以上のN及びPドーパントイオンの範囲とすることが可能である。マイクロストラクチャ孔及び/又は突起はP、I(又は低ドープ)、Nの少なくとも一つ以上の層/領域内に形成することが可能である。幾つかの場合に、少なくとも一つ又はそれ以上の層/領域はGe/GeSiとすることが可能である。
図58A及び58Bは、幾つかの実施例に基づく、Ge/GeSi・オン・SiPIN垂直マイクロストラクチャフォトダイオードの概略部分断面図である。図58Aは、該I又は低ドープ領域5804内に上方に延在するSi突起5810を示している。領域5804に対する該Ge/GeSiは該Si突起5810上に成長させた選択的区域である。該Si突起5810はピラミッド又は反転ピラミッドとさせることが可能である。該ピラミッド又は反転ピラミッドは、500nm乃至3000nmの範囲の横方向寸法及び0乃至2000nmの範囲とすることが可能な間隔を有することが可能である。P+Ge/GeSi層5802は、N+Si5806上に成長された該I又は低ドープGe/GeSi層5804の上に成長される。幾つかの場合に、BOX層を包含させることが可能である。該I又は低ドープGe/GeSi層5804は0.4ミクロン乃至2ミクロンの厚さ範囲を有することが可能であり、及び、幾つかの場合に、0.5ミクロン乃至1ミクロンとすることが可能である。幾つかの場合に、該Ge/GeSiのI又は低ドープ層5804は2ミクロンより大きな厚さを有することが可能である。P+Ge/GeSi層5802は0.05ミクロン乃至0.3ミクロンの厚さ範囲を有することが可能である。金属オーミックコンタクト5820をアノードとすることが可能な該P+層上に形成することが可能であり、且つ金属オーミックコンタクト5822をカソードとすることが可能な該N+上に形成することが可能である。逆バイアスを該アノードと該カソードとの間に印加させる。光学信号がP+Ge/GeSi5802の上部表面上に入射し、及び、幾つかの場合に、該Si基板の底部表面から入射することが可能である。該Ge/GeSiフォトダイオードのための波長は、該GeSiにおけるGeの割合に依存して、800nm乃至2000nmの範囲を取ることが可能である。図58Bは、I又は低ドープGe、又は幾つかの場合に、PGe/GeSi、とすることが可能な該P+Ge/GeSi層5802上の突起5812を示している。その突起高さは0.1ミクロン乃至1ミクロンの範囲とすることが可能である。アノード及びカソードを、夫々、該P+Ge/GeSi及び該N+Siの上に形成させることが可能である。幾つかの場合に、該突起5812は高屈折率誘電体とすることが可能であり且つ500nm乃至2000nmの範囲の横方向寸法を有することが可能であり、且つ該突起の間隔は0乃至1000nm以上の範囲とすることが可能である。
図59A-59Cは、幾つかの実施例に基づく、フォトコンダクタ(光伝導体)及びフォトダイオードのIV(電流―電圧)特性のグラフ図である。実線の曲線5910,5920,5930は、光が該光検知器上に入射していない場合のIV特性で、しばしば暗所IVと呼ばれる特性を示している。点線の曲線5912,5922,5932は該光検知器上に光が入射している場合であって、明所IVと呼ばれる。図59A及び59Bに見られるように、フォトコンダクタは対称的なIV特性を有することが可能であり、従って、該フォトコンダクタは逆バイアスと順方向バイアスの両方で動作することが可能である。例えば、それは-0.5ボルト乃至-100ボルトで動作することが可能であり、+0.5ボルト乃至+100ボルトで動作することが可能である。見られるように、これらの曲線は、負の電流と負の電圧で、及び正の電流と正の電圧で、該負及び正の電圧において対称的である。幾つかの場合に、該曲線は殆ど対称的である場合がある。幾つかの場合に、コンタクト寸法における差異に起因して幾らかの非対称性が存在する場合がある。図59Aは、ショットキー半導体ショットキー配置の1例、又は均等的にMSM光検知器の連続的なショットキーで、その場合に該ショットキー対半導体のコンタクトは空乏層を発生するものを示している。図59AのIV特性は順方向と逆バイアスの両方で動作することが可能な連続的なショットキーダイオードを示している。
図59Bは、金属電極が半導体とオーミックコンタクトを行う場合のフォトコンダクタのIV特性を示している。照射下において、該フォトコンダクタの抵抗は変化し且つ該フォトコンダクタが照射されていない場合と比較して一層低い抵抗となることが可能である。幾つかの場合に、該フォトコンダクタは感光性利得を有することが可能である。該構造はMSM噛合型構造とすることが可能であり、その場合に、金属電極がPIP及びNIN等のオーミックコンタクトを形成する。
図59Cは、ショットキー半導体オーミック(P又はN)又はPIN又はPN、及び、幾つかの場合に、PIPN、PIPINのIV曲線フォトダイオード特性を示している。フォトダイオードは逆バイアス電圧下で動作し、その場合に、逆バイアスはアノードとカソードとに印加させ、及び、幾つかの場合に、それは0バイアスで動作する場合がある。高速動作のために、-1ボルト乃至-30ボルト以上の範囲の逆バイアスをアノードとカソードとに印加させることが可能である。噛合型横方向マイクストラクチャ孔/突起光検知器は、コンタクトに依存して、フォトコンダクタとしてか又はフォトダイオードとしてのいずれかで構成することが可能である。垂直マイクロストラクチャ孔/突起光検知器は、しばしば、PIN又はショットキーフォトダイオードであり、且つ、幾つかの場合に、例えばNIN又はPIPコンタクトを有するフォトコンダクトタ構造において製造させることも可能である。
図60は、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の概略部分断面図である。該構造はショットキーコンタクトを具備するI又は低ドープNSi6004を包含している。幾つかの場合に、P及び/又はNコンタクトが、該I又はNSi層6004下側のP層と共に使用される。コンタクト6024を該PSi6006上に形成されて該P層6006と該NSi層6004との間に逆バイアスを可能とさせる。該PとNSiとの間に逆バイアスを印加させることにより、速度及び、幾つかの場合に、EQEを該噛合型マイクロストラクチャ孔光検知器において向上させることが可能であり、その光検知器はM1とM2とによって形成されるコンタクトに依存してフォトコンダクタか又はフォトダイオードとすることが可能である。孔6012は、数例を挙げると、ピラミッド、円筒状、円錐状、台形状とすることが可能であり、且つ、幾つかの場合に、それは複数の形状の組み合わせを有することが可能である。該突起の横方向寸法は、50nm乃至3000nm、及び、幾つかの場合に、300nm乃至1500nmの範囲とすることが可能である。該突起は0nm乃至2000nmの間隔とさせることが可能である。該突起の高さは50nm乃至2000nm以上、及び、幾つかの場合に、10nm乃至1500nm、及び、幾つかの場合に、100nm乃至1000nmの範囲とすることが可能である。
図61A及び61Bは、幾つかの実施例に基づく、横方向噛合型光検知器及び垂直光検知器のナノストラクチャの断面図である。マイクロストラクチャ孔6112は孔として図示してあるが、それらは孔又は突起とすることが可能である。該光検知器上及び該電極上、及び、幾つかの場合に、該伝送線上の該マイクロストラクチャ6114は光学反射を減少させることが意図されている。該マイクロストラクチャ光検知器の表面からオプチカルファイバー内へ戻される光学反射は、好適には、-12B以下、及び、幾つかの場合に、
-26dB以下とすべきである。該表面上に反射防止コーティングを付与することが可能であり、それは、しばしば、一つ以上の誘電体の薄い膜から構成され、その場合の光学的屈折率は該媒体と該光検知器との間の幾何学的平均であり、その場合に該媒体はしばしば空気であり且つ該光検知器は該半導体の屈折率を有するものである。該誘電体層の厚さはしばしば4分の一波長及び/又は該光検知器の表面上に入射する光学信号の四分の一波長の倍数である。該誘電体膜はエッチングしてナノストラクチャを形成することが可能であり、それは周期的及び/又はランダムなものとすることが可能であり、そのことは更に光トラップし且つ反射を防止することが可能である。該透明な誘電体膜は高い光学的誘電定数を有しており、数例を挙げると、例えば、Hf酸化物、Si窒化物、ZnSe、Al酸化物、GeN等である。これらのナノストラクチャ6114は10nm乃至1000nm以上の範囲の横方向寸法を有することが可能である。その間隔は0nm乃至1000nmの範囲とすることが可能である、且つその高さは10nm乃至3000nmの範囲とすることが可能である。幾つかの場合に、ブラックSiを使用することが可能であり、及び、幾つかの場合に、ブラックGeを使用することが可能であり、及び、幾つかの場合に、数例を挙げると、ブラックAl窒化物、Ga窒化物等を使用することが可能である。
ナノストラクチャ反射防止コーティングの利点は、ナノストラクチャの無い同様の一つ又は複数の誘電体層よりも、波長及び角度の依存性が一層少ないということである。これらのナノストラクチャはパターン状とすることが可能であり、且つ、幾つかの場合に、パターン状としないことも可能であり、それはドライエッチプロセスが表面に適用されるブラックSiを形成する方法と同様である。
光学通信のためには、該マイクロストラクチャ光検知器からの非常に低い光学的反射が望ましい。LiDAR適用例に対しては、該マイクロストラクチャ光検知器の表面からの超低反射は通常それほど重要ではない。例えば、LiDAR適用例に対しては、マルチモードファイバーに対しての短い到達範囲及び単一モードファイバーに対しての長い到達範囲/中間の到達範囲に依存してその反射がしばしば-12dB乃至-26dBである光学通信と比較して、-10dB以下の反射が適切である。
H. Cansizoglu、「異なる形状を有する半導体ナノストラクチャの光学的吸収特性(Optical Absorption Properties of Semiconducting Nanostructures with Different Shapes)」、アドバンスト・オプチカル・マテリアルズ、2013、1、158-166;J.Q. Xi et al.、「フレスネル反射の広帯域除去用の低屈折率を有する光学的薄膜物質(Optical thin-film materials with low refractive index for broadband elimination of Fresnel reflection)」、ネイチャー・フォトニクス、Vol.1、2007年3月、(これら両方の文献を引用により本書に取り込む)は、反射を減少させるためにナノストラクチャを使用することを議論している。誘電体ナノガラスは、広範な波長範囲及び広範な入射角度にわたって、反射を1%未満へ、及び、幾つかの場合に、0.2%以下へ減少させる場合に効果的である場合がある。1350nm波長範囲において或る単一モード光学的データ通信に対して-26dBの反射性が必要とされる場合がある。
図62A及び62Bは、幾つかの実施例に基づく、III-V物質群垂直マイクロストラクチャPIN光検知器及び横方向MSM噛合型マイクロストラクチャ孔光検知器の部分概略断面図である。50Gb/s以上のデータレート、及び、幾つかの場合に、50GHz以上の帯域幅を有する光検知器に対して、高速のトランジット(通過)時間を可能とするためにはその吸収層は必然的に薄いものである。吸収層厚さ、例えばInGaAs層6204等、は200nm乃至1000nm、及び、幾つかの場合に、300nm乃至500nmの範囲とすることが可能である。垂直PIN構造の場合における光検知器の直径は、5ミクロン乃至30ミクロン、及び、幾つかの場合には、8ミクロン乃至20ミクロンの範囲とすることが可能である。図62Aは厚さが300nm乃至1000nmの範囲であるP+InP層からなる表面照射型PIN構造を示している。その吸収層InGaAs6204はI又は低ドープとすることが可能であり且つN+InP層又は基板上に成長させて300nm乃至1000nm、及び、幾つかの場合に、300nm乃至500nmの範囲の厚さを有することが可能である。N+InP層の場合、その層厚は1000nm乃至5000nmの範囲とすることが可能であり、かつ半絶縁性のInP基板上とさせることが可能である。マイクロストラクチャ孔6212はウエット又はドライエッチングさせることが可能であり、且つ、数例を挙げると、反転ピラミッド、円筒状、円錐状、台形状等の形状を有することが可能であり、且つ500nm乃至2500nm、及び、幾つかの場合に、700nm乃至1500nmの範囲の表面横方向寸法を有することが可能である。マイクロストラクチャ孔6212の端部同士の間隔は100nm乃至1000nm、及び、幾つかの場合に、100nm乃至500nmの範囲とすることが可能である。該マイクロストラクチャ孔の深さは100nm乃至1500nm以上の範囲とすることが可能である。該孔は該P+InP層内に部分的に、及び、幾つかの場合には、該P+InP層を貫通して該I又は低ドープInGaAs層へ、及び、幾つかの場合に、該I又は低ドープInGaAs層内へ部分的に、及び、幾つかの場合に、該I又は低ドープInGaAs層を貫通してエッチングさせることが可能である。アノード等のオーミックコンタクト該P+InP上に形成することが可能であり、且つカソードを該N+InP上に形成することが可能である。図示した如く、該P+InPを貫通して且つ該InGaAs層を貫通してエッチングすることにより感光性領域を画定するためにメサを使用することが可能である。
図62Bは、半絶縁性InP基板上のI又は低ドープInGaAs層6205の上にI又は低ドープInP層を有するMSM又はショットキー半導体ショットキー噛合型マイクロストラクチャ光検知器を示している。該InP層は100nm乃至1000nmの範囲の厚さを有することが可能であり、且つ該InGaAs層6205は200nm乃至1000nm、及び、幾つかの場合に、200nm乃至600nmの範囲の厚さを有することが可能である。マイクロストラクチャ孔6212はウエット又はドライエッチングすることが可能であり、且つ、数例を挙げると、反転ピラミッド、円錐状、台形状、円筒状の形状とすることが可能である。該マイクロストラクチャ孔は500nm乃至2500nmの範囲の横方向寸法を有することが可能であり、且つマイクロストラクチャ孔の間の端部同士の間隔は0及び/又は交差状態乃至1000nmの範囲とすることが可能である。該孔は該上部InP層内へ部分的にエッチングさせることが可能であり、及び、幾つかの場合に、該上部InP層を貫通し且つ該InGaAs層内へ部分的に、及び、幾つかの場合に、該InGaAs層を貫通してエッチングさせることが可能である。幾つかの場合に、該InP上部層は省略する場合がある。噛合型電極を金属半導体ショットキーコンタクトとして該上部InP層上に形成し、その場合に、M1及びM2電極下側の領域が夫々ドープしたP及びNである場合には、該コンタクトはP及びNコンタクトとすることが可能である。該噛合型電極間隔は300nm乃至1000nmの範囲とすることが可能であり、且つ電極M1及びM2の幅は10nm乃至180nmの範囲とすることが可能である。
InPと整合したInGaAs格子に対する波長範囲は約1300nm±100nm以上であり、及び、幾つかの場合に、それは1250nm乃至1350nm、及び、幾つかの場合に、±200nm、及び、幾つかの場合に、±300nmで中心周波数が1300nmとすることが可能である。InP上にInGaAs、P等のその他の物質組成を使用することにより、その他の波長範囲を達成することが可能である。データレートは40Gb/s乃至80Gb/s、及び、幾つかの場合に、その上限が100Gb/s以上の範囲とすることが可能である。-1乃至-40ボルト、及び、幾つかの場合に、-1乃至-3.3ボルトの範囲の電圧でアノードとカソードとの間に逆バイアスを印加させることが可能である。M1とM2とが対称的であるか又は連続するショットキーコンタクト等のように殆ど対称的であるMSMの場合には、該光検知器は順方向及び逆バイアス電圧の両方で動作することが可能であり、例えば、正の電圧をM1へ印加させ且つ負の電圧をM2へ印加させることが可能であり、及び、幾つかの場合に、負の電圧をM1へ印加させ且つ正の電圧をM2へ印加させることが可能である。その電圧範囲は-1ボルト乃至-40ボルト以上とすることが可能であり、及び、幾つかの場合に、その電圧範囲は-1ボルト乃至-40ボルト以上、及び、幾つかの場合に、該電圧は-1乃至3.3ボルトの範囲とすることが可能である。
幾つかの場合に、その他のIII-V物質を一つ又はそれ以上の層において使用することが可能であり、且つ、幾つかの場合に、少なくとも一つの層をSi及び/又はGe及び/又はGeSiとすることが可能である。そして、幾つかの場合に、一つ又はそれ以上の層を結晶性及び/又は非結晶性とすることが可能である。幾つかの場合に、該P及びNは交換可能である。
垂直PIN及び横方向MSMショットキーコンタクト及び/又はPNコンタクト下において、Si、Ge・オン・Si、GeSi・オン・Si、及びIII-V光検知器に対して、アバランシェ利得を観察することが可能である。該アバランシェ利得は、幾つかの場合に、ブレークダウン電圧の前に観察することが可能であり、かつマイクロストラクチャ孔又は突起光検知器は、ブレークダウン電圧の前に、マイクロストラクチャ孔又は突起の無い同様の光検知器よりも一層高い利得を有することが可能である。マイクロストラクチャ孔又は突起は端部において高い電界を有する場合があり、そのことはブレークダウン電圧より前の利得を発生させることが可能であり、その利得は、マイクロストラクチャ孔又は突起の無い同様の構造で観察される利得よりも一層高いものである場合がある。
O. Wada et al.、「AlInAs/GaInAs傾斜超格子を組み込んだ超高速GaInAs金属-半導体-金属フォトダイオード(Very high GaInAs metal-semiconductor-metal photodiode incorporating an AlInAs/GaInAs graded superlattice)」、アプライド・フィジックス・レターズ、54(1)2、1989年1月(この文献を引用により本書に取り込む)は、1.5ミクロンの吸収層厚さを有する高速III-VMSMフォトダイオードについて議論している。データレートが表面照射型光検知器に対して25Gb/sを超えて増加すると、その吸収層は必然的に、例えば1.5ミクロン以下のように薄くなり、従って光発生されたキャリアのトランジット時間は30ピコ秒未満、及び、幾つかの場合に、20ピコ秒未満となる。このような薄い層の場合、マイクロストラクチャ孔は吸収を向上させることが可能であり、且つマイクロストラクチャ孔の無い同等の光検知器よりもいっそう高いEQEを有することが可能である。
幾つかの場合に、MSM又は垂直ショットキー光検知器を製造するために非晶質Siを使用することが可能である。例えば、S. Ghanbarzadeh、「デジタルイメージング適用例用の低暗電流非晶質シリコン金属-半導体-金属光(Low Dart Current Amorphous Silicon Metal-Semiconductor-Metal Photodetector for Digital Imaging Applications)」、IEEE・エレクトロン・デバイシーズ・レターズ、Vol.35、No.2、2014年2月(この文献を引用により本書に取り込む)を参照するとよい。この様な装置はイメージングのために使用することが可能であり、及び、幾つかの場合に、マイクロストラクチャ孔を付加した場合には、該検知器が感応する波長を拡張させることが可能である。
図63A及び63Bは、幾つかの実施例に基づいて、垂直形態と横方向形態の両方でのGe及び/又はGeSi・オン・Siマイクロストラクチャ光検知器の部分断面図である。図63Aは垂直Ge・オン・Siマイクロストラクチャ孔フォトダイオードを示しており、その場合にGeは選択的区域成長を使用して成長されている。例えば、J. Michelを参照するとよい。Ge中のマイクロストラクチャ孔6312も選択的区域成長によって形成されている。この場合に、2段階の選択的区域成長プロセスが使用される。図63Aの例においては、最初のGe層6305が成長され、次いでGe層6304である。Geの成長が望まれない区域をマスクするために誘電体6310が使用される。誘電体6310は二酸化シリコン、Si窒化物、Al酸化物、及び/又はその他の誘電体物質である。Si及び/又はその他の非晶質半導体などのその他のマスク物質を使用することも可能である。マイクロストラクチャ孔6312は選択的区域成長を使用してGe6304内に形成され、且つN+ドーピングを有するポリSi層6302を使用して該N層を形成することが可能である。該構造はP+Si基板6306上に成長され、及び、幾つかの場合に、SOIウエハ上とすることが可能である。Ge6304及び6305はI又は低ドープである。マイクロストラクチャ孔6312は300nm乃至2500nmの範囲の横方向寸法を有することが可能であり、且つ該マイクロストラクチャ孔の端部間の間隔は100nm乃至1000nmの範囲とすることが可能である。この例において、P+Si6306上の選択的区域成長されたGe又はGeSi6305の最初の層は200nm乃至1000nmの範囲の厚さを有することが可能であり、且つマイクロストラクチャ孔を具備する2番目に選択的区域成長されたGe6304は100nm乃至1000nmの範囲の厚さを有することが可能である。該ポリSiN+層6302は100nm乃至1000nmの範囲の厚さを有することが可能である。カソードを該N+ポリSi層6302上に形成することが可能であり、且つアノードを該P+Si基板又は層6306上に形成することが可能である。光学信号は、表面照射型光検知器に対しては正面(上部)から入射することが可能であり、及び、幾つかの場合に、光学信号は底部照射型光検知器に対しては基板側の背面(底部)から入射することが可能である。このプロセスはCMOS又はBiCMOSエレクトロニクスと互換性があり、従ってCMOS,BiCMOS ASIC(不図示)と集積化させることが可能である。幾つかの場合に、該マイクロストラクチャ孔はウエット及び/又はドライエッチングによって形成することが可能である。該孔は円錐状、台形状、円筒状、及び/又は複数の形状の組み合わせとすることが可能である。図63AのGe/GeSi・オン・SiのPINマイクロストラクチャ孔光検知器に対する波長範囲は、750nm乃至2000nm、及び、幾つかの場合に、800nm乃至1700nm、幾つかの場合に、800nm乃至1350nm、幾つかの場合に、950nm乃至1350nm、幾つかの場合に、1000nm乃至1350nmとすることが可能である。マイクロストラクチャ孔Ge/GeSi光検知器のEQEは、或る波長にたいして、マイクロストラクチャ孔の無い同等のGe/GeSi光検知器よりも一層高いものとすることが可能である。
図63Bは、MSM又は噛合型マイクロストラクチャ孔形態における同様のGe/GeSi光検知器を示している。噛合型電極M1及びM2は、I又は低ドープとすることが可能なポリシリコン6302上にショットキーコンタクトを形成することが可能であり、且つ該選択的区域成長されたGe6304はSi基板又は層6306上のI又は低ドープとすることが可能である。そして、幾つかの場合に、SOIウエハを使用することが可能であり、それはBOX層を包含することが可能である。光は上部表面から入射することが可能であり、且つ、幾つかの場合には、底部表面から入射することが可能である。図示していないものは、反射防止コーティング及び/又は反射防止ナノストラクチャである。更に図示していないものは、CMOS又はBiCMOSプロセスの一部として光検知器を被覆する場合のある何らかの付加的なパッシベーション又は誘電体である。図63Bの該噛合型Ge/GeSiマイクロストラクチャ光検知器もCMOS、BiCMOS ASIC(不図示)と集積化させることが可能である。Liu et al.、「C及びL帯域遠隔通信用のSiプラットフォームの引張り歪型Gep-i-n光検知器(Tensile strained Ge p-i-n photodetectors of Si platform for C and L band telecommunications)」、ジャーナル・オブ・アプライド・フィジックス 98、013501(2005)(この文献を引用により本書に取り込み、以後「Liu et al 2005」として参照する)は、Ge・オン・SiのPINフォトダイオードについて議論している。
例えば、Liu et al 2005はGe上のポリシリコンの使用について議論している。H. Zang et al.、「極めて大きな暗電流の抑圧のために金属-中間層-半導体-金属の構造を使用した非対称的接触型ゲルマニウムフォトダイオード(Asymmetrically contacted germanium photodiode using a metal-interlayer-semiconductor-metal structure for extremely large dark current suppression)」、オプティックス・レターズVol.41、No.16、2016年8月15日(この文献を引用により本書に取り込み、以後「Zang et al. 2016」として参照する)は、Ti酸化物の使用について議論している。M. Miura et al.、「SiGe層によって被覆された高度に一様なMSMゲルマニウム光検知器を具備する差動受信器(Differential receivers with highly-uniform MSM Germanium photodetectors capped by SiGe layer)」、オプティックス・エクスプレス、Vol.21、No.20、2013年10月7日(この文献を引用により本書に取り込む)は、GeとTiNとの間の界面においてのSiGeの使用について議論している。Dushaq et al.、「低温RF-PECVDを使用してシリコン上に成長させた金属-ゲルマニウム-金属光検知器(Metal-germanium-metal photodetector grown on silicon using low temperature RF-PECVD)」、オプティックス・エクスプレス、Vol.25、No.25、2017年12月11日(この文献を引用により本書に取り込み、以後「Dushaq et al.」として参照する)は、例えば、非晶質Siの使用について議論している。更に、P及びN接合もGe光検知器において使用することが可能である。幾つかの場合に、透明導電性金属酸化物、例えばインジウムスズ酸化物、を使用することが可能である。暗電流を減少させるための種々の技術の組み合わせを使用することが可能である。
B.S. Person et al.、「エレクトロニック-フォトニック集積化のための非晶質基板上のゲルマニウム光検知器(Germanium Photodetectors on Amorphous Substrates for Electronic-Photonic Integration)」、IEEE2016 978-1-5090-1903-8/16(この文献を引用により本書に取り込み、以後「Person et al.」として参照する)は、暗電流を減少させるためにAl電極とGeとの間の界面において使用されるAl酸化物について議論している。
T. Nishimura et al.、「超薄い絶縁膜を挿入することにより強くピン止めされた金属/ゲルマニウム界面におけるショットキーバリア高さの顕著なシフト(A significant Shift of Schottky Barrier Heights at Strongly Pinned Metal/Germanium Interface by Inserting an Ultra-Thin Inslating Film)」、アプライド・フィジックス・エクスプレス、Vol.1 2008(この文献を引用により本書に取り込み、以後「Nishimura et al.」として参照する)は、暗電流/リーク電流を減少させるために使用したGe酸化物について議論している。
パッシベーションは示されておらず、且つ、幾つかの場合に、暗電流を減少させるために非晶質Si及び/又はその他の誘電体を使用することが可能である。J. Kang、「プラズマ後酸化によるGeOx-パッシベーションしたゲルマニウム金属-半導体-金属光検知器における暗電流の抑圧(Suppression of dart current in GeOx-passivated germanium metal-semiconductor-metal photodetector by plasma post-oxidation)」、オプティックス・エクスプレス、Vol.23、No. 13、2015年6月29日(この文献を引用により本書に取り込む)は、暗電流又はリーク電流を減少させる場合に効果的である表面パッシベーションについて議論している。
選択的区域成長は多くのリサーチグループによって示されている。光検知器の目的のために結晶性Ge及び/又は多結晶性Geを成長させるその他の方法は、非晶質Geのデポジション即ち付着と、それに続く迅速熱アニール、及び、幾つかの場合に、レーザアニールを使用することによるものである。例えば、Assefa et al. 2010及びAssefa et al. 2012を参照するとよい。更に、該Ge・オン・Si又はGeSi・オン・Si光検知器はCMOS/BiCMOS ASICと集積化させることが可能である。例えば、Y. Urino et al.、「単一シリコン基板上にレーザ、光学スプリッタ、光学変調器及び光検知器と集積化させた12.5-Gbps光学相互接続のデモンストレーション(Demonstration of 12.5-Gbps optical interconnects integrated with lasers, optical splitters, optical modulators and photodetectors on a single silicon substrate)」、オプティックス・エクスプレス、Vol.20、No.26、2012年12月10日(この文献を引用により本書に取り込み、以後「Xiao et al.」として参照する)を参照するとよい。
幾つかの場合に、Ge・オン・Si光検知器を製造するためにウエハボンディングを使用することが可能である。例えば、Chen et al.を参照するとよい。
J. Liu et al.、「C及びL帯域遠隔通信用のSiプラットフォーム上の引張り歪型Gep-i-n光検知器(Tensile strained Ge p-i-n photodetectors on Si platform for C and L band telecommunications)」、アプライド・フィジックス・レターズ、87、01110、2005(この文献を引用により本書に取り込み、以後「Liu et al. 2005-1」として参照する)は、NIP構造を形成するためにGe・オン・Siフォトダイオード上に付着したNポリシリコン、及び低リーク/暗特性について議論している。
図64A及び64Bは、幾つかの実施例に基づく、対称的及び非対称的であるマイクロストラクチャ孔Ge・オン・Si噛合型MSM光検知器の部分断面図である。図64Aは、数例を挙げると、Al酸化物、Ti酸化物、Hf酸化物、Si酸化物等であって酸化物の厚さが1nm乃至10nmの範囲である薄い金属酸化物上の金属電極を示している。図64Aは対称的なMSMを示しており、且つ図64Bは非対称的なMSMを示しており、その場合に電極の内の一つM1は酸化物上にあり且つ他方の電極M2はGe上にある。例えば、Zang et al. 2016、Person et al.、Dushaq et al.、Nishimura、Liu et al. 2005、及びLiu et al. 2005-1を参照するとよい。前述した如く、酸化物以外のその他の物質を使用することが可能であり、例えば、ポリシリコン、非晶質シリコン、GeSi、及び/又はそれらの任意の組み合わせなどがある。幾つかの場合に、該層の内の少なくとも一つは、Ge割合が0より大きく且つ1以下であって1とは全部がGeであるGeSiとすることが可能である。幾つかの場合に、結晶性、多結晶性、又は非晶質の半導体と関連してP及びNドーパントを使用することが可能である。BOX層はオプションとすることが可能である。
図64Aは、連続する金属酸化物半導体コンタクトを示しており、且つ正電圧バイアスか又は負電圧バイアスのいずれかで動作させることが可能である。図64Bは、M1に対する金属酸化物半導体コンタクトを示しており、M2コンタクトはリーク性ショットキーコンタクトとすることが可能であり、且つ、幾つかの場合に、M2はオーミックコンタクトとすることが可能であり、その場合に、該噛合型マイクロストラクチャ孔光検知器の動作は、M1へ印加される逆バイアスで最良の性能を有している。一方、M2と相対的にM1に対して負の電圧を印加させることが可能である。そのバイアス電圧は-1乃至-10ボルトの範囲とすることが可能であり、且つ、幾つかの場合に、-1乃至-3.3ボルトとすることが可能である。図63について説明した如くリーク電流又は暗電流を減少させるための方法を全ての垂直及び横方向光検知器構造へ適用させることが可能であり、その場合に、該層の内の少なくとも一つはGe割合が0乃至1の範囲であるGeSiであり、且つ、幾つかの場合に、Ge割合は0より大きく且つ1以下の範囲である。
図65A-65Cは、幾つかの実施例に基づく、噛合型マイクロストラクチャ孔光検知器の部分平面図であり、その場合に、該孔は該噛合型電極へ接続されている。幾つかの場合に、25Gb/s以上のデータレート達成するために、該噛合型電極の間隔は1ミクロン未満とすることが可能である。例えば、Liu et al. 1994を参照するとよい。
マイクロストラクチャ孔噛合型MSM光検知器の場合、該孔は、或る波長において25Gb/s以上のデータレートを達成するために該噛合型電極の間隔よりも一層大きくさせることが可能である。例えば、1350nmの波長において、該マイクロストラクチャ孔の横方向寸法は1000nm乃至2000nmの範囲とすることが可能であり、且つ該噛合型電極の間の間隔は1.5ミクロン以下、及び、幾つかの場合に、1ミクロン未満とさせることが可能である。該噛合型電極の幅は20nm乃至300nmの範囲とすることが可能である。該マイクロストラクチャ孔が該噛合型電極の間隔よりも一層大きいという状態を解消するために、該マイクロストラクチャ孔自身が該噛合型電極の一部を形成することが可能である。
図65Aは、正方形のマイクロストラクチャ孔6512を示しており、それは、例えば、反転ピラミッドとすることが可能であり、且つ該噛合型電極M1、M2及びM3は該孔に対して対角線上にある。注意すべきことであるが、図65A-65Cにおいて、参照番号「M3」があるが、理解すべきことは、2つの極性の噛合型電極が存在する多くの場合において、M3への参照はM1と関連させることが可能である。幾つかの場合に、噛合型電極は、図示した如くに、該孔を取り囲むことが可能である。マイクロストラクチャ孔の端部同士の間隔は、1ミクロン未満とすることが可能であり、且つ、幾つかの場合に、0.5ミクロン未満、及び、幾つかの場合に、0.3ミクロン以下とすることが可能である。図65Aは、中間点において正方形のマイクロストラクチャ孔6512を接続している噛合型電極M1、M2及びM3を示しており、及び、幾つかの場合に、該マイクロストラクチャ孔を取り囲むことが可能である。図65Bは円形の孔、又は殆ど円形状のマイクロストラクチャ孔6514を示しており、該孔は六角形状格子において断面が円筒状又は台形状とすることが可能であり、その場合に、該噛合型電極M1、M2及びM3は該孔を接続しており、及び、幾つかの場合に、図示した如くに該孔を取り囲むことが可能である。マイクロストラクチャ孔6514の間隔は100nm乃至1000nmの範囲とすることが可能である。正方形であるか又は円形のいずれかの孔に対する孔寸法は300nm乃至2000nm、及び、幾つかの場合に、600nm乃至1700nmの範囲とすることが可能である。
幾つかの場合に、該マイクロストラクチャ孔は周期的なものとすることが可能であり、且つ、幾つかの場合に、非周期的、及び、幾つかの場合に、ランダムとすることが可能である。該マイクロストラクチャ孔の横方向寸法は一様なもの、及び、幾つかの場合に、非一様なものとすることが可能である。該マイクロストラクチャ孔の形状は多角形状、楕円状、円形状、アメーバ状、及び/又は複数の形状及び寸法の任意の組み合わせとすることが可能である。
マイクロストラクチャ孔は、該噛合型電極の一体的な一部とすることが可能であり、その場合に、該孔の表面は金属酸化物半導体(MOS)、金属半導体(ショットキー)、ポリシリコン、pn、pp、nn接合、オーミックコンタクトを形成するためのpドーピングと、nドーピングと、水素とを具備する場合又は具備しない場合の非晶質Si(a-Si)を有することが可能である。幾つかの場合に、GeSiのSAG又はGeを該マイクロストラクチャ孔の表面上に成長させることが可能である。
該Ge、GeSi、Siの上部表面は、数例を挙げると、Al酸化物、Hf酸化物、Ti酸化物等、及び、幾つかの場合に、Si酸化物、の金属酸化物でコーティングすることが可能である。その他の誘電体又はa-Si:Hを幾つかの場合に付着させることが可能である。金属噛合型電極はを該誘電体の上に付着させてリーク電流又は暗電流を減少させることが可能である。
金属、透明導電性金属酸化物、TiN、金属シリサイド、等を単独で又は任意の組み合わせで該孔における接触電極として使用することが可能であり、且つ該接続用電極で隣接するマイクロストラクチャ孔を共に接続してM1、M2及びM3を形成する。これらは接合及び電極の例の幾つかに過ぎず、金属、金属酸化物、金属窒化物、非晶質半導体、多結晶半導体、結晶性半導体のその他の組み合わせを使用して、MOS金属半導体、PN接合、オーミックコンタクト、ドーパント分離を形成することが可能である。
図65D-65Gは、図65A-65Cに示したマイクロストラクチャ孔の例の部分概略断面図である。図65D及び65Eに示した如く、孔6512は、薄い金属、透明導電性金属酸化物、非晶質Si、P又はNドープしたか又はドープしていないポリSi、金属酸化物、及び/又はその任意の組み合わせからなる層6502で完全に又は部分的に被覆させることが可能である。更に、図65F及び65Gに示す如く、孔6512は点線6503で示したようにN及び/PドーパントイオンでドープさせてPP、NN、又はPN接合を形成させることが可能であり、及び、幾つかの場合に、ポリSi及び/又は非晶質Siの付加的な層を該孔内に完全に又は部分的に付着させることが可能であり、及び、幾つかの場合に、金属又は透明導電性金属酸化物を使用することも可能である。噛合型電極M1及びM2は該孔6512を取り囲むことが可能であり、従って該孔は、図65A-65Cに示した如くに電気的に接続させることが可能である。幾つかの場合に、該噛合型電極はショットキーコンタクト、又は金属-酸化物-半導体コンタクト、及び、幾つかの場合に、P又はN接合、及び、幾つかの場合に、その組み合わせを形成することが可能である。Ge割合が0より大きく且つ1以下で変化することが可能なGeSiの場合に、リーク電流又は暗電流の制御は重要であり、且つ一層高いバンドギャップ物質、例えばポリSi、又は非晶質Si、又は金属酸化物を使用することが可能である。
該孔が該噛合型電極の一部を形成している場合には、逆バイアスの印加によって、反対極性の電極へ接続されている隣接する孔の間に電界を発生させることが可能である。幾つかの場合に、-0.5乃至-10ボルト以上の逆バイアスをM1とM2との間に印加させることが可能であり、及び、幾つかの場合に、0乃至-3.3ボルト以上の逆バイアス電圧を印加させることが可能である。対称的及び/又は殆ど対称的なIV特性の場合、該MSM光検知器は順方向バイアスか又は逆方向バイアスのいずれかで動作することが可能である。金属、透明導電性金属酸化物、金属酸化物、ポリSi、非晶質Si、及びその任意の組み合わせの層厚さは、1nm以下乃至100nm以上の範囲とすることが可能である。そして、幾つかの場合に、1nm乃至100nmである。該ドーパントは、1×1017/cm乃至5×1019/cm以上の範囲のドーパント濃度で1乃至100nm以上拡散することが可能である。該ドーパントを活性化させるために熱アニールを使用することが可能である。
図66は、半田バンプ技術を包含することが可能な単一チップ上のCMOS、BiCMOS ASICと集積化されたモノリシックに集積化したカッドSi/GeSi/Ge垂直PIN又は横方向MSMの概略平面図である。該カッド光検知器6650は表面照射型であり、及び、幾つかの場合に、該カッド光検知器は背面側即ち基板側から照射させることが可能である。該光検知器周りの領域内にH、He、O、N、及び/又はその他のイオンのイオン注入を使用するなどにより電気的分離部6660が与えられる。幾つかの場合に、トレンチを電気的分離部6660用に使用することが可能であり、例えば、各光検知器6650周りの溝等である。幾つかの場合に、該光検知器をCMOS、BiCMOS ASIC6632から分離させるためにトレンチ又は注入を使用することが可能である。ダッシュ線で輪郭を示した光及びRFシールド6640は、クロストーク及び/又は干渉を最小化させるために、CMOS、BiCMOS ASIC6632を被覆し且つ該光検知器の伝送線6642を部分的に被覆するために使用することが可能である。ASIC6632は該光検知器6650の内の一つ又はそれ以上と結合した一つ又はそれ以上のアクティブ電子回路を有することが可能であり、それによりその電気的出力を処理し且つ処理した信号を該チップから送信する。個々のアクティブ電子回路は任意の適宜の形態とさせることが可能であり、例えば、リニアアレイ、2次元アレイ、3次元アレイ等の垂直配置とさせることが可能である。
図67は、幾つかの実施例に基づく、図66に示した構造の概略部分断面図である。ハーメチックシール等のバッファ層6710が、モノリシックに集積化されたカッド光検知器及びCMOS、BiCMOS ASICチップの全表面をシールさせることが可能である。該バッファ層6710は、ポリマー及び/又は二酸化シリコン、及び/又は窒化シリコン、及び/又はその他の誘電体とすることが可能である。光学的/RFシールド6640はSi、Ge、金属、金属ワイヤのナノ粒子から構成することが可能であり、その場合に、光はSi及び/又はGeの該ナノ又はマイクロ粒子によって吸収させることが可能であり、且つ該RFフィールドは金属ナノ/マイクロ粒子又はナノ/マイクロワイヤによって吸収させることが可能である。幾つかの場合に、光を吸収するために染料を使用することが可能である。反射を減少させるために、ナノガラス等のマイクロ/ナノストラクチャを該光/RFシールド上に形成させることも可能である。
図68A-68Jは、幾つかの実施例に基づく、SOIウエハ上にGe・オン・Siマイクロストラクチャ孔フォトダイオードを製造する幾つかの処理ステップを例示した断面図である。該基本的なステップの内の幾つかのみを示してあり、パッシベーション、反射防止、表面処理、完全なCMOS/BiCMOSプロセスにおいて使用することが可能な付加的な誘電体層等のステップは包含されていない。更に図示していないものは、該光検知器と同一のチップ上に製造されるCMOS/BiCMOS ASICである。幾つかの場合に、該光検知器の製造ステップはCMOS/BiCMOS ASICのものの処理ステップと織り交ぜることが可能である。更に図示していないものは、電気的分離トレンチ又は電気的イオン注入又は光シールド及び/又はチップ全体の及び/又は、幾つかの場合に、チップの一部のハーメチックシール等である。更に、該チップをプリント回路基板へ取り付けるための半田バンプ技術が図示されておらず、及び、該光検知器とCMOS/BiCMOS ASICとのモノリシック集積化を完成するためのその他の技術及び該プリント回路基板への取り付けは図示されていない。光学信号は正面(上部)表面から入射することが可能であり、且つ、幾つかの場合に、フリップチップ技術を使用してその背面(底部)表面上に入射することが可能である。幾つかの場合に、該処理ステップの内の幾つかはラインのフロントエンド(FEOL)である場合があり、且つ、幾つかの場合に、該処理ステップの幾つかは中間ステップである場合があり、及び、幾つかの場合に、該処理ステップの内の幾つかはCMOS/BiCMOS ASIC用のラインのバックエンド(BEOL)プロセスである場合がある。
図68Aは、基本的な開始物質、この場合には、Si上のBOX上のP型装置層を示している。該装置層P型(又はN型)は1乃至40Ω・cm以上の範囲の固有抵抗を有することが可能であり、且つ該装置層は0.2-2μm以上、及び、幾つかの場合に、約0.3-1μmの範囲の厚さを有することが可能である。
図68Bは、該装置層内へのボロン注入を示しており、それは0.1Ω・cm以下、及び、幾つかの場合に、0.01Ω・cm以下の固有抵抗を達成するための単一又は複数のエネルギ/ドーズとすることが可能である。幾つかの場合に、該ボロンのイオン注入は選択的区域注入とすることが可能である。該イオン注入の深さは50nm-300nm以上の範囲とすることが可能である。
図68Cは、Ge、及び、幾つかの場合に、GeSi、及び、幾つかの場合に、Ge及びGeSi層の組み合わせ、の選択的区域成長のための誘電体層の付着を示している。該誘電体は熱的に成長させたSi酸化物とすることが可能であり、及び、幾つかの場合に、Al酸化物等のその他の酸化物、及び/又はSi窒化物とすることが可能である。該酸化物はGe/GeSiが所望される区域においてのみパターン形成され、及び、更に、該マイクロストラクチャ孔は任意の形状とすることが可能な酸化物島状部の付着によって形成することが可能であり、及び、幾つかの場合に、円形状又は楕円状、及び、幾つかの場合に、正方形又は多角形、又は複数の形状の任意の組み合わせとすることが可能である。該酸化物の厚さは、例えば1nm等の、数nm乃至1000nm以上、及び、幾つかの場合に、100nm-300nmの範囲とすることが可能である。Ge/GeSi光検知器の場合、Ge/GeSiウィンドウの直径は、円形状の幾何学形状に対して、5μm乃至100μm以上、及び、幾つかの場合に、10μm乃至80μm、及び、幾つかの場合に、10μm乃至1000μm以上の範囲とすることが可能であり、且つ、幾つかの場合に、Ge/GeSiの感光性ウィンドウは正方形、矩形、多角形でその横方向寸法を5μm乃至1000μm以上の範囲とすることが可能である。該Ge/GeSiにおけるマイクロストラクチャ孔を形成する誘電体島状部6810は、300nm乃至2500nm、及び、幾つかの場合に、500nm乃至2000nm、及び、幾つかの場合に、1000nm乃至3000nmの範囲の横方向寸法を有することが可能である。該誘電体島状部間の間隔は、100nm乃至1000nm、及び、幾つかの場合に、300nm乃至1500nm、及び、幾つかの場合に、200nm乃至1000nm、及び、幾つかの場合に、3000nmを超える範囲とすることが可能である。
図68Dは、該誘電体によって被覆されていない区域におけるGe/GeSi選択的区域成長を示している。該Ge/GeSiは、100nm乃至2000nm以上、及び、幾つかの場合に、300nm乃至1000nm、及び、幾つかの場合に、100nm乃至1000nmの範囲の厚さを有することが可能である。該Ge/GeSiは何らの意図的なドーピング無しで成長され且つ真性及び/又は低ドープP又はN型とすることが可能である。図示していないものは、低温バッファとそれに続く一層高い温度での成長を包含すること、及び、幾つかの場合に、該Ge/GeSiは450℃以下等の低温で全体的に成長させることが可能であること、及び、幾つかの場合に、該Ge/GeSiは結晶性及び/又は多結晶性及び/又は非晶質とすることが可能であること、及び、幾つかの場合に、高温アニールを使用することが可能でありそれは迅速熱アニール又はレーザーアニーリングを包含することが可能であること、などのGe/GeSiを成長させるための種々の技術である。幾つかの場合に、Ge/GeSiエピタキシャル層の成長前に非晶性Si又はGeを付着させることが可能である。更に図示していないことは、該誘電体層上でのGe/GeSiのエピタキシャル横方向過剰成長である。
図68Eは、0.1Ω・cm以下、及び、幾つかの場合に、0.01Ω・cm以下の固有抵抗を達成するために単一又は複数のエネルギ/ドーズでのGe/GeSi層の領域6802内への燐又は砒素の選択的区域イオン注入を示している。注入深さは10nm乃至300nm、及び、幾つかの場合に、10nm乃至500nmの範囲とすることが可能である。幾つかの場合に、該N層又は領域を形成するために、イオン注入を使用する代わりにN+ポリシリコンを該Ge/GeSi上に付着させることが可能である。
図68Fにおいて、イオン注入した領域6802を活性化させるため、及び、幾つかの場合に、例えば非晶質から多結晶又は結晶性への該Ge/GeSiの結晶品質を改善させるために実施される迅速熱アニールプロセスを示している。
図68Gは、該N領域及び該P領域へのオーミックコンタクトの形成を示している。該Pオーミックコンタクトは、ボロンで注入することが可能な該Si装置層上とすることが可能である。該Nコンタクトは該Ge/GeSiN注入領域上とすることが可能であり、及び、幾つかの場合に、該Nコンタクトは該Ge/GeSi層上のN+ポリシリコン層上とすることが可能である。これらのコンタクトはオーミックコンタクトを形成し、且つ、数例を挙げると、Al、Ni、Ti、Pt、Cu、NiGe、Cr、非晶質Ge、及びその任意の組み合わせ等の金属を包含することが可能である。幾つかの場合に、オーミックコンタクトを形成するために熱アニーリングプロセスを使用することが可能である。
図68Hは、メサエッチ、及び、幾つかの場合に、トレンチエッチ、例えば該BOX層に対する溝トレンチエッチを示しており、及び、幾つかの場合に、該P装置層上に誘電体層が形成される場合にはメサエッチを省略することが可能である。メサエッチが使用されない場合には、後述する図69Gと同様のプロセスを溝トレンチ分離を共に又は無しで使用することが可能である。
図68Iは、該Ge/GeSi層及び該P装置層を部分的に被覆している分離誘電体保護層6860を示している。幾つかの場合に、メサエッチ無しで、この誘電体分離層は、該カソード及び該アノードの両方上で該Ge/GeSi及び該P装置層を部分的に被覆することが可能である。
図68Jは、該光検知器のカソード及びアノードを夫々該CMOS/BiCMOS ASIC(不図示)へ接続する伝送線電極6842及び6844の形成を示している。該伝送線電極金属は、Al、Cu、W、Mo、Ni、Ti、Au、Pt、Sn、又は複数の金属及びシリサイド及び金属シリサイドの任意の組み合わせとすることが可能である。注意すべきことであるが、該N及びPは交換可能である。
図69A乃至69Hは、CMOS/BiCMOS ASICとモノリシックに集積化させることが可能なPSi基板上にGe/GeSi・オン・Siマイクロストラクチャ孔PINフォトダイオードを製造する幾つかの基本的なステップを示している断面図である。該処理ステップは図68A乃至68Jに例示したものと同様であるが、SOIウエハの使用及びメサエッチが省略されている。
図69Aは、0.1Ω・cm以下乃至1Ω・cm以上の範囲の固有抵抗を有するPSiウエハ(又はNSiウエハ)を示している。高固有抵抗P基板の場合、選択的区域Pイオン注入を使用して、100nm乃至500nm範囲の厚さにわたって0.1Ω・cm以下へ固有抵抗を減少させることが可能である。該イオン注入した領域を活性化させるために迅速熱アニールを使用することが可能である。
図69Bは、図68Cについて説明したようにGe/GeSiの選択的区域成長のための誘電体島状部6910を包含している該Siの表面上の誘電体の形成を示している。
図69Cは、図68Dについて説明したようにGe/GeSiの成長を示している。
図69Dは、図68Eについて説明した如く、イオン注入領域6902における該Ge/GeSi層内への単一又は複数のエネルギ/ドーズでの燐又は砒素イオンのイオン注入を示している。幾つかの場合に、N+ポリシリコンを該Ge/GeSi層上に成長又は付着させてN領域又は層を形成させることが可能である。
図69Eにおいて、イオン注入領域を活性化させるため、及び/又は該Ge/GeSDiの結晶品質を改善させるために実施される迅速熱アニールを示している。
図69Fは、図68Gにおける如く、該光検知器上のアノード及びカソードの形成を示している。
図69Gは、該Ge/GeSiの端部及び該P基板の表面を保護するための誘電体層6960の付着を示している。更に、該カソードへ接続している該伝送電極が該アノード又は該Ge/GeSi真性又は低ドープ層を短絡させることが無いように、該誘電体6960は部分的に該アノードを保護する。該P層の表面上の該誘電体層6960は伝送電極の容量も減少させる。該誘電体層の厚さは、100nm乃至3000nm以上の範囲とすることが可能である。該誘電体層はSi酸化物、Si窒化物、スピン・オン・ガラス、ポリイミド、又はその他のポリマーとすることが可能である。幾つかの場合に、それはそれらの組み合わせとすることが可能である。このステップは、図68Hに示したメサエッチステップを置換させることが可能である。幾つかの場合に、電気的分離のために溝トレンチを使用することが可能であり、且つこのステップは該基板又は該装置層のP(又はN)半導体表面を保護するために使用することが可能である。
図69Hは、該光検知器のカソード及びアノードを夫々該CMOS/BiCMOS ASIC(不図示)へ接続させる電極伝送線6942及び6940の形成を示している。該伝送電極は、金属、又は金属又はシリサイド又はAl、Ni、Cr、W、Mo、Ti、Cu、Pt、Au、及び/又はVの金属シリサイドの組み合わせから構成することが可能である。
図70A乃至70Hは、幾つかの実施例に基づく、Ge/GeSi吸収層を具備する垂直マイクロストラクチャ孔PINフォトダイオードにおけるある変形例を例示した断面図である。図示した変形例の任意の組み合わせを、単一チップ上にCMOSBiCMOS ASICとモノリシックに集積化Ge/GeSi・オン・Siマイクロストラクチャ孔PINフォトダイオード製造において使用することが可能である。マイクロストラクチャ孔Ge/GeSiフォトダイオードのアレイを、単一シリコンチップ上にCMOSBiCMOSエレクトロニクスと共に製造させることが可能である。
図70Aは、PSi基板上及び/又はSOI基板上のP装置層上の選択的区域成長させたGe/GeSiを示しており、その場合に該マイクロストラクチャ孔7012は誘電体島状部7010周りに選択的区域成長によって形成されている。N+ポリSi層7002が該選択的区域成長されたGe/GeSiの表面上に付着されて該Nコンタクトを提供している。該NP+ポリSi上にオーミックコンタクトを形成することが可能であって、それはカソードとすることが可能であり、且つオーミックコンタクトを該PSi上に形成することが可能であって、それはアノードとすることが可能である。逆バイアスを該カソードとアノードとの間に印加させる。該Ge/GeSiは意図的にドープされることはなく且つ真性及び/又は低ドープとすることが可能である。全ての場合において、該N及び該Pは交換可能である。
図70Bは、エッチングしたマイクロストラクチャ孔7012を具備するPSi上に選択的区域成長されたGe/GeSiを示しており、該N+ポリSi7002は該選択的区域成長されたGe/GeSiの表面上及び該マイクロストラクチャエッチングした孔7012の側壁及び底部上の両方の上にある。
図70Cは、エッチングしたマイクロストラクチャ孔7012を具備する選択的区域成長されたGe/GeSi7004を示しており、その場合に、Ge/GeSi7003の付加的な再成長が該選択的区域成長されたGe/GeSi7004上及びマイクロストラクチャ孔7012内側に成長されている。N+ポリSi層7002が該再成長されたGe/GeSi7003上に形成されており且つ該マイクロストラクチャ孔内に延在して該側壁及び該底部を被覆している。該N+ポリSi上にカソードメタリゼーションを形成することが可能であり、且つアノードメタリゼーションを該PSi上に形成することが可能である。
図70Dは、該PSi内にエッチングしたピラミッド等のマイクロストラクチャ突起7010を示している。該突起7010は、100nm乃至2500nmの範囲の横方向寸法を有することが可能であり且つ50nm乃至2000nm、及び、幾つかの場合に、300nm乃至1000nmの範囲の高さを有することが可能である。Ge/GeSi7004は該突起の上に選択的区域成長を使用して成長されている。N+ポリSi7004は該選択的区域成長されたGe/GeSiの表面上に付着させることが可能である。カソードメタリゼーションを該N+メタリゼーション上に形成することが可能であり、且つ該アノードメタリゼーションをPSi上に形成することが可能である。光が正面(上部)表面(N+ポリSi)から入射することが可能であり、又は、幾つかの場合に、該Si基板の底部表面から入射することが可能である。
図70Eは、該Si突起7010上に成長させたGe/GeSi及び突起7010が存在する区域において孔に類似する窪み等の表面凹凸7012を示している。N+ポリSi7002は、選択的区域技術を使用して成長されているGe/GeSi7004の表面上に形成させることが可能である。カソード及びアノードが、夫々、該N+ポリSi7002及び該PSi7006上に形成されている。幾つかの場合に、該Ge/GeSi7004は選択的区域成長を使用せずに成長させることが可能であり、且つメサをエッチングすることが可能である。
図70Fは、Si突起7010上の選択的区域成長されたGe/GeSi7004を示しており、且つ、更に、マイクロストラクチャ孔7012が該Ge/GeSi内にエッチングされており且つN+ポリSi7002を該表面上に形成させることが可能であり、及び、幾つかの場合に、該孔7012内に形成させることが可能である。カソード及びアノードメタリゼーションは該N+及びPSi表面上に形成されている。
図70Gは、マイクロストラクチャ孔7012をエッチングし次いで該表面上及びGe/GeSi7004のマイクロストラクチャ孔7012内のGe/GeSi7003の再成長を具備する選択的区域成長されたGe/GeSi7004を示している。Ge/GeSiの選択的区域成長の前に該PSi7006内にボロンの選択的区域イオン注入7008を注入させることが可能である。該注入した領域を活性化させるために熱アニールを使用することが可能である。該注入されたP型領域7008は0.1Ω・cm以下の固有抵抗を有することが可能である。該N+ポリSi上にカソードを形成し、且つ該P又はP+Si上にアノードを形成する。
図70Hは、該PSi7006の上にP+ポリSi層7030が付加されていることを除いて図70Gと同様であり、該P+ポリSi7030上にはGe/GeSi7004が選択的区域成長されており、マイクロストラクチャ孔7012がエッチングされ次いでGe/GeSi7003が再成長され且つN+ポリSi7002で被覆されている。該N+ポリSi上にカソードが形成され、且つ該P+ポリSi上にアノードが形成されている。該N+ポリSiは100nm乃至1000nm以上の厚さ範囲を有することが可能であり且つ0.1Ω・cm以下、及び、幾つかの場合に、0.01Ω・cm以下の固有抵抗を有することが可能である。該P+ポリSiは、50nm乃至2000nm以上の範囲の厚さを有することが可能であり且つ0.1Ω・cm以下、及び、幾つかの場合に、0.01Ω・cm以下の固有抵抗を有することが可能である。幾つかの場合に、該PポリSiはPポリGe及び/又はPポリGeSiとすることが可能である。該PポリGe/GeSiは10nm乃至1000nm以上の厚さ範囲を有することが可能であり且つ0.1Ω・cm以下、及び、幾つかの場合に、0.01Ω・cm以下の固有抵抗を有することが可能である。
全ての場合に、該P及びN型は交換可能である。そして、幾つかの場合に、各構造内にSi/Ge/GeSiの複数の層が存在することが可能であり、それは結晶性、多結晶性、及び/又は非晶質とすることが可能である。そして、更に、Si/Ge/GeSi層及び/又は領域の任意の組み合わせを使用することが可能である。
図71A乃至71Fは、CMOS/BiCMOS ASICとモノリシックに集積化させることが可能なGe/GeSi金属半導体金属(MSM)横方向フォトダイオードを製造するための幾つかの基本的なステップを示している断面図である。
図71Aは、PSiの開始物質を示しており、それは1-40Ω・cm以上の範囲の固有抵抗を有しているSOI基板上のPSi装置層又は基板とすることが可能である。
図70Bは、熱酸化を使用するか又は原子層付着等の付着によって形成させることが可能な二酸化シリコン等の酸化物の付着を示している。Si窒化物、Al酸化物、ハフニウム酸化物、及び/又はタンタル酸化物などのその他の誘電体も使用することが可能である。これらの誘電体層は、Si上のGe/GeSiの選択的区域成長のために該PSi7106上に形成される。該Ge/GeSiは該誘電体によって被覆されていない区域において成長する。誘電体島状部7110によってマイクロストラクチャ孔を形成することが可能である。円形状のフォトダイオードの場合、該孔が形成される区域の直径は5μm乃至100μm以上、及び、幾つかの場合に、10μm乃至1000μm以上の範囲とすることが可能である。該誘電体島状部は、100nm乃至3000nm、及び、幾つかの場合に、500nm乃至2500nmの範囲の寸法を有することが可能である。該誘電体島状部7110は、100nm乃至1000nm以上の範囲の間隔を有することが可能であり、且つ該誘電体の高さは10nm乃至1000nm以上の範囲とすることが可能である。
図71Cは、該誘電体によって被覆されていない領域におけるGe/GeSi7104の選択的区域成長を示している。幾つかの場合に、該Ge/GeSiはエピタキシャル過剰成長を示す場合があり、それはマイクロストラクチャ孔7112の寸法を狭める場合がある。該Ge/GeSi選択的区域成長7004の厚さは0.2μm乃至2μm以上、及び、幾つかの場合に、0.3μm乃至1μmの範囲とすることが可能である。
図71Dは、選択的区域成長されたGe/GeSi7104の表面を被覆する原子層付着を使用して形成したAl酸化物又はHf酸化物又はTi酸化物又はTa酸化物7102を示している。金属酸化物7102は0.5nm乃至10nm以上、及び、幾つかの場合に、1nm乃至5nmの範囲の厚さを有することが可能であり、幾つかの場合に、Si酸化物を使用することも可能である。
図71Eは、例えば、MOS接合(金属-酸化物-半導体)を形成するために金属酸化物又はSi酸化物上に噛合型電極M1及びM2を形成することを示している。この接合は、該Ge/GeSiMSMフォトダイオードのリーク電流/暗電流を減少させることが可能である。幾つかの場合に、該M1及びM2接合は前の図について説明した如くに非対称的とすることが可能である。M1及びM2は、数例を挙げると、Al、W、Mo、Ti、Ta、V、Pt、Cu、Ni、Cr等から構成することが可能である。
図71Fは、M1及びM2の夫々への伝送電極7140及び7142を形成することを示しており、それはポリイミド分離層を包含することが可能であり、且つ、幾つかの場合に、該電気的分離層7160はSi窒化物、Si炭化物、Si酸化物、又はその他の誘電体等の誘電体とすることが可能である。幾つかの場合に、該MSM光検知器がSOI基板上に形成される場合には、該PSi装置層は該BOX層へエッチングさせることが可能であり、且つ該電極は電気的分離層を具備する該BOX層上に形成される。
図示されていないその他の層は反射防止層であり、表面反射を減少させるためのナノガラス等の誘電体ナノストラクチャは図示していない。更に図示していないものは、光遮断層、その他のパッシベーション層、及び、幾つかの場合に、CMOS/BiCMOSプロセスにおいて、厚い酸化物層を該MSM光検知器の上部表面上に形成させる場合がある。幾つかの場合に、Si/Ge/GeSiの複数の層を該MSMマイクロストラクチャ孔光検知器において使用することが可能である。
図72A-72Cは、幾つかの実施例に基づく、Ge/GeSiマイクロストラクチャ孔及び/又は突起MSMにおける幾つかの変形例を例示する断面図及び平面図である。これらの変形例の任意の組み合わせを、単一チップ上にCMOS/BiCMOS ASICとのモノリシック集積化のためにGe/GeSiマイクロストラクチャ孔/突起を製造するために使用することが可能である。
図72Aは、例えばSiピラミッド等のSi突起7210上に選択的区域成長させたGe/GeSi7204を示している。Ge/GeSiは、該Si突起上のGe/GeSiの成長に起因したマイクロストラクチャ孔に類似する凹所又はディップをその表面上に表すことが可能である。Al酸化物又はTi酸化物又はHf酸化物、及び、幾つかの場合に、ポリSi又は非晶質Si等の金属酸化物層7202を、暗電流/リーク電流を減少させるために使用することが可能である。該エキストラな層が該Ge/GeSiの表面上に付着される。該表面において凹所又はディップを有することのない区域において、及び、幾つかの場合に、該Si突起の間の区域において、M1及びM2噛合型電極を形成することが可能である。該Siピラミッド即ち突起7210は50nm乃至1000nm以上、及び、幾つかの場合に、500nm乃至2500nmの横方向寸法を有することが可能であり、該突起の間隔は100nm乃至1000nm以上、及び、幾つかの場合に、300nm乃至1500nmの範囲を有することが可能である。該Si突起の高さは50nm乃至1000nm以上、及び、幾つかの場合に、100nm乃至1000nmの範囲を有することが可能である。該突起はウエットエッチング又はドライエッチングさせることが可能であり、且つピラミッド、台形、三角形、多角形、円筒、又は楕円、又は複数の形状の任意の組み合わせの範囲の任意の形状を有することが可能であり、且つ周期的又は非周期的及び/又はランダム態様で配置させることが可能である。該Geの厚さは300nm乃至2000nm以上、及び、幾つかの場合に、300nm乃至1000nmの範囲とすることが可能である。
図72Bは、反転ピラミッド7210、及び、幾つかの場合に、反転台形、及び/又はその他の形状を具備しておりSi上に選択的区域成長されたGe/GeSi7204を示している。金属酸化物層7202、例えば、数例を挙げると、Al酸化物、Hf酸化物、Ta酸化物、及び、幾つかの場合に、Si酸化物、及び、幾つかの場合に、Si及び/又は非晶質Si、暗電流/リーク電流を減少させるために、該Ge/GeSi表面上に付着させることが可能である。M1及びM2電極は該金属酸化物の表面上に形成され、且つ該M1及びM2電極は該Ge/GeSi層が一層厚い領域上に形成される。
図72Cは、PSi7206上に選択的区域成長されたGe/GeSi7204を示しており、それはエッチングされたマイクロストラクチャ孔7214を具備しており、それに続いて該Ge/GeSi7204の表面上及び該マイクロストラクチャ孔7214内にGe/GeSi7203が再成長されている。金属酸化物層7202、ポリSi、非晶質Si,二酸化シリコンを、暗電流/リーク電流を減少させるために、該Ge/GeSiの表面上に形成させることが可能である。噛合型M1及びM2電極は該金属酸化物/ポリSi/非晶質Si層上に形成される。
図72Dは、エッチング形成されたマイクロストラクチャ孔7214の表面上に再成長させたGe/GeSi7203を具備するPSi7206上に選択的区域成長されたGe/GeSi7204を示している。P+ポリSi/非晶質Si7220が該Ge/GeSi7203上に付着され、次いで該M1電極を形成し、且つN+ポリSi/Siが該Ge/GeSi表面上に付着され、次いで該M2電極を形成する。このことは該MSMフォトダイオードに対するPIN構造を形成する。該Ge/GeSi層は意図的にはドープされず且つ真性、及び、幾つかの場合に、低ドープとすることが可能である。該PSi層は1乃至40Ω・cm以上の範囲の固有抵抗を有することが可能であり、且つ、幾つかの場合に、該PSi層の固有抵抗は該Ge/GeSi層の固有抵抗とほぼ等しいか又は一層大きい。M1及びM2は非対称的とすることが可能である。逆バイアスがM1とM2との間に印加され、その場合、M1がアノードであり且つM2がカソードである。
図72Eは、Si島状部7230をを具備しているPSi7206において成長されたGe/GeSi7224を示している。Si島状部7230は正方形パターンを形成することが可能であり、且つ、幾つかの場合に、正方形又は台形の形状とすることが可能であり、且つ正方形格子状、及び、幾つかの場合に、六角形格子状とすることが可能であり、且つ、幾つかの場合に、周期的、非周期的又はランダムな間隔とさせることが可能である。該Si島状部7230は、100nm乃至3000nm以上、及び、幾つかの場合に、300nm乃至2000nmの範囲の横方向寸法を有することが可能である。該Si島状部の高さは、50nm乃至2000nm以上、幾つかの場合に、300nm乃至1000nm、幾つかの場合に、500nm乃至2000nmの範囲とすることが可能である。該Si島状部7230は、100nm乃至1000nm以上、及び、幾つかの場合に、300nm乃至2500nmの範囲で離隔させることが可能である。Ge/GeSi7224は、該Si島状部の間の領域内に選択的区域成長される。金属酸化物/ポリSi/非晶質Si/Si二酸化物7222を、暗電流/リーク電流を減少させるために、該Ge/GeSi表面上に形成させることが可能である。M1及びM2噛合型電極をGeを具備する領域上に形成することが可能である。
図72Fは、次の点を除いて図72Eと類似している。即ち、Ge/GeSi7232はSi島状部7230の間の空間内及びSi島状部の上に図示した如くに選択的区域成長されており、次いで金属酸化物/ポリSi/非晶質Si/Si二酸化物7222がGe7232の表面上に付着され、該噛合型電極M1及びM2が該表面上に形成されている。
図72Gは、該Si島状部の間の区域内(図72Eにおける如く)及び、幾つかの場合に、該Si島状部の上方(図72FにおけるGe/GeSi7232における如く)にGe/GeSi7224を具備している該Si内にエッチング形成させたSi島状部7230の平面図である。M1及びM2電極が該Si島状部の間に図示されている。
注意すべきことであるが、幾つかの場合に、該マイクロストラクチャ孔7214は誘電体等の物質で充填させることが可能である。該孔を充填することは電極レイアウト及び間隔設定において一層大きな自由度を与えることとなる。何故ならば、該電極は一つ又はそれ以上の孔を部分的に又は完全に重畳することが可能であるからである。該誘電体物質は周囲の物質に対して実質的な屈折率の対比を有することが可能であるので、該マイクロストラクチャ孔のフォトントラッピング利益を維持することが可能である。
図73Aは、PINマイクロストラクチャ埋設型誘電体島状部フォトダイオードを示している。この例においては、N+Si層又は領域上に、Ge/GeSiの成長が望まれない区域内において誘電体7310が該N+Si層上に形成されるか又は付着される。該誘電体7310は、数例を挙げると、Si二酸化物、Si窒化物、Al酸化物とすることが可能である。真性及び/又は低ドープGe/GeSiが選択的区域成長され、且つ、更に、ELOGで誘電体島状部を埋設させることが可能である。該Ge/GeSi7304は200nm乃至2000nmの範囲の厚さを有することが可能である。該誘電体層7310は50nm乃至1000nm以上の範囲の厚さを有することが可能である。該埋設型誘電体島状部7310は、Geよりも一層低い屈折率を有しており、従って該埋設型誘電体及びその上に過剰成長されたGeを有する領域は該誘電体島状部を包含することのない該Ge/GeSi領域よりも一層低い実効屈折率を有しているという意味において「孔」としてみることが可能である。これらの埋設型誘電体島状部は、マイクロストラクチャ孔がフォトントラッピングに起因する吸収を向上させるのと同様の態様で、フォトントラッピングに起因する吸収を向上させることが可能である。該PIN構造は、PポリSiを該Ge/GeSi上に付着させて完成する。アノードが該P+ポリSi上に形成され、且つカソードが該N+Si上に形成される。フォトンは上部表面か又は底部表面のいずれかから入射することが可能である。逆バイアスが該アノードとカソードとの間に印加される。該N+Siは結晶性とすることが可能であり、及び、幾つかの場合に、多結晶性、及び、幾つかの場合に、非晶質とさせることが可能である。幾つかの場合に、該N+層は多結晶Ge及び/又は非晶質Ge/GeSiとすることが可能である。(尚、「/」は「及び/又は」のことを意味している。)幾つかの場合に、該N+Siの下側にBOX層が存在する場合がある。該P及びNは交換可能である。
図73Bは、埋込型誘電体島状部を形成するためにELOGプロセスを付加した選択的区域成長させた真性又は低ドープGe/GeSi・オン・Siを示している。該構造は、この例においてはP及びNドーピングは使用されないことを除いて、図73Aと同様とすることが可能である。厚さが0.5nm乃至10nm、及び、幾つかの場合に、1nmの範囲であるAl酸化物又はHf酸化物又はSi二酸化物又はTi酸化物等の薄い金属坂物層を該Ge/GeSi表面上に付着させ、且つ該表面上に噛合型電極M1及びM2を形成する。該埋込型誘電体島状部はフォトンによってみられる場合には実効的には孔である。
該誘電体島状部7310は300nm乃至2000nm、及び、幾つかの場合に、100nm乃至1500nmの範囲の横方向寸法を有することが可能である。該誘電体島状部の間の間隔は50nm乃至2000nm、及び、幾つかの場合に、100nm乃至1000nmの範囲とすることが可能である。該誘電体島状部7310は周期的、非周期的、及び/又はランダムな配置とさせることが可能である。該誘電体島状部は矩形状、多角形状、三角形状、円筒状、長円状、及び/又は複数の形状の任意の組み合わせとすることが可能である。該Ge/GeSiは、I又は低ドープ結晶Si、多結晶Si、多結晶Ge、非晶質Si、及び/又は非晶質Ge上に選択的区域成長させることが可能である。幾つかの場合に、BOX層を包含させることが可能である。光は上部表面から又は底部表面から入射させることが可能である。
図74A-74C、75A、75B、76A、76B、77A、77B、78A、78B、79A、79B、80A、及び80Bは、幾つかの実施例に基づく、MSM光検知器用の噛合型電極の種々の形態を例示した概略図である。図74Aにおいて、電極M1及びM2は伝送線7440及び7442へ夫々接続されている。こららの形態の内の幾つか、例えば図75A-B、76A-B、77A-B、79A-B、及び80A-Bは、入射する光学信号の分極即ち偏光に対する感度を減少させてしまっている場合がある。
図81は、幾つかの実施例に基づく、噛合型電極を有するMSM構造の斜視図である。図示した構造は図74A-74Cに示したものと同様であり、その場合に、その感光性区域8100は噛合型電極M1及びM2を有しており、それらは伝送線7440及び7442へ夫々接続されている。注意すべきことであるが、図示していないが、図74A-74C、75A、75B、76A、76B、77A、77B、78A、78B、79A、79B、80A、80B、及び81に図示した構造の全ては、CMOS/BiCMOS ASICとモノリシックに集積化させることが可能であり、且つ複数の光検知器からなる1次元又は2次元のアレイを有することが可能である。
図82Aは、表面照射型、及び、幾つかの場合に、底部照射型のNIP垂直構造を示しており、その場合に、該GeはPSi装置層及び/又は基板上に選択的区域成長させることが可能である。該I又はGe/GeSiは0.3ミクロン乃至2ミクロン以上、及び、幾つかの場合に、0.5ミクロン乃至1ミクロンの範囲の厚さを有することが可能である。ポリSiN+層を該I又は低ドープGe/GeSiの表面上に形成させることが可能であり、及び、幾つかの場合に、図示した如くに該孔を完全に被覆することが可能である。該N+ポリSiは0.1ミクロン乃至2ミクロン以上の範囲の厚さを有することが可能である。該I又は低ドープGe/GeSiにおける該マイクロストラクチャ孔8212は、0.1ミクロン乃至1ミクロン、及び、幾つかの場合に、0.3ミクロン乃至0.7ミクロンの範囲の深さを有することが可能である。幾つかの場合に、該マイクロストラクチャ孔8212は該Ge/GeSi内に部分的にエッチングさせることが可能であり、及び、幾つかの場合に、該PSiへエッチングさせることが可能である。該マイクロストラクチャ孔8212の横方向寸法は、300nm乃至2000nmの範囲とすることが可能であり、且つ該マイクロストラクチャ孔の間の間隔は50nm乃至2000nmの範囲とすることが可能である。カソードは該N+ポリSi上に形成され且つアノードは該P又はP+Si上に形成される。図示されていないものは、ポリ及び/又は非晶質Si、及び、幾つかの場合に、ポリ及び/又は非晶質Geとすることが可能な該I又は低ドープGe/GeSiの該メサの側壁上に付着させることが可能なパッシベーション層である。
図82Bは、その層の全てがI又は低ドープであることを除いて図82Aに対する構造と同様である。更に、該ポリSiも該I又は低ドープGe/GeSiメサの側壁上に付着させることが可能である。この構造は、幾つかの場合にポリSiで部分的に又は完全に埋設させることが可能な該マイクロストラクチャ孔8212の間に形成される噛合型電極M1及びM2を具備するMSM構造である。光学信号は該上部表面上に入射させることが可能であり、及び、幾つかの場合に、その底部表面上に入射させることが可能である。
真性又は低ドープは1×1016/cm以下、及び、幾つかの場合に、1015/cm以下、及び、幾つかの場合に、1014/cm以下のドーピングを有することが可能である。反射を減少させるための反射防止及び/又はナノガラス物質は図示されていない。
図83A及び83Bは、該N+ポリSi層内にマイクロストラクチャ孔を形成する側面を例示している断面図である。図83Aは、上部表面、及び、幾つかの場合に、底部表面照射型マイクロストラクチャ孔NIP垂直構造フォトダイオードを示している。該I又は低ドープGe/GeSiはP又はP+Si装置層又は基板上に選択的区域成長させることが可能であり、その場合に、該BOX層はオプションである。該I又は低ドープGe/GeSiは0.1乃至2ミクロン以上、及び、幾つかの場合に、0.5乃至1ミクロンの厚さ範囲を有することが可能である。マイクロストラクチャ孔8312は、0.1乃至1ミクロン以上、及び、幾つかの場合に、0.3-0.7ミクロンの範囲の深さで該N+ポリSi内にエッチングされる。該マイクロストラクチャ孔の横方向寸法は、0.3-2ミクロン以上、及び、幾つかの場合に、0.6-1.8ミクロンの範囲を有することが可能であり、且つ該孔の間の間隔は、0.1ミクロン-1ミクロンの範囲とすることが可能である。該マイクロストラクチャ孔の断面は、反転ピラミッド、反転台形、円筒状、又は任意の断面及び組み合わせとすることが可能である。該マイクロストラクチャ孔の形状は、正方形、多角形、円形、卵型、アメーバ状、及び/又は複数の形状のその他の任意の組み合わせとすることが可能である。該マイクロストラクチャ孔は周期的、非周期的、及び/又はランダムに配置させることが可能である。カソードは該N+ポリSiの表面上に形成され、且つアノードは該P又はP+Siの表面上に形成される。
図83Bは、MSM構造を示しており、その場合に、該I又は低ドープポリSiが該I又は低ドープGe/GeSi上に形成されており、及び、幾つかの場合に、該I又は低ドープGe/GeSiメサの側壁を被覆することが可能である。噛合型電極M1及びM2を該マイクロストラクチャ孔8312の間に形成することが可能である。該構造は、該層がI又は低ドープであることを除いて、図83Aと同様である。幾つかの場合に、該M1及びM2電極は透明導電性金属酸化物とすることが可能であり、例えばインジウムスズ酸化物があり、その他の透明導電性金属酸化物を使用することも可能である。幾つかの場合に、Ptシリサイド、Tiシリサイド、Wシリサイド、Moシリサイド、及びその他の金属シリサイド等のシリサイドを該M1及びM2噛合型電極に使用することが可能である。
Ge/GeSiフォトダイオードにおける暗電流は、Ge/GeSiとSiとの間の格子不整合によって発生される転位に起因する場合がある。熱アニールは転位密度、従って暗リーク電流を減少させるために使用することが可能である。例えば、Chen et al.、「高速ゲルマニウムP-I-N導波路光検知器における暗電流(Dark Current Analysis in High-Speed Germanium P-I-N Waveguide Photodetectors)」、ジャーナル・オブ・アプライド・フィジックス、119、213105(2016)、を参照すると良く、尚、この文献を引用により本書に取り込む。暗電流を減少させるその他の方法は、数例を挙げると、誘電体、非晶質半導体、多結晶半導体、結晶半導体、での表面パッシベーションを含んでおり、且つ、幾つかの場合に、0.5nm-5nmの範囲の厚さの酸化物層を該噛合型電極と該Ge/GeSi表面との間に挿入させることが可能である。
ポリSi内へのウエットエッチングは反転ピラミッドとならない場合があり且つ孔の最終的な形状を決定することが可能な該ポリSi内の結晶配向性に依存する。吸収の向上のために、反転ピラミッド以外の形状をマイクロストラクチャ孔として使用することが可能である。幾つかの場合に、円筒状の孔、又は漏斗状の孔等のマイクロストラクチャ孔のドライエッチングを吸収を向上させるマイクロストラクチャ孔を形成するために使用することが可能である。
図84A乃至84Hは、幾つかの実施例に基づく、垂直PIPIN構造及び横方向PIN構造の両方におけるマイクロストラクチャ孔アバランシェフォトダイオードの側面を例示する断面図である。図84Aは、PIPINアバランシェフォトダイオードを示しており、その場合に、該上部P層はポリSiであり且つ反転ピラミッド、円筒状、漏斗状の孔等のマイクロストラクチャ孔8412は該ポリSi内にエッチングさせることが可能である。該ポリSi下側の真性又は低ドープGe/GeSi層は、1000nm乃至2000nmの波長範囲を有する入射フォトンを吸収する。PSi荷電層が該Ge/GeSiの下側に位置している。真性又は低ドープSi増倍層が該PSi荷電層の下側に位置されている。N又はN+Si層が該増倍層の下側に位置している。BOX層はオプションであり、且つSOIウエハ又はSiウエハのいずれかとすることが可能である。アノードが該PポリSi上に配置され且つカソードが該N又はN+Si層上に配置される。逆バイアスが該アノードとカソードとの間に-3乃至-50ボルト以上の範囲の電圧で印加される。光は該上部表面から、及び、幾つかの場合に、該底部表面から入射することが可能である。該N又はN+Siに対する層厚さは200nm乃至2000nm以上の範囲とすることが可能である。該I又は低ドープSi増倍層は50nm乃至1000nmの厚さの範囲とすることが可能である。該PSi荷電層は20nm乃至300nmの厚さの範囲とすることが可能である。該I又は低ドープGe/GeSiは100nm乃至2000nm、及び、幾つかの場合に、300nm乃至1000nmの範囲とすることが可能である。該ポリSiP+層は100nm乃至1000nmの範囲とすることが可能である。マイクロストラクチャ孔8412は該ポリSiP+層内に支配的にエッチングされ、及び、幾つかの場合に、該I又は低ドープGe/GeSi層内へ延在することが可能である。幾つかの場合に、該マイクロストラクチャ孔8412は該ポリSi層内のみである。P及びNは交換可能である。
図84Bは、噛合型電極M1及びM2を具備する横方向PIN構造を示している。この例において、Pドープ領域は噛合型電極M1の下側であり、且つNドープ領域は噛合型電極M2の下側にある。マイクロストラクチャ孔8414は、該I又は低ドープGe/GeSiを封止することが可能なI又は低ドープポリSiにおいて噛合型電極M1及びM2の間にエッチングされている。該Ge/GeSiはI又は低ドープSi上に選択的区域成長されている。BOX層はオプションである。該装置はSOIウエハ又はSiウエハのいずれかの上に製造させることが可能である。該I又は低ドープSi層の層厚は100-2000nm以上の範囲とすることが可能である。該I又は低ドープGe/GeSi層は100-2000nm、及び、幾つかの場合に、300-1000nmの範囲とすることが可能である。該N及びPドープウエルは100-1000nmの範囲の深さを有することが可能であり、且つ該I又は低ドープポリSi内に支配的なものとすることが可能であり、及び、幾つかの場合に、該I又は低ドープGe/GeSi領域内へ延在することが可能である。光学信号は上部又は底部のいずれかの表面から入射することが可能である。
逆バイアスを、-3乃至-50ボルト以上の範囲の逆バイアス電圧で、M1(アノード)とM2(カソード)との間に印加させることが可能である。反射防止コーティング及び/又はナノガラスは図示しておらず且つ反射を更に減少させるために包含させることが可能である。逆バイアス電圧を、-1乃至―35ボルト、及び、幾つかの場合に、-35ボルトより大きな電圧の範囲の電圧でM1とM2との間に印加させる。幾つかの場合に、該逆バイアス電圧は-1乃至-4ボルトの範囲である。
図84Cは、図84Aと同様であり、且つ多角形形状で且つ多分該ポリSiの多数の結晶配向に起因する不規則な形状でドライエッチング及び/又はウエットエッチングさせることが可能な該ポリSi内にマイクロストラクチャ孔8416を示している。
図84Dは、図84Bと同様であるが、該P及びNウエルが該I又は低ドープGe/GeSi層内に部分的に延在している点が除外される。マイクロストラクチャ孔8418は該ポリSi内にエッチングされる。
図84Eは、マイクロストラクチャ孔8420を具備すると共に噛合型電極M1及びM2の下側にP及びNウエルを具備しているI又は低ドープGe層を示している。該マイクロストラクチャ孔8420は100nm-1000nm以上の範囲の深さを有することが可能であり、且つ500nm-2000nm、及び、幾つかの場合に、600nm-1600nmの範囲の横方向寸法を有することが可能である。該マイクロストラクチャ孔の間の間隔は100nm-1000nmの範囲とすることが可能である。該マイクロストラクチャ孔は周期的、非周期的、及び/又はランダム、及び/又は周期的、非周期的、及びランダムの任意の組み合わせとすることが可能である。幾つかの場合に、該孔は正方形格子を有することが可能であり、且つ、幾つかの場合に、それは六角形格子を有することが可能である。
該I又は低ドープGe/GeSiは300nm-2000nm、及び、幾つかの場合に、500nm-1000nmの範囲の層厚を有することが可能である。該I又は低ドープGeは、1×1016/cm以下、及び、幾つかの場合に、5×1015/cm以下、及び、幾つかの場合に、1×1015/cm以下のドーピングを有することが可能である。該N及びPウエルは、3×1017/cm以上のドーピングを有することが可能であり、且つ100nm-1000nm以上の範囲の深さを有することが可能である。該M1及びM2電極は、50nm-500nm以上の範囲の金属厚さで該P及びNウエルへのオーミックコンタクトとすることが可能であり、且つ30nm-300nmの範囲の幅を有することが可能である。幾つかの場合に、該金属幅は30nm未満とすることが可能である。該噛合型電極M1及びM2の間の間隔は、300nm-2000nm以上、及び、幾つかの場合に、500nm-1000nmの範囲とすることが可能である。幾つかの適用例において、該噛合型電極の間隔は1000nm-3000nm以上の範囲とすることが可能である。Koester et al.、「高性能光学通信適用例用のGe・オン・SOI検知器/Si-CMOS増幅器受信機(Ge-on-SOI-Detector/Si-CMOS-Amplifier Receivers for High-Performance Optical-Communication Applications)」、ジャーナル・オブ・ライトウエーブ・テクノロジー、Vol.25、No.1、2007年1月(この文献を引用により本書に取り込む)は、マイクロストラクチャ孔の無いCMOS ASICと集積化された噛合型MSMGe・オン・SOI光検知器について議論している。マイクロストラクチャ孔を付加した場合には、そのEQEは、マイクロストラクチャ孔の無い同様の構造と比較して或る波長において一層高いものとなることが可能である。
図84Fは、埋込型島状部8422を示しており、それは結晶Si、多結晶Si、及び/又は多角形形状の誘電体とすることが可能であり、且つその場合に、該下側の屈折率島状部は一層高い光学的屈折率を有する該I又は低ドープGeによって埋設されるように該I又は低ドープGe/GeSiはこれらの島状部の上にエピタキシャル的に横方向に過剰成長させることが可能である。この様な埋設型島状部8422は埋設型マイクロストラクチャ孔として見ることが可能である。該島状部は、100nm-2000nm以上の範囲の横方向寸法を有することが可能であり、及び、幾つかの場合に、500nm-1700nmの範囲とすることが可能であり、且つ0nm又は重畳乃至2000nm以上、及び、幾つかの場合に、0nm-1000nmの範囲の間隔を有することが可能である。該I又は低ドープGeは、該島状部が部分的に又は完全に埋設されるように、選択的区域成長させるか又はエピタキシャル横方向過剰成長させることが可能である。該島状部は、周期的、非周期的、及び/又はランダムな配置、及び/又はその任意の組み合わせとすることが可能である。P及びNウエルは、M1とM2との間に電界をあたえるために該I又は低ドープGe内、及び該I又は低ドープGe内に実現させることが可能である。逆バイアスが該M1とM2との間に印加される。
図84Gは、図84E及び84Fと同様である。この場合、埋込型島状部8422とマイクロストラクチャ孔8420の両方が包含されている。
図84Hは、該埋込型島状部8428が台形状、矩形状、及び/又は多角形形状であることが可能であることを除いて、図84Fと同様である。更に、該島状部は結晶性及び非結晶性半導体、及び/又は誘電体を包含することが可能である。該埋込型島状部は30nm-1000nm以上の範囲の高さを有することが可能である。幾つかの場合に、該島状部は、選択的区域成長させるか及び/又はエピタキシャル横方向過剰成長させることが可能な該I又は低ドープGe/GeSi層によって完全に又は部分的に埋設させることが可能である。P及びNウエルは、50nm-1000nm以上の範囲の深さで該I又は低ドープGe/GeSi領域内に包含させることが可能である。幾つかの場合に、該島状部は該ウエルの間とすることが可能であり、及び、幾つかの場合に、該島状部は該ウエルの下側とすることが可能である。該島状部は周期的、非周期的、及び/又はランダムに配置させることが可能であり、及び、幾つかの場合に、周期的、非周期的、及びランダム配置の任意の組み合わせとすることが可能である。幾つかの場合に、該島状部は正方形格子を有することが可能であり、及び、幾つかの場合に、それは六角形格子を有することが可能である。逆バイアスが該P及びNウエルの間に印加される。M1及びM2金属は該P及びNウエルへのオーミックコンタクトを形成する。該M1及びM2はAl、Cu、Sn、Ni、Cr、Ti、Ta、V、W、又はMo等の金属製とすることが可能であり、又は金属の任意の組み合わせとすることが可能である。幾つかの場合に、非晶質Si及び/又はGeをオーミックコンタクトを形成する場合に使用することが可能である。幾つかの場合に、M1及びM2はシリサイドとすることが可能であり、例えば、数例を挙げると、Ptシリサイド、Tiシリサイド、Niシリサイド、Alシリサイドとすることが可能である。図示していないものは、ナノガラス又は反射防止コーティングであり、それは該Ge/GeSi表面及び該M1及びM2電極に適用することが可能である。非晶質Si及び/又はGeは、該金属表面からの反射を更に減少させるために、該M1及びM2電極上に付着させることが可能である。
図84Hは、該埋設型島状部の上に該P及びNウエルがある場合又はない場合の噛合型電極M1及びM2を付加的に示している。該埋設型島状部は、該M1及びM2電極の横方向寸法よりも一層大きな横方向寸法を有することが可能であり、且つ、幾つかの場合に、M1及びM2電極の間の間隔以上とすることが可能である。
図84Iは、幾つかの実施例に基づく、六角形状格子に配設されたマイクロストラクチャ孔又は埋設型島状部を示している平面図である。該孔及び/又は埋設型島状部8430は、円形状、楕円状、多角形形状、不規則及びアメーバ状の範囲の形状を有することが可能である。噛合型電極M1及びM2がマイクロストラクチャ孔の間に形成されており、且つ、埋設型島状部の場合には、該M1及びM2電極は該埋設型島状部の間、及び、幾つかの場合に、該埋設型島状部の上に形成することが可能である。
図85A及び85Bは、幾つかの実施例に関連して誘電体物質を使用する側面を例示した断面図である。使用される誘電体物質の例は、Si窒化物、Si炭化物、Si酸化物、Al酸化物、及びAlを包含している。それらは、1700nm乃至2000nm、及び、幾つかの場合に、1550nm乃至2000nm等の一層長い波長に対してのGe/GeSiの吸収係数を向上させるために該I又は低ドープGe/GeSi層に応力を付与すべく作用することが可能である。図85Aは、マイクロストラクチャ孔8512の中及び該ポリSiN+層の上部表面上に付着されているSi窒化物等の誘電体層8502を示している。該誘電体層は100nm乃至2000nmの範囲の厚さを有することが可能であり、且つ、幾つかの場合に、該I又は低ドープGe/GeSi層を含む該メサ全体を封止させることが可能である。
図85Bは、該I又は低ドープGe/GeSiへ応力を付与するために該マイクロストラクチャ孔8513の中及び該マイクロストラクチャ孔の上方に付着されている同様の誘電体層8503を具備する横方向構造を示している。そして、幾つかの場合に、該誘電体層は該フォトダイオード全体を封止させることが可能である。
図86A及び86Bは、I又は低ドープGe/GeSi層内へエッチングし、及び、幾つかの場合に、該I又は低ドープGe/GeSi層を貫通してPSi層へエッチングして形成したマイクロストラクチャ孔を具備する構造を例示している断面図である。図86Aにおいて、誘電体層8602が該マイクロストラクチャ孔8612を充填し及び又該マイクロストラクチャ孔の上にも付着されている。該誘電体層8602は、数例を挙げると、Si窒化物、Si炭化物、Si酸化物、Al酸化物、Al窒化物、Ge窒化物とすることが可能である。該誘電体物質は該I又は低ドープGe/GeSiへ応力を付与して1550nm乃至2000nmの間、及び、幾つかの場合に、1200nm乃至2000nmの間の波長に対しての吸収係数を向上させる。
図86Bは、該I又は低ドープGe/GeSi内へエッチングし、及び、幾つかの場合に、該I又は低ドープGe/GeSiを貫通して該I又は低ドープSi層へエッチングして形成したマイクロストラクチャ孔8613を具備している横方向MSM構造を示している。該誘電体層8503は、図86Aにおける層8602における如く、該I又は低ドープGeへ応力を付与して1200nm乃至2000nm、及び、幾つかの場合に、1500nm乃至2000nmの波長範囲においてのGe/GeSiの吸収係数を向上させる。
該誘電体層は100nm乃至2000nm以上の厚さ範囲を有することが可能である。図示していないものは、反射を減少させるための反射防止コーティング又はナノガラスである。幾つかの場合にはSiN等の該誘電体層は、応力を与えるためにマイクロストラクチャ孔を有するか又は有することのないGe/GeSiを被覆する50nm-1000nm以上の厚さ範囲を具備する薄膜とすることが可能である。幾つかの場合に、該孔は島状部又はSi、ポリSi、又は誘電体とすることが可能であり、その場合に、Ge/GeSiはELOG等のプロセスを使用してエピタキシャル的に過剰成長される。
図87A-87Cは、図83Aと同様の構造における光学フィールド吸収の有限差分時間領域(FTTD)シミュレーションを例示している。図87Aは、ポリSi層内に反転ピラミッドを有する図87Cに示した構造に対して(曲線8710)、及び又該ポリSi層を貫通して及び該Ge層を貫通して該N基板へエッチング形成した漏斗孔を具備している図87Bに示した構造に対して(曲線8712)の光学波長に対しての吸収のプロットである。いずれの場合にもBOX層は包含されていない。該マイクロストラクチャ孔は、1100nmの直径又は横方向寸法、および1700nmの周期を有している。図87Cの反転ピラミッドの場合に、該ポリSi層は800nmであり、該Geは1600nmであり、及び該底部Si層は1000nmである。図87Bの漏斗孔が該Ge層を貫通して該底部Si層へエッチングされる場合に、該ポリSi層は300nmである。このシミュレーションが示す吸収係数は、1200nm乃至1800nmの波長の関数として量子効率及び/又は外部量子効率が直接的に比例している。その向上された吸収は、1200及び1600nmの間に約80%であり、及び1800nmにおいて約50%へ単調的に降下する。EQEは、吸収に直接的に比例することが可能であり、且つ1200乃至1600nmの間は約80%のEQEを有することが可能であり、及び、1800nmにおいて約50%へ単調的に降下する。EQEは直接的に吸収に比例する場合があり且つ同様に1200乃至1600nmの間は約80%のEQEを有している場合があり且つ1800nmにおいて約50%へ単調的に降下する場合がある。反射防止コーティング又はナノガラスは包含されていない。
注意すべきことであるが、図87B及び87Cに示した構造は、CMOS/BiCMOSプロセスと適合性があり、且つ単一のSiチップ上にCMOS/BiCMOS ASICとモノリシックに集積化させることが可能である。
このシミュレーションにおいては、該マイクロストラクチャ孔は正方形格子を有しており、及び、幾つかの場合に、該マイクロストラクチャ孔は周期的、非周期的、及び/又はランダム、及び/又はその任意の組み合わせとすることが可能である。
図88A及び88Bは、マイクロストラクチャ装置における向上された吸収のFDTDシミュレーションを例示している。図88Aは、該FTDTシミュレーションのプロットであり、且つ図88Bは、そのシミュレーションに使用される層構造を示している。該マイクロストラクチャの寸法は以下の如くである。底部層が1000nmのSi、次いでGe層の1600nm厚さで1000nmエッチングされたマイクロストラクチャ孔を具備しており、且つ該マイクロストラクチャ孔は1700nmの周期と1100nmの直径とを有している。該マイクロストラクチャ孔は形状が円筒状であり、正方形格子状である。ポリSiが該Geマイクロストラクチャ孔の上に付着されて該マイクロストラクチャ孔を完全に被覆しており、該孔の底部から該ポリSiの上部表面まで測定された厚さは1300nmである。光が該上部表面から入射する。該Geは約0.6%の歪を有している。図88Aにおけるプロットは、1200nm乃至1800nmの波長の関数として向上された吸収を示している。その向上された吸収は1200nm乃至1600nmでは約80%であり、そして1800nmにおいて約50%であるように単調的に減少している。その量子効率、及び/又は外部量子効率は、その向上された吸収に直接的に比例している。該外部量子効率は1200nm乃至1600nmにおいて約80%であり、1800nmにおいて約50%であるように単調的に減少している。幾つかの場合に、該EQEは1200nm乃至1600nmにおいて約60%よりも一層大きい場合があり、及び、幾つかの場合に、該EQEは或る波長において1200nm乃至1600nmにおいて45%よりも一層大きい場合がある。この構造において、孔が該Ge内にエッチングされ且つポリSiによって完全に被覆されている。該ポリSiの上部表面はほぼ平坦状とすることが可能であり、且つ反射を減少させるために反射防止及び/又はナノガラスを適用することが可能である。幾つかの場合に、光は該底部表面に入射することが可能である。
LiDAR適用例の場合、他の自動車からのLiDAR送信からの干渉を最小とさせるために、データ通信パルスストリームのものと同様にコード化したパルスストリームでレーザパルスを送信させることが可能である。該コード化パルスストリームは、リターンツー0フォーマットでのデータ通信パルスにおける0及び1と同様にオン及びオフパルスのシリーズとさせることが可能である。幾つかの場合に、ノンリターンツー0フォーマットを使用することも可能である。他のLiDARユニットからの干渉を最小化させるその他の方法としては、レーザの複数スペクトラムの使用、及び、幾つかの場合に、ターゲットから反射された光を検知するための合到法の使用とすることが可能である。幾つかの場合に、対向するLiDARからの光を最小とさせるために光検知器上に交差偏光フィルターを使用することが可能である。
図89A及び89Bは、図87A-87Cにおいてシミュレーションした構造と同様のマイクロストラクチャPINフォトダイオードのFDTDシミュレーションを例示している。シミュレーションした構造は図89Bに示してある。マイクロストラクチャ孔は該Ge内にエッチングされており、且つ該マイクロストラクチャ孔はSiNで充填されており且つ300nmの表面厚さを有している。該マイクロストラクチャ孔の底部から該SiNの上部表面まで測定された該SiN厚さは1500nmである。その底部層はN+Siの1000nmであり、該I又は低ドープGeは500-1600nmの範囲であり、次いで200nmのPSiである。マイクロストラクチャ孔は、1700nmの周期で且つ正方形格子状において1100nmの直径で該ポリSi及びGe内にエッチングされている。1000nm又は1600nmの厚さを有するGeの場合、該孔は1200nmの深さへエッチングされる。該Geが500nmである場合には、該孔は700nmの深さへエッチングされる。該マイクロストラクチャ孔はSiNで充填され且つ300nmの表面厚さを有している。図89Aにおいて、実線8910は、該孔が1200nmの深さへエッチングされており且つ該孔がSiNで充填されている1600nm厚さのIGeの場合に対するものである。向上された吸収は1350nmにおいて約90%であり、且つ1800nmにおいて約50%へと単調的に降下している。EQEは向上された吸収に直接的に比例しており且つ1200-1350nmの範囲の幾つかの波長に対して80%以上であり、1350-1800nmの範囲の幾つかの波長に対して50%より大きい量子効率を有することが可能である。該Geは0.6%の歪を有している。ダッシュ曲線8912は、マイクロストラクチャ孔が無くSiNが無い同等のSiGeSiPINフォトダイオードを示している。幾つかの場合に、該マイクロストラクチャ孔PINフォトダイオードは、或る波長において1200-1800nmの波長スパンにおいて孔の無い同等のSiGeSiフォトダイオードのEQEよりも一層大きなEQEを有している。その垂直軸は吸収であり、且つその水平軸はミクロン単位の波長である。
図89C及び89Dは、図89Bに示した構造の更なる変形例のFDTDシミュレーションのプロットである。図89Cにおいて、実線8920は、該IGe層が1000nmの厚さを有する場合を示している。ダッシュ曲線8922は、マイクロストラクチャ孔の無い等価なSiGeSiPINフォトダイオードである。図89Dにおいて、実線8930は、該IGeが500nmの厚さを有している場合を示している。ダッシュ曲線8932はマイクロストラクチャ孔の無い等価なフォトダイオードである。
理解されるように、向上された吸収を有する該500nmのIGeの場合、該装置は、1200-1350nmの波長範囲にわたり70%より大きな吸収を達成しており、それは1350-1800nmにわたり約50%へ単調的に減少している。500nmのIGe層を具備する20ミクロン直径のPINSi/Ge/Siマイクロストラクチャフォトダイオードは、30Gb/s以上のデータ帯域幅を有することが可能であり、且つ、幾つかの場合には、1200-1800nmの波長スパンにおいて或る波長において40%以上の量子効率で50Gb/sとすることが可能である。
図89Eは、Si-IGe-SiPINマイクロストラクチャ孔フォトダイオードのI層厚さに対するフォトダイオード3dB帯域幅(Ghz)の計算のプロットである。該プロットは、異なるフォトダイオード直径例の10μm、20μm、30μm(ミクロン)を表す3つの曲線を示している。その計算は、該IGe層内の光発生されたキャリアの移動時間、及び該PIN接合のRC時間を与える容量を考慮にいれており、尚、Rは抵抗(この場合は、50Ω)であり且つCは接合容量である。理解されるように、約0.5μmの薄いI-Ge層の場合、10μm直径Si-Ge-SiPINマイクロストラクチャフォトダイオードの場合にGhzを超える帯域幅に到達することが可能である。約0.3μmのIGe層厚さの場合、Si-Ge-Siマイクロストラクチャフォトダイオードによって50Ghzより大きな帯域幅に到達することが可能である。0.9μmのIGe層厚さは、30μmの光検知器直径で20Ghzの帯域幅を達成することが可能である。該IGe層の厚さを1μm以下に減少させると、該マイクロストラクチャ孔光検知器は、マイクロストラクチャ孔の無い同等の光検知器よりも一層高いEQEを有することが可能である。該マイクロストラクチャ孔はポリSiによって埋設させることが可能であり、及び、幾つかの場合に、誘電体によって埋設させることが可能であり、及び、幾つかの場合に、該マイクロストラクチャ孔は支配的に該ポリSi及び/又はその他の非晶質又は多結晶半導体内のものとすることが可能である。
該マイクロストラクチャ孔はウエット又はドライエッチングで形成することが可能であり、及び、幾つかの場合に、反転ピラミッド、円筒状、漏斗状、円錐状、台形状、及び/又は複数の形状の任意の組み合わせとすることが可能である。該マイクロストラクチャ孔、又は埋設型孔の横方向寸法は、300nm乃至2000nm、幾つかの場合に、600nm乃至1700nm、及び、幾つかの場合に、700nm乃至1200nmの範囲とすることが可能である。該マイクロストラクチャ孔、又は埋設型孔の深さは、100nm乃至2000nm、及び、幾つかの場合に、300nm乃至1000nmの範囲とすることが可能である。該ナノストラクチャ孔の間の間隔は0nm乃至2000nm、及び、幾つかの場合に、0nm乃至1000nmの範囲とすることが可能である。該マイクロストラクチャ孔又は埋設型孔は周期的、及び/又は非周期的、及び/又はランダム、及び/又はその任意の組み合わせとすることが可能である。
図90Aは、幾つかの実施例に基づく、面発光レーザ用の受容(リセプタクル)孔を有する単一チップ上のCMOS/BiCMOS ASICとモノリシックに集積化させたマイクロストラクチャ光検知器の平面図である。単一チップ9000は、2×4アレイのMSPD/MSAPD9030、CMOS/BiCMOS ASIC9032、及び受容孔9034を包含している。VCSEL装置は、流体組立方法を使用して受容孔9034内に位置決めさせることが可能であり、及び、幾つかの場合に、流体の使用は省略することが可能である。この例において、Siチップ9000内の受容孔9032は円形状であり、従って同様の円形形状を有する面発光レーザを高い歩留まりとなる高い蓋然性をもって流体組立させることが可能である。図示していないものは、該光検知器及び該レーザを該CMOS/BiCMOSエレクトロニクスへ接続させる伝送線である。ASIC9032は、複数個のASIC又は互いに横方向に離隔されているその他のアクティブ電子回路を有することが可能であり、及びその各々は複数の光検知器9030の夫々一つから、又は2個以上の光検知器9030の夫々のグループからの電気的出力と結合され且つ処理する。前記アクティブ電子回路の内の一つ以上は、VCSEL9034の内の一つ又はそれ以上と結合させて必要に応じてそれを活性化させることが可能である。該個々のアクティブ電子回路は任意の適宜の形態とすることが可能であり、例えばリニアアレイ、2次元アレイ、3次元アレイのような垂直配置とさせることが可能である。
図90Bは、同心円状のアノード9020及びカソード9022を具備する円形形状にある面発光レーザ9036を示している。更に、発光区域9008、伝送線9040及び9042、及び金属タブ9016及び9018も示されている。円形形状に起因して、VCSEL9036が該Siチップ9000における円形状の受容孔内に落下する場合、それは伝送線9040及び9042への後の取り付けのためには任意の配向状態とすることが可能である。金属又は誘電体とすることが可能な小さなタブ9016及び9018は、流体組立期間中に該受容孔内に裏返しで該円形状のレーザが落下することを阻止することが可能である。流体組立に続いて、該VCSEL9036は、例えば、該受容孔の底部内に半田を使用して、該チップ9000へ取り付けられ又はボンド即ち固着される。ボンディングの後に、既知のフォトリソグラフィ技術を使用して、該伝送線9040及び9042をアノード9020及びカソード9022へ夫々接続させることが可能である。該伝送線9040及び9042は該CMOS/BiCMOS ASIC(図90Aに示してある)との接続を形成する。
図90Cは、幾つかの実施例に基づく、面発光レーザの斜視図である。該VCSEL9036は「ホッケーのパック」のような幾何学的形状を有して示されている。見えているものは、同心円状のアノード9020及びカソード9022、及びレーザ動作領域9008及び該表面上の誘電体又は金属のタブ9016及び9018である。該面発光レーザ9036は、典型的に、III-V物質から構成されており、該面発光レーザの底部はインジウムのような金属コンタクトを有することが可能であり、該金属コンタクトはそれもインジウムを有することが可能な該受容孔の底部に取り付けることが可能であり、従って、加熱することにより、該面発光レーザチップは半田付けさせることが可能となる。幾つかの場合に、該受容孔の底部は該伝送線の一部とすることが可能である。該受容孔はSi内にエッチングさせることが可能であり、及び、幾つかの場合に、該受容孔はSi二酸化物、又は誘電体、又はSiと誘電体との組み合わせとすることが可能である。
図90Dは、幾つかの実施例に基づく、矩形状のIII-Vチップ上の面発光レーザの2次元アレイの平面図である。チップ9050はVCSEL9036からなるアレイ(この場合には2×4)を包含している。各VCSELはアノード及びカソード電極を有しており、それらは、該矩形状チップ9050が2つの可能な配向状態で流体組立することが可能であり、且つ該CMOS/BiCMOS ASIC(例えば、図90Aに図示)におけるレーザドライバへ接続されている伝送線(例えば、9044及び9046)へ接続させることが可能であるような態様で、位置決めされている。金属又は誘電体タブ9066及び9068は、該矩形状チップが裏返しで該受容孔内に落下することがないように包含させることが可能である。2つの配向状態を可能とするために、該アノード及びカソードは180度の回転対称性を有することが可能である。図90Dに例示した形態は、代替的には、正方形形状とさせることが可能であり、その場合には、4通りの対称性があり且つ該チップは任意の4つの回転配向状態で流体組立させることが可能である。伝送線は全ての4つの端部に付加させることが可能である。
幾つかの場合に、流体組立は完全には装置に処理されていないIII-V物質と共に使用することが可能であり、その場合に、流体組立が完了すると、該III-V物質はラインのバックエンドで処理して該レーザアレイを完成させることが可能である。該レーザは垂直共振器面発光レーザとすることが可能であり、及び、幾つかの場合に、レンズ一体化面発光レーザ、及び、幾つかの場合に、端部発光レーザとすることが可能である。
Anderson et al.、「PSM4技術及び相対的コスト解析アップデート(PSM4 Technology & Relative Cost Analysis Update)」、www.ieee802.org/3/bm/public/jan13/anderson_01_0113optx.pdf(この文献を引用により本書に取り込む)は、4チャンネルを有する並列単一モードファイバ用のLISELアレイについて議論している。
図91A及び91Bは、幾つかの全シリコンMSM横方向フォトダイオード及び幾つかの全シリコン垂直PINフォトダイオードに対する光学フィールドのFDTDシミュレーションを例示している。幾つかの場合に、該横方向MSMは横方向PINを与えるためにP及びNドーピングを包含する場合がある。そのシミュレーションした構造は、1ミクロンでシリコン基板の下側に位置しているBOX層の上に形成されている0.5、1、又は1.5ミクロンの厚さを有するSi層を包含している。図91Bに図示しており700nmの横方向寸法である反転ピラミッドが約500nmの深さで該Si内にウエットエッチングされる。該反転ピラミッド孔は正方形格子において825nmの周期を有している。図91Aにおいて、その垂直軸は吸収であり、且つその水平軸は800nm-900nmの波長である。上側の曲線9110、9112、及び9114は、0.5、1.1.5
ミクロンの夫々のSi層厚さに対してマイクロストラクチャ反転ピラミッドを具備しているフォトダイオードを示している。800-900nmの波長に対して、吸収は支配的に60%、及び、幾つかの場合に、或る波長において約80%を超えている。下側の曲線9120、9122、及び9124は、0.5、1、1.5ミクロンの夫々のSi層厚さに対してマイクロストラクチャ孔の無い同等のフォトダイオードを示している。理解されるように、マイクロストラクチャ孔の無い装置は800-900nmの波長範囲において吸収は約10%以下である。吸収は外部量子効率(EQE)と直接的に比例し、及び、幾つかの場合に、EQEは吸収と同じ場合がある。マイクロストラクチャ孔を有するフォトダイオードは、マイクロストラクチャ孔の無い同等のフォトダイオードよりも一層大きなEQEを有することが可能である。幾つかの場合に、マイクロストラクチャ孔光検知器EQEは、800-900nmの波長にわたってマイクロストラクチャ孔の無い同等のフォトダイオードのEQEよりも2倍一層高い場合がある。幾つかの場合に、該EQEは或る波長においては3倍以上一層高いものである場合があり、及び、幾つかの場合に、800-900nmの間の或る波長においては5倍以上である場合がある。
図91Cは、幾つかの実施例に基づく、マイクロストラクチャ反転ピラミッド及びマイクロストラクチャ円筒形状孔を有する光検知器をFDTDシミュレーションのプロットである。該孔は、825nm周期の正方形格子において、700nmの横方向寸法、500nmの深さを有している。該Si層は、Si基板上の1ミクロンのBOX層上で1.5ミクロンである。曲線9130、9132、及び9134は、夫々、反転ピラミッド、円筒状、及び「平坦」(マイクロストラクチャ孔無し)に対するものである。該プロットから理解されるように、該反転ピラミッド及び該円筒状孔に対する吸収対波長特性は、両方とも、800-900nmの波長スパンにわたって60%よりも一層大きな吸収を有している。マイクロストラクチャ孔の無いSi光検知器は、800-900nmの波長スパンにおいて約10%未満の吸収を有している。幾つかの波長において、該円筒状の孔は、反転ピラミッドマイクロストラクチャ孔よりも多少低い吸収を有している。
図91D及び91Eは、幾つかの実施例に基づく、250nmの深さの浅いマイクロストラクチャ孔を有する構造のFDTDシミュレーションを例示している。このシミュレーションにおいて、図91Eに示した構造は、正方形格子において825nmの周期と、700nmの横方向寸法と、250nmの深さとを有する反転ピラミッドを包含している。該Si層は0.5、1、又は1.5ミクロンの厚さで且つ1ミクロン厚さのBOX層及びSiウエハ上に形成されている。図91Dにおいて、曲線9140、9142、及び9144は、夫々、0.5、1、1.5ミクロンのSi層厚さに対する吸収を示している。曲線9150、9152、及び9154は、夫々、Si層厚さ0.5、1、1.5ミクロンに対する「平坦」(マイクロストラクチャ孔無し)においての吸収を示している。該シミュレーションにおいて理解される如く、一層浅い孔は800-900nmの波長範囲に対して吸収が減少することとなっている。該吸収は約60%であり、且つ図示した如く、900nmにおいて約50%へ降下している。浅いマイクロストラクチャ孔のEQEは、800-900nmの波長範囲に対する或る波長において、マイクロストラクチャ孔の無いSi光検知器の同等のEQEよりも2-3倍又はそれより一層大きなものである。
図92A及び92Bは、幾つかの実施例に基づく、該Geの上にポリSi層を有しているGe・オン・Si構造に対する吸収対波長のFDTDシミュレーションを例示している。そのシミュレーションした構造は図92Bに図示してある。ポリSi上部層は0.25及び0.5ミクロンの厚さを有しており、且つ円筒状マイクロストラクチャ孔の横方向寸法は1200nmで、孔は該ポリSi層厚さの約90%である深さへエッチングされている。該孔は正方形格子において1700nmの周期を有している。該Ge層は0.5及び1ミクロンの厚さであり、且つ該底部Si層は10ミクロンよりも一層大きい。該Ge層は歪ませることが可能である。曲線9210、9212、及び9214は、ポリSi=0.23ミクロン/Ge=1ミクロン、ポリSi=0.5ミクロン/Ge=1ミクロン、及びポリSi=0.5ミクロン/Ge=0.5ミクロンの夫々の場合を示している。該吸収は150nm波長に対して70%より高く、且つ1800nm波長において約30%又はそれ以上へ単調的に減少している。下側の曲線9220及び9222は、マイクロストラクチャの無い(平坦)同様の光検知器構造の場合を示している。そして、理解されるごとく、1600nmよりも一層大きな波長において、該吸収は迅速に5%未満へ減少している。
EQEは、吸収に対して直接的に比例することが可能であり、且つマイクロストラクチャ孔光検知器のEQEは、1200-1800nmの波長範囲において、或る波長において、マイクロストラクチャ孔の無い同等の光検知器のEQEよりも1.5倍以上一層大きい場合がある。1600-1800nmの波長範囲において、マイクロストラクチャ孔光検知器のEQEは、或る波長において、マイクロストラクチャ孔の無い同等の光検知器よりも5倍以上大きい場合がある。
該ポリSi-Ge-Si構造は横方向及び/又は垂直光検知器構造、例えば横方向PINMSM及び/又は垂直PIN又はNIP構造、の両方へ適用させることが可能である。両方の場合において、これらの構造はCMOS/BiCMOS ASICとモノリシックに集積化させることが可能である。幾つかの場合に、光学信号は上部表面上に入射することが可能であり、且つ、幾つかの場合に、底部表面から入射することが可能である。
該FDTDシミュレーションは、マイクロストラクチャ孔装置の場合には、垂直から±10度で入射する光信号の平均である。マイクロストラクチャ孔の無い(平坦)同等の光検知器の場合には、該FTDTシミュレーションは垂直入射のみである。
幾つかの場合に、Ge・オン・SiフォトダイオードはSOIウエハ上に製造させることが可能である。例えば、Xue et al.、「並列光学相互接続用の1×4Ge-オン-SOIPIN光検知器アレイ(1x4 Ge-on-SOI PIN Photodetector Array for Parallel Optical Interconnects)」、ジャーナル・オブ・ライトウエーブ・テクノロジー、Vol.27、No.24、2009年12月15日(この文献を引用により本書に取り込む)、を参照すると良い。該Ge・オン・SiフォトダイオードはCMOS/BiCMOS ASICとモノリシックに集積化させることが可能である。例えば、Knoll et al.、「フォトニックBiCMOS技術における1.55μm用のモノリシックに集積化した25Gbit/sec受信機(Monolithically Integrated 25 Gbit/sec Receiver for 1.55 μm in Photonic BiCMOS Technology)」、OFC2014(この文献を引用により本書に取り込む)、を参照すると良い。
メサPIN構造を有するGe・オン・Siフォトダイオードの暗電流はSiパッシベーションで抑圧させることが可能である。例えば、Dong et al.、「シリコン表面パッシベーション技術によるゲルマニウム-スズ-オンシリコンp-i-nフォトダイオードにおける暗電流の抑圧(Suppression of dark current in germanium-tin-on silicon p-i-n photodiode by silicon surface passivation technique)」、オプティックス・エクスプレス、Vol.23、No.14、2015年7月13日、の文献を参照すると良い。DeLello et al.、「Ge・オン・Siフォトダイオードにおける暗電流の特性(Characterization of dark current in Ge-on-Si photodiodes)」、ジャーナル・オブ・アプライド・フィジックス、112、054506(2012)の文献は、CMOS/BiCMOS製造方法と適合性がある誘電体プロセスを使用してGe・オン・Siフォトダイオードにおける暗電流の減少を示している。
図92C-92Fは、Ge表面上にポリSiキャッピング層を有する場合と有していない場合のSiピラミッド島状部を有するGe・オン・Si構造に対する光学吸収対波長のFDTDシミュレーションを例示している。その構造は図92D(Siキャップ無し)及び92F(Siキャップ有り)に図示してある。該Ge層は0.5及び1ミクロンの厚さを有している。該Siピラミッドは1200nmの基部寸法及び正方形格子において1700nmの周期を有している。光学信号は垂直に該Geの上部表面に入射し、及び、幾つかの場合に、±10度だけ垂直からずれて入射する。図92Cにおける曲線9230及び9232、及び図92Eにおける9240及び9242は、該Ge上にSiキャッピング層が無く且つ反射防止コーティングが或る場合と無い場合を示している。僅かに離隔したダッシュ線9234及び9244は、反射防止コーティングを有しており1ミクロンGe厚さに対しての1100nm乃至1800nmにわたっての吸収対波長を示している。点線曲線9236及び9246は反射防止コーティングを有している0.5ミクロンGe厚さを示している。向上された吸収は該1ミクロンGe厚さに対しては約80%であり、且つ1400nm波長に対しての0.5ミクロン厚さのGeに対しては60%である場合がある。該EQEは吸収に比例しており、且つその比例係数は、1(EQE=吸収)乃至0.5(EQE=0.5吸収)の範囲となる場合があり、及び、幾つかの場合に、該比例係数は1乃至0.3の範囲となる場合がある。
両方の場合に、埋込型Si島状部(通常は、ピラミッド、円筒状、正方形、台形、又は多角形)を有するGe・オン・Si光検知器は、1100nm乃至1800nmの波長範囲において或る波長において、Si島状部の無い同等のGe・オン・Si光検知器よりも一層高いEQEを有することが可能である。
該構造は、垂直PIN又は横方向PINの両方、又は横方向MSMマイクロストラクチャ光検知器へ適用させることが可能である。
光学信号は、幾つかの場合に、底部から入射させることが可能であり、且つ、幾つかの場合に、BOX層を包含させることが可能である。
該Si島状部の横方向寸法は、300nm-1800nmの範囲とすることが可能であり、その高さは50nm-1000nm以上の範囲とすることが可能であり、且つその間隔は0nm(接触又は重畳)-2000nm以上の範囲とすることが可能である。該Si島状部は周期的、非周期的、及び/又はランダムとすることが可能であり、及び、幾つかの場合に、周期的、非周期的、及び/又はランダムの任意の組み合わせとすることが可能である。その波長スパンは800-2000nm、及び、幾つかの場合に、1000-1450nm、及び、幾つかの場合に、1000-1800nmとすることが可能である。データレートは10Gb/s乃至50Gb/s以上の範囲とすることが可能であり、且つ該構造はCMOSBiCMOSプロセスと適合性がある。該光検知器の横方向寸法は5-1000ミクロン以上、及び、幾つかの場合に、10-80ミクロン、及び、幾つかの場合に、10-3000ミクロンの範囲とすることが可能である。APD及びSPAD光検知器構造も埋込型Si島状部を有するGe・オン・Siで実現することが可能である。そして、幾つかの場合に、該埋込Si島状部は誘電体とすることが可能であり及び/又は誘電体でコーティングさせることが可能であり、及び該島状部は非結晶性、及び/又は結晶性とすることが可能である。
図93A及び93Bは、Ge表面上にポリSiキャッピング層を有するSi矩形状島状部を有しているGe-Si構造に対する光学吸収対波長のFDTDシミュレーションを例示している。シミュレーションした構造は図93Bに図示してある。下側Si層は0.25ミクロン又は0.5ミクロンのいずれかである。該Si層の上側のGe層は0.5又は1.0ミクロンである。上側ポリSi層は100nmである。マイクロストラクチャ突起がSiから形成され且つその形状は円筒状である。該円筒状の突起は1.2ミクロンの横方向寸法で1.7ミクロンの周期で正方形格子内に配設されている。該突起の高さは0.25ミクロン及び0.5ミクロンである。該Geの歪は0.6%である。反射防止コーティングが上部ポリSi層の上側に存在している。図93Aにおいて、曲線9310、9312、及び9314は、3つの場合についての吸収対光学信号波長を例示しており、即ち、(1)Si突起高さ=0.5ミクロン、Ge厚さ=0.5ミクロン、(2)Si突起高さ=0.5ミクロン、Ge厚さ=1.0ミクロン、及び(3)Si突起高さ=0.25ミクロン、Ge厚さ=1ミクロンである。上のケース(1)の場合には、Si突起とGe厚さとは同じであり、従って該突起の広がりは該Ge層の上部表面へ到達している。下側の曲線9320及び9322は平坦構造(マイクロストラクチャ無し)に対する2つの場合、即ち、夫々、(1)Ge厚さ=0.5ミクロン、及び(2)Ge厚さ=0.25ミクロンである。理解されるように、突起が無い場合には、1.6ミクロン-1.8ミクロン波長の間で、吸収は迅速に10%以下へ減少している。対照的に、マイクロストラクチャ島状部が設けられている場合には、吸収は全ての波長において実質的に一層高く且つ1.8ミクロンの波長において約30%へ単調的に減少している。突起がある場合には、吸収の向上は、マイクロストラクチャ突起の無い同様の構造と比較した場合に、1.2乃至1.8ミクロンの波長範囲にわたって約1.2倍乃至6倍を超えるものである。
注意すべきことであるが、シミュレーションした構造は、垂直に配置させたPIN光検知器及び横方向に配置させたMSM噛合型電極光検知器の両方に適用可能なものである。更に、横方向に配置させた噛合型電極光検知器の場合には、ディジット間間隔(電極の間)は該突起の横方向寸法以下とさせることが可能である。
注意すべきことであるが、該シリコン突起の屈折率は、通常、上側のGe層よりも一層低い。しかしながら、幾つかの場合に、該突起は一層高い屈折率物質(例えば、Ge)から構成することが可能であり、その上により低い屈折率の物質(例えば、Si)を位置させることが可能である。この様な形態はここに図示した如くに向上した吸収を達成するために使用することが可能である。
図94A-94Dは、4つの異なるGe・オン・Si構造を示しており、且つ図94Eはこれらの構造の表面上に入射する光学フィールドのFDTDシミュレーションを示している。図94Aは、側部寸法が1200nmで正方形格子において周期が1700nmであり高さが500nmである正方形Si島状部を示しており、その上にGeが1000nmの厚さに成長されており且つ100nmの厚さのポリSiキャッピング層がその上に形成されている。図94Bは、図94Aと同様であるが、1200nmの基部寸法を有しており正方形格子において1700nmの周期で且つ高さが約500nmであるピラミッドがSi内にエッチングされていることが異なっており、その上に1000nmのGeが成長されており且つ100nmのポリSiでキャッピングされている。図94Cは、基部が1200nmで正方形格子において周期が1700nmで高さが約500nmである二酸化シリコン等の誘電体がピラミッド形状でSi上に付着されている状態を示しており、且つ1000nmのGeがエピタキシャル横方向過剰成長方法を使用していその上に成長されており且つ100nmのポリSiでキャッピングされている。図94Dは、Si上に付着された二酸化シリコン等の誘電体で横方向寸法が1200nmで正方形格子内の周期が1700nmで且つ高さが約500nmである立体的島状部にエッチング形成されている状態を示しており、且つ該誘電体の上に1000nmの厚さのGeがエピタキシャル横方向過剰成長されており且つ100nmのポリSiでキャッピングされている。
図94Eは、1.2乃至1.8ミクロンの範囲の波長に対する吸収の幾つかのプロットを示している。理解されるように、埋設型誘電体島状部(図94C及び94D及び曲線9414及び9416)を使用している構造は、Si突起(図94A及び94B及び曲線9410及び9412)を使用する構造よりも、1.6ミクロン乃至1.8ミクロンにわたって一層高い吸収となっている。図94C及び94Dの構造の場合(曲線9414及び9416)、その吸収は1.2乃至1.5ミクロンの波長に対して約80%であり、且つ1.8ミクロンの波長において約40%へのほぼ単調的に減少している。EQEは吸収に比例しており且つ1.2乃至1.8ミクロンの範囲における幾つかの波長に対して40%乃至80%の範囲となることが可能であり、及び、幾つかの場合に、1.2-1.8ミクロンの波長範囲において20%以上である。図94A-94Dに例示した構造は、各々が同じ光学信号を受け取る複数の孔を使用して、横方向噛合型光検知器と垂直PINフォトダイオードとの両方に適用することが可能である。
図94A-94Dが示すタイプの複数個の孔を使用する構造において、Si又は誘電体における島状部は、800nm-1500nm、及び、幾つかの場合に、500nm-2000nm、の範囲の横方向寸法を有することが可能であり、且つ該島状部の間の間隔は0(重畳)乃至1000nm以上の範囲とすることが可能である。幾つかの場合に、該間隔は100nm乃至1000nmの範囲とすることが可能である。該島状部の高さは、50nm-1000nm、及び、幾つかの場合に、250nm-1000nmの範囲とすることが可能である。該島状部の形状は円筒状、ピラミッド状、多角形状、台形状、及び複数の形状の任意の組み合わせとすることが可能であり、且つ周期的、及び/又は、非周期的、及び/又はランダムに配置させることが可能である。該島状部は同じアレイ又は複数の島状部からなる複数のグループにおいて同じ及び/又は異なる寸法を有することが可能である。ポリSiを、非晶質シリコンの代わりに使用することが可能であり、及び、幾つかの場合に、非晶質SiをポリSiの代わりに使用することが可能である。
図95は図84Iと同様であるが、点線9510において及びその近くでのイオン注入の付加を示しており、その場合に、該マイクロストラクチャ孔区域外側の領域は、数例を挙げると、N、O、H、Ar等のイオンで高度にイオン注入されていて、光発生されたキャリアの寿命を減少させ且つ光学的インパルス応答において遅滞尾部(slow tail)に寄与することのある高電界領域への光発生されたキャリアの拡散を最小とさせている。幾つかの場合に、点線950に沿ってトレンチをエッチングさせることが可能である。トレンチ9520も示されており、それは該M1及びM2電極及び該マイクロストラクチャ孔8430を取り囲んでおり、それは高電界領域へ拡散する光キャリアの寄与を最小とさせるためにトレンチ9520によって取り囲まれている領域外側で発生される光キャリアに対しての光学的分離として使用することが可能である。該トレンチ9520は図示した如くに堀のように連続的なものとすることが可能であり、及び、幾つかの場合に、該M1及びM2電極への金属線を接続することを許容するためにギャップを有することが可能である。ギャップが使用されない場合には、該トレンチは誘電体及び/又はポリイミド等の充填物で充填させることが可能であり、且つ伝送線等の接続用金属が該トレンチを横断して該M1及びM2電極へ接続することが可能である。トレンチ9520の深さは100nm乃至1000nm以上の範囲とすることが可能であり、及び、幾つかの場合に、該BOX層へエッチングさせることが可能である。幾つかの場合に、該トレンチは該Si又はGe/GeSi層厚さの10%乃至100%とすることが可能である。キャリア寿命を減少させるためのイオン注入の場合、該イオンのドーピング濃度は5×1017/cm乃至1×1020/cm以上の範囲とすることが可能であり、且つ該イオン注入イオンの一様な深さ分布を与えるために複数のイオン注入エネルギを有することが可能である。
図48A-48Cに示し如く、該MSM電極は異なる面上に位置させることが可能である。しかしながら、一層高いデータレート帯域幅において、該噛合型電極M1及びM2の間のギャップは1000nm未満とさせることが可能である。多くの場合に、該マイクロストラクチャ孔は横方向寸法が1000nmのオーダーである。該噛合型電極の間のギャップよりも該孔の寸法を一層幅広なものとすることが所望される場合には、該電極M1及びM2の内の一つを、該吸収層Ge/GeSiがM1及びM2電極の間に位置させることが可能であるように、埋設させることが可能である。
図96A-96Dは、幾つかの実施例に基づく、該電極の内の一つが埋設されるか又は該吸収層の下側に形成される場合の噛合型電極光検知器の概略断面である。図96Aの場合、電極M2がSi上に付着されており且つドープさせるか又は未ドープのままとさせることの可能なポリSi9608の層で被覆させることが可能である。該ポリSi9608の厚さは50nm乃至500nm以上の範囲とさせることが可能であり、及び、幾つかの場合に、該ポリSiは50nm未満とすることが可能である。Ge/GeSiが、バッファ層と共に又は無しでSi上に選択的区域成長されており、且つ該M2電極及び何らかのポリSiの上にエピタキシャル成長されており、従って該M2電極は該Ge/GeSi層によって埋設されている。ドープされているか又はされていないポリSiの薄い層を、図示した如くに、該Ge/GeSi層上に付着させることが可能である。次いで、M1噛合型電極が、図示した如くに、該ポリSi層上に付着される。該Ge/GeSi層上の該ポリSi層は10nm-500nm以上の範囲の厚さを有することが可能であり、及び、幾つかの場合に、その厚さは10nm未満とすることが可能である。該Ge/GeSi層は300nm-1000nm、及び、幾つかの場合に、1000nm以上、及び、幾つかの場合に、300nm未満の範囲の厚さを有することが可能である。光は該ポリSi層の表面上に入射し、及び、幾つかの場合に、該基板の底部から入射することが可能であり、その波長は800nm-1800nmの範囲である。
埋設型電極M2で、該M1電極の間の間隔はMSM光検知器の2倍又はそれよりも一層近く、その場合に、該M1及びM2電極はほぼ同じ面上にある。該M1電極の幅又は横方向寸法は、表面照射された光学信号のブロックを最小とさせるために可及的に狭いものとすることが可能であり、且つ20nm乃至300nmの範囲とすることが可能であり、及び、幾つかの場合に、300nmよりも一層幅広とすることが可能であり、及び、幾つかの場合に、20nm未満とすることが可能である。該M2電極用の物質の例は、Mo、W、及び/又は金蔵シリサイドを包含しており、それら全ては標準のCMOS/BiCMOS処理を使用することが可能である。該M1電極用に使用される物質の例は、Al、Cu、W、Mo,金属シリサイド、及び/又はCMOS/BiCMOS処理において一般的に使用されている任意の金属を包含している。図示されていないものは、反射防止層、表面反射を減少させるためのナノガラス、パッシベーション、分離トレンチ、伝送線、及び該埋設型電極へのコンタクトである。該M2電極は20nm乃至300nm以上の範囲の横方向寸法を有することが可能である。該M1電極の間隔は500nm乃至2000nm以上の範囲とすることが可能であり、且つ該M2電極の間隔は100nm乃至2000nm以上の範囲とすることが可能である。
図96Bは、図96Aと同様であるが、該埋込型M2電極がPポリSiから構成されており、その厚さが100nm乃至500nm以上の範囲であり、且つ横方向寸法が300nm乃至1700nm以上の範囲であることが異なっている。該M2電極9610の間隔は100nm乃至1000nm、及び、幾つかの場合に、1000nmを超える範囲とすることが可能である。幾つかの場合に、該PポリSi9610はNポリSiとすることが可能である。
図96Cは、該M1電極の間にエッチング形成したマイクロストラクチャ孔9612を示しており、それは該ポリSi内にエッチングさせることが可能であり、及び、幾つかの場合に、該ポリSi層を通過して該Ge/GeSi層内にエッチングさせることが可能である。そのエッチング深さは100nm乃至1000nm以上の範囲とすることが可能である。該マイクロストラクチャ孔の横方向寸法は500nm乃至1700nm、及び、幾つかの場合に、600nm乃至1500nmの範囲とすることが可能である。該マイクロストラクチャ孔9612の間隔は0nm(上端部に接触)乃至1000nm、及び、幾つかの場合に、100nm乃至500nmの範囲とすることが可能である。該孔の形状は円形状、矩形状、多角形状、漏斗状、反転ピラミッド状、とすることが可能であり、且つ周期的又は非周期的とすることが可能である。該M2電極は金属、又は金属シリサイドとすることが可能であり、及び、幾つかの場合に、ドープしたポリSiとすることが可能である。幾つかの場合に、該M2電極は、リーク電流を最小とさせるために、酸化物層又はポリSi層で被覆させることが可能である。
図96Dは、図96Cと同様であるが、孔9614が該Ge/GeSi層内にエッチングされておりポリSi層でコーティングされている。幾つかの場合に、該孔9614は、リーク電流を減少させるために、Al酸化物等の薄い金属酸化物層でコーティングさせることが可能である。
図96Eは、幾つかの実施例に基づく、噛合型光検知器の概略平面図であり、その場合に、一組の噛合型電極が埋設されている。特に、M1伝送線9650から点線の水平線によって示されている該M1「ディジット」又は電極「フィンガー」9640が埋設されている。一組の電極を埋設させることは(この場合にはM1で、図96A-96DではM2)、例えば、該M2噛合型電極9642の間のマイクロストラクチャ孔9612に対してより多くの余裕を与えることを可能とし、且つ、同時に、該M1及びM2噛合型電極9640及び9642の間の距離を1000nm未満とさせることを可能とする。この概略図において、該マイクロストラクチャ孔9612は一つの例として図示されているが、幾つかの場合に、マイクロストラクチャ島状部を埋設型で形成させることも可能である。この様な埋設型島状部を実現させることが可能であり、それはM1及びM2噛合型電極間の距離を1000nm、及び、幾つかの場合に、500nm、よりも著しく小さくさせることを可能とする。該M1及びM2ディジットの間に一層小さな距離を与えることは、一層高いデータレート、例えば、25Gb/s以上、及び、幾つかの場合に、50Gb/s以上とすることを可能とする。該M2ディジット電極(例えば、9642)へ接続されている伝送線9652も図示されている。
埋設型電極ディジットは、表面上の電極ディジットとは異なる幅及び/又は周期を有することが可能である。幾つかの場合に、M1及びM2ディジットの両方を完全に又は部分的に埋設させることが可能である。幾つかの場合に、エピタキシャル横方向過剰成長及び/又は選択的区域成長を使用して埋込プロセス中にボイドを形成させることが可能である。該埋込型又は非埋込型電極用に使用される物質は、W、Mo、Al、Cu、Pt、Ni、Cr、Ti、Ta等の金属、金属シリサイド、ドープしたポリSi、及び/又はそれらの任意の組み合わせを包含している。該Ge/GeSiは結晶性、多結晶性、非晶質、及びそれらの任意の組み合わせとすることが可能であり、且つ異なる組成及び/又は結晶性を有するGe/GeSiの単一を超える層を有することが可能である。該電極を埋設させるプロセスは標準のCMOS/BiCMOS及び/又は非標準のCMOS/BiCMOSプロセスを使用することが可能である。
埋込型噛合型電極光検知器のその他の形態とすることも可能である。例えば、幾つかは、P及びN接合、金属半導体接合、金属酸化物半導体接合、及び/又は該M1及びM2電極の内の少なくとも一方又は両方に対するオーミックコンタクトを有することが可能である。幾つかの場合に、PN接合はアバランシェ利得のために包含させることが可能である。該Ge/GeSiはI又は低ドープとすることが可能であり、且つ結晶性及び/又は多結晶性及び/又は非晶質とすることが可能である。GeSiの場合、そのGeのモル割合は0-1の範囲とすることが可能であり、その場合に、0は純粋Siであり且つ1は純粋Geである。
図97A-97Cは、幾つかの実施例に基づく、埋設型アノード又はカソード電極を具備する垂直に配置された光検知器の概略断面図である。該光検知器は、表面照射で、PIN、NIP、又はショットキーコンタクト等の形態とさせることが可能である。図97Aにおいて、アノード9740が、図示した如く、BOX層を包含することが可能なPSiと接触している。アノード9720は、例えば、Mo、W、金属シリサイドとすることが可能である。島状部9730は、横方向寸法が500nm乃至1500nmの範囲で且つ間隔が100nm乃至1000nmの範囲、及び、幾つかの場合に、1000nmを超える範囲で、誘電体、ポリSi、又はSiとさせることが可能である。マイクロストラクチャ島状部9730は、100nm乃至500nm、及び、幾つかの場合に、500nmを超える範囲の高さを有することが可能である。Ge/GeSiは、該アノード及び該マイクロストラクチャ島状部を埋設させるために選択的区域成長及びエピタキシャル横方向過剰成長させることが可能である。該Ge/GeSiの厚さは、300nm乃至1000nm以上、及び、幾つかの場合に、300nm乃至1000nmの範囲とすることが可能である。NポリSiを該Ge/GeSi上に付着させることが可能であり且つ100nm乃至500nm、及び、幾つかの場合に、500nmを超える範囲の厚さを有することが可能である。カソード電極を該NポリSi上に形成させる。マイクロストラクチャ孔9712を、500nm乃至1500nmの範囲の横方向寸法で及び100nm乃至500nmの範囲の間隔で、該NポリSi層内に形成させることが可能である。該マイクロストラクチャ孔9712は、周期的及び/又は非周期的とさせることが可能である。該誘電体島状部9730も周期的及び/又は非周期的とさせることが可能である。該アノード電極9720は、該島状部9730間の格子内に配置させることが可能であり、及び、幾つかの場合に、それは、該Ge/GeSi層によって埋設されるか又は埋設されずに該周辺区域上とさせることが可能である。
図97Bは、図示したように、該アノードが該感光性区域の幅にわたり延在することが可能である点を除いて、図97Aと同様である。該アノードは、幾つかの場合に、未ドープポリSiとすることが可能なPポリSiによって被覆されて示されている。該Ge/GeSiは結晶性、多結晶性、非晶質性、及び/又は結晶性、多結晶性、非晶質性の任意の組み合わせとすることが可能である。GeSiに対して、該Geのモル割合は0乃至1の範囲とすることが可能である。幾つかの場合に、マイクロストラクチャ及び/又はナノストラクチャ孔9712は、Ge/GeSi成長前に、該ポリSi及びアノードを貫通して該Si層へエッチングさせることが可能である。該金属シリサイド又は金属アノードの上の該PポリSi又は未ドープポリSiは数nm乃至1000nm、及び、幾つかの場合に、10nm乃至100nmの範囲の厚さを有することが可能である。I又は低ドープとすることが可能な該Ge/GeSiの厚さは、200nm乃至1000nm、及び、幾つかの場合に、1000nmを超える範囲とすることが可能である。
マイクロストラクチャ孔及び/又は島状部を具備しているGe/GeSi吸収層での波長範囲は800nm乃至1800nmの範囲とすることが可能である。アバランシェ利得のために付加的なPN接合を包含させることが可能である。光は上部表面から入射することが可能であり、及び、幾つかの場合に、底部表面から入射することが可能である。該埋込アノードのような埋設型電極の場合(P及びNは交換可能であり、且つアノードとカソードとは交換可能である)、底部表面から入射する光が波長選択性特性を有することが可能であるように該埋設型電極に波長選択性パターンを発生させることが可能である。
図98は、幾つかの実施例に基づく、CMOS/BiCMOS ASICとモノリシックに集積化されており且つ同一の基板上に製造されている横方向噛合型フォトダイオード及び噛合型横方向アバランシェフォトダイオード(APD)及び/又は単一フォトンアバランシェフォトダイオード(SPAD)の概略断面図である。幾つかの場合に、該フォトダイオード及び/又はアバランシェフォトダイオードは1次元アレイに配置される。その他の場合に、該フォトダイオードは、図53及び図90Aに示した如くに2次元アレイに配置させることが可能である。該フォトダイオード及び/又はアバランシェフォトダイオードの横方向寸法は異なるものとすることが可能であり、且つ10ミクロン乃至1000ミクロン以上の範囲とすることが可能である。分離トレンチ9820は、該フォトダイオードを分離させ且つ該APD/SAPDから分離させ、及び、トレンチ9822の場合には、該フォトダイオード及びAPD/SAPDを該CMOS/BiCMOSエレクトロニクスから分離させるために包含させることが可能である。幾つかの場合に、該アバランシェ動作領域及び該CMOS/BiCMOSエレクトロニクスからの光をブロックするために、光シールド9830を包含させることが可能である。これは、図24に示した如きAPD/SAPDと共に、図21に示した如き噛合型フォトダイオードの製造を例示している。M1及びM2は、該フォトダイオード用の一対の噛合型電極であり、且つM3及びM4は、該APD/SAPD用の一対の噛合型電極である。複数のフォトダイオード及びAPD/SAPDを異なる又は同一の横方向寸法でアレイ状に製造することが可能である。ショットキーコンタクト、オーミックコンタクト、金属酸化物半導体コンタクト、等のその他のコンタクトも可能である。更に、図30に示した如きGe/GeSiを含むその他のAPD構造及び図26及び図33に示した光検知器を単一の共通の基板上に結合させることが可能である。
この例においては、反転ピラミッド等のマイクロストラクチャ孔9812が表面にエッチングされており、及び、幾つかの場合に、それを誘電体で充填することが可能であり、及び、幾つかの場合に、P又はN型にドープさせることが可能である。幾つかの場合に、該孔は島状部のような固体とすることが可能であり且つ該I又は低ドープ半導体領域内に埋設させることが可能である。幾つかの場合に、該BOX層はオプションとすることが可能である。光学信号が上部表面に入射し、及び、幾つかの場合に、底部基板表面上に入射することが可能である。
該横方向光検知器及び該横方向APD/SAPDを共通のI又は低ドープ層と共に共通の基板上に製造させることが可能であり、CMOS/BiCMOS ASICとモノリシックに集積化させることが可能である。
上に説明した各図は、一般的に、光検知器の一部のみをしめすものであり、従って、理解すべきことであるが、図示した部分を多数回複製して単一の光検知器を形成することが可能である。例えば、図72Fは、2個の電極M1及び1個の電極M2のみを例示している一部であるが、例えば図74Bに見られるように、その例示されている光検知器は該光検知器の感光性区域にわたって更に多くの電極M1及び更に多くの電極M2からなるパターンを有している。図74Cは、図74Bに示されている多くの電極M1及び多くの電極M2の一部のみを示しているに過ぎない。
更に理解すべきことであるが、2個又はそれ以上の光検知器が同一のチップ上又はチップ内に形成されている実施例において、これらの光検知器は同一のもの又は互いに異なるものとすることが可能である。例えば、幾つかはMSPDで幾つかはMSAPDとすることが可能であり、幾つかは金属電極を使用することが可能であり、且つ幾つかは金属電極下側にドープした半導体を付加させることが可能であり、且つ幾つかはショットキー接合電極を使用することが可能であり、幾つかは横方向MSPDとすることが可能であり、及び、幾つかは垂直なものとするなどである。同様に、一つ又はそれ以上のMSPD及び/又はMSAPDとして同一のチップにモノリシックに集積化されている2個又はそれ以上のアクティブ電子回路を包含している実施例においては、該アクティブ電子回路は同一又は互いに異なるものとすることが可能であり、例えば、幾つかは増幅器で、幾つかはデジタル処理を行うことが可能である等である。
明瞭性のために上に幾らか詳細に説明しているが、その原理から逸脱すること無しに或る変更及び修正を行うことが可能であることは明らかである。注意すべきことであるが、本書に記載されている方法及び装置の両方を実現する多数の代替的態様が存在している。従って、これらの実施例は例示的なものであって制限的なものではなく、本書に記載されている実体の本体はそこに与えられている詳細に制限されるべきものではなく、それは添付の特許請求の範囲の範囲及び均等物内において修正することが可能なものである。

Claims (8)

  1. 横方向マイクロストラクチャ向上型光検知器において、
    水平方向に延在しており上部表面と底部表面とを具備している半導体物質からなる非ドープ又は低ドープI層、
    0.1-10μmの深さ該I層内に延在しており50-1000nmの幅で且つ水平方向に互いに0.5-10μm離隔されているドープした半導体物質の領域、
    前記ドープした半導体物質の領域上にあり該領域と電気的に結合されており且つ前記ドープした半導体物質の領域の一方から他方へ該I層内に電界を発生する形態とされている噛合型電極、
    前記I層下側で固体誘電体物質を包含している層、
    前記上部表面から前記I層内に延在している複数個の意図的に形成した孔を有するマイクロストラクチャ、
    を有しており、
    前記孔は50-5000nmの範囲内の深さを有しており且つ部分的に又は完全にパッシベーションされており、
    前記光検知器は、照射に依存する電気的出力を発生することにより前記照射に応答する形態とされており、及び
    前記孔の存在により前記孔が存在しない場合と比較して、一つ又はそれ以上の選択した波長範囲において少なくとも10%だけ前記光に対する前記光検知器の外部量子効率を向上させる、
    前記横方向マイクロストラクチャ向上型光検知器。
  2. 更に、モノリシックチップ、及び前記チップの上又は中において前記光検知器とモノリシックに集積化されており且つ前記電極と電気的に結合されている一つ又はそれ以上のアクティブ電子回路、を有している請求項1記載の横方向マイクロストラクチャ向上型光検知器。
  3. 更に、モノリシックチップ、及び前記チップの上又は中において前記光検知器とモノリシックに集積化されており且つ前記電極と電気的に結合されている複数個のアクティブ電子回路、及び前記チップの上に装着されており且つそれにより駆動されるべく一つ又はそれ以上のアクティブ電子回路へ結合されている一つ又はそれ以上の発光装置、を有している請求項1記載の横方向マイクロストラクチャ向上型光検知器。
  4. 前記孔が前記電極の間に散在されている請求項1記載の横方向マイクロストラクチャ向上型光検知器。
  5. 前記孔が前記I層内へ下方へ途中まで延在している請求項1記載の横方向マイクロストラクチャ向上型光検知器。
  6. 更に、前記電極の少なくとも一部の上に光吸収物質からなる層を有している請求項1記載の横方向マイクロストラクチャ向上型光検知器。
  7. 更に、前記電極の少なくとも一部の上であり且つナノストラクチャ型上部表面を有している光吸収層を有している請求項1記載の横方向マイクロストラクチャ向上型光検知器。
  8. 更に、前記電極及び前記I層の少なくとも一部の上で且つナノストラクチャ型上部表面を有している光吸収層を有している請求項1記載の横方向マイクロストラクチャ向上型光検知器。
JP2020502957A 2017-07-21 2018-07-23 マイクロストラクチャ向上型吸収感光装置 Active JP7429084B2 (ja)

Applications Claiming Priority (71)

Application Number Priority Date Filing Date Title
US201762535801P 2017-07-21 2017-07-21
US62/535,801 2017-07-21
US201762540524P 2017-08-02 2017-08-02
US62/540,524 2017-08-02
US201762542243P 2017-08-07 2017-08-07
US62/542,243 2017-08-07
US201762547728P 2017-08-18 2017-08-18
US62/547,728 2017-08-18
US201762553844P 2017-09-02 2017-09-02
US62/553,844 2017-09-02
US201762556426P 2017-09-10 2017-09-10
US62/556,426 2017-09-10
US201762561869P 2017-09-22 2017-09-22
US62/561,869 2017-09-22
US201762591072P 2017-11-27 2017-11-27
US62/591,072 2017-11-27
US201762599246P 2017-12-15 2017-12-15
US62/599,246 2017-12-15
US201762607860P 2017-12-19 2017-12-19
US62/607,860 2017-12-19
US201862615314P 2018-01-09 2018-01-09
US62/615,314 2018-01-09
US201862623971P 2018-01-30 2018-01-30
US62/623,971 2018-01-30
US201862628764P 2018-02-09 2018-02-09
US62/628,764 2018-02-09
US201862631630P 2018-02-17 2018-02-17
US62/631,630 2018-02-17
US201862633514P 2018-02-21 2018-02-21
US62/633,514 2018-02-21
US201862634692P 2018-02-23 2018-02-23
US62/634,692 2018-02-23
US201862637945P 2018-03-02 2018-03-02
US62/637,945 2018-03-02
US201862639356P 2018-03-06 2018-03-06
US201862639472P 2018-03-06 2018-03-06
US62/639,472 2018-03-06
US62/639,356 2018-03-06
US201862639920P 2018-03-07 2018-03-07
US62/639,920 2018-03-07
US201862640522P 2018-03-08 2018-03-08
US62/640,522 2018-03-08
US201862643010P 2018-03-14 2018-03-14
US62/643,010 2018-03-14
US201862645810P 2018-03-21 2018-03-21
US62/645,810 2018-03-21
US201862646871P 2018-03-22 2018-03-22
US62/646,871 2018-03-22
US201862651053P 2018-03-30 2018-03-30
US62/651,053 2018-03-30
US201862651087P 2018-03-31 2018-03-31
US62/651,087 2018-03-31
US201862652830P 2018-04-04 2018-04-04
US62/652,830 2018-04-04
US201862659072P 2018-04-17 2018-04-17
US201862659067P 2018-04-17 2018-04-17
US62/659,067 2018-04-17
US62/659,072 2018-04-17
US201862662217P 2018-04-24 2018-04-24
US62/662,217 2018-04-24
US201862666005P 2018-05-02 2018-05-02
US62/666,005 2018-05-02
US201862669194P 2018-05-09 2018-05-09
US62/669,194 2018-05-09
US201862675130P 2018-05-22 2018-05-22
US62/675,130 2018-05-22
US201862677609P 2018-05-29 2018-05-29
US62/677,609 2018-05-29
US201862682909P 2018-06-09 2018-06-09
US62/682,909 2018-06-09
PCT/US2018/043289 WO2019018846A2 (en) 2017-07-21 2018-07-23 PHOTOSENSITIVE ABSORPTION DEVICES ENHANCED BY MICROSTRUCTURES

Publications (3)

Publication Number Publication Date
JP2020537816A JP2020537816A (ja) 2020-12-24
JPWO2019018846A5 JPWO2019018846A5 (ja) 2023-02-13
JP7429084B2 true JP7429084B2 (ja) 2024-02-07

Family

ID=65015842

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020502957A Active JP7429084B2 (ja) 2017-07-21 2018-07-23 マイクロストラクチャ向上型吸収感光装置

Country Status (4)

Country Link
EP (1) EP3656000A4 (ja)
JP (1) JP7429084B2 (ja)
CN (1) CN111133590B (ja)
WO (1) WO2019018846A2 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12087871B2 (en) 2013-05-22 2024-09-10 W&W Sens Devices, Inc. Microstructure enhanced absorption photosensitive devices
US11309444B1 (en) 2015-11-20 2022-04-19 W&W Sens Devices, Inc. Microstructure enhanced absorption photosensitive devices
US11791432B2 (en) 2013-05-22 2023-10-17 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices
JP2021027358A (ja) * 2019-08-01 2021-02-22 ダブリュアンドダブリュセンス デバイシーズ, インコーポレイテッドW&Wsens Devices, Inc. マイクロストラクチャ向上型吸収感光装置
JP7247822B2 (ja) * 2019-08-30 2023-03-29 株式会社豊田中央研究所 受光素子
US12094903B2 (en) 2019-09-24 2024-09-17 W&W Sens Devices, Inc Microstructure enhanced absorption photosensitive devices
US11508867B2 (en) * 2020-01-28 2022-11-22 Adaps Photonics Inc. Single photon avalanche diode device
CN112117337B (zh) * 2020-09-22 2022-10-14 厦门大学 具有刻蚀微孔结构的4H-SiC紫外光电探测器及制备
CN112117336B (zh) * 2020-09-22 2022-04-12 厦门大学 背照式结构的4H-SiC紫外光电探测器阵列及制备
US11742451B2 (en) 2020-11-24 2023-08-29 Cisco Technology, Inc. Integrate stressor with Ge photodiode using a substrate removal process
CN113295275B (zh) * 2021-06-29 2024-05-14 传周半导体科技(上海)有限公司 一种特殊形状可调的片上光电探测器
CN115188842A (zh) * 2022-06-21 2022-10-14 广州诺尔光电科技有限公司 一种Si衬底上Ge雪崩光电二极管及其制造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002314116A (ja) 2001-04-09 2002-10-25 Seiko Epson Corp Pin構造のラテラル型半導体受光素子
WO2016081476A1 (en) 2014-11-18 2016-05-26 Shih-Yuan Wang Microstructure enhanced absorption photosensitive devices
JP2016526295A (ja) 2013-05-22 2016-09-01 ワン, シー−ユアンWang, Shih−Yuan マイクロストラクチャ向上型吸収感光装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5525828A (en) * 1991-10-31 1996-06-11 International Business Machines Corporation High speed silicon-based lateral junction photodetectors having recessed electrodes and thick oxide to reduce fringing fields
US6392256B1 (en) * 1996-02-01 2002-05-21 Cielo Communications, Inc. Closely-spaced VCSEL and photodetector for applications requiring their independent operation
TW415103B (en) * 1998-03-02 2000-12-11 Ibm Si/SiGe optoelectronic integrated circuits
JPH11274315A (ja) * 1998-03-19 1999-10-08 Hitachi Ltd 半導体装置
US6785447B2 (en) * 1998-10-09 2004-08-31 Fujitsu Limited Single and multilayer waveguides and fabrication process
US6927382B2 (en) * 2002-05-22 2005-08-09 Agilent Technologies Optical excitation/detection device and method for making same using fluidic self-assembly techniques
JP2005150291A (ja) * 2003-11-13 2005-06-09 Seiko Epson Corp 受光素子、光モジュール、及び光伝送装置
JP2005259829A (ja) * 2004-03-10 2005-09-22 Sumitomo Electric Ind Ltd 裏面入射型受光素子アレイ
JP2007013065A (ja) * 2005-07-04 2007-01-18 Matsushita Electric Works Ltd 近赤外光検出素子
US8242436B2 (en) * 2010-11-30 2012-08-14 Sondex Limited Neutron porosity logging tool using microstructured neutron detectors
WO2017112747A1 (en) * 2015-12-21 2017-06-29 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices
US9755096B2 (en) * 2014-03-10 2017-09-05 Elenion Technologies, Llc Lateral Ge/Si avalanche photodetector
CN103956403B (zh) * 2014-04-03 2017-02-15 苏州北鹏光电科技有限公司 光电探测器制备方法及制备的广角光电探测器
CN106328753A (zh) * 2016-08-26 2017-01-11 电子科技大学 基于MEMS微结构的红外增强Si‑PIN探测器及其制备方法
CN106206831A (zh) * 2016-08-26 2016-12-07 电子科技大学 基于飞秒激光烧蚀红外增强Si‑PIN探测器及其制备方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002314116A (ja) 2001-04-09 2002-10-25 Seiko Epson Corp Pin構造のラテラル型半導体受光素子
JP2016526295A (ja) 2013-05-22 2016-09-01 ワン, シー−ユアンWang, Shih−Yuan マイクロストラクチャ向上型吸収感光装置
WO2016081476A1 (en) 2014-11-18 2016-05-26 Shih-Yuan Wang Microstructure enhanced absorption photosensitive devices

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Min Yang et al.,"A High-Speed, High-Sensitivity Silicon Lateral Trench Photodetector",IEEE ELECTRON DEVICE LETTERS,VOL. 23, NO. 7,2002年07月,pp.395-397

Also Published As

Publication number Publication date
WO2019018846A3 (en) 2019-03-28
WO2019018846A2 (en) 2019-01-24
JP2020537816A (ja) 2020-12-24
CN111133590B (zh) 2024-06-11
CN111133590A (zh) 2020-05-08
EP3656000A4 (en) 2021-08-04
EP3656000A2 (en) 2020-05-27

Similar Documents

Publication Publication Date Title
US10700225B2 (en) Microstructure enhanced absorption photosensitive devices
JP7429084B2 (ja) マイクロストラクチャ向上型吸収感光装置
US10468543B2 (en) Microstructure enhanced absorption photosensitive devices
US10446700B2 (en) Microstructure enhanced absorption photosensitive devices
US11121271B2 (en) Microstructure enhanced absorption photosensitive devices
US11791432B2 (en) Microstructure enhanced absorption photosensitive devices
KR102593511B1 (ko) 마이크로구조-증강 흡수 감광성 디바이스
JP6875987B2 (ja) マイクロストラクチャ向上型吸収感光装置
EP3772104A1 (en) Microstructure enhanced absorption photosensitive devices
CN104303315B (zh) 包括能独立控制的吸收区电场和倍增区电场的器件
WO2019089437A1 (en) Microstructure enhanced absorption photosensitive devices
EP3411906A1 (en) Microstructure enhanced absorption photosensitive devices
US11309444B1 (en) Microstructure enhanced absorption photosensitive devices
EP1204148A2 (en) Planar resonant cavity enhanced photodetector
US20230215962A1 (en) Microstructure enhanced absorption photosensitive devices
US12087871B2 (en) Microstructure enhanced absorption photosensitive devices
US20220246775A1 (en) Microstructure enhanced absorption photosensitive devices

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210602

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210602

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220412

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220707

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221011

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20221011

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230203

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20230203

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231018

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20231109

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240109

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20240112

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240123

R150 Certificate of patent or registration of utility model

Ref document number: 7429084

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150