JP2020088355A - 基板処理方法 - Google Patents

基板処理方法 Download PDF

Info

Publication number
JP2020088355A
JP2020088355A JP2018225894A JP2018225894A JP2020088355A JP 2020088355 A JP2020088355 A JP 2020088355A JP 2018225894 A JP2018225894 A JP 2018225894A JP 2018225894 A JP2018225894 A JP 2018225894A JP 2020088355 A JP2020088355 A JP 2020088355A
Authority
JP
Japan
Prior art keywords
pattern
film
wafer
substrate
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018225894A
Other languages
English (en)
Other versions
JP6921799B2 (ja
JP2020088355A5 (ja
Inventor
亨 久松
Toru Hisamatsu
亨 久松
隆幸 勝沼
Takayuki Katsunuma
隆幸 勝沼
慎也 石川
Shinya Ishikawa
慎也 石川
嘉英 木原
Yoshihide Kihara
嘉英 木原
昌伸 本田
Masanobu Honda
昌伸 本田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2018225894A priority Critical patent/JP6921799B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to CN201980076470.7A priority patent/CN113169066B/zh
Priority to PCT/JP2019/027722 priority patent/WO2020110363A1/ja
Priority to KR1020217018989A priority patent/KR20210095170A/ko
Priority to US17/298,332 priority patent/US11955337B2/en
Priority to TW108142098A priority patent/TWI829810B/zh
Publication of JP2020088355A publication Critical patent/JP2020088355A/ja
Publication of JP2020088355A5 publication Critical patent/JP2020088355A5/ja
Application granted granted Critical
Publication of JP6921799B2 publication Critical patent/JP6921799B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】基板に形成されたパターンを所望の状態に制御できる技術を提供する。【解決手段】基板処理方法は、マスクを備える基板を提供する工程と、マスク上に膜を成膜する工程と、基板の表層に反応層を形成する工程と、基板にエネルギーを与えて反応層を除去する工程と、を有する。【選択図】図3

Description

本開示は、基板処理方法に関するものである。
特許文献1は、ウエハ上の自然酸化膜に処理ガスを反応させて反応層を形成した後、ウエハを加熱して反応層を昇華させることにより自然酸化膜を除去(エッチング)する技術を開示する。
特開2010−165954号公報
本開示は、基板に形成されたパターンを所望の状態に制御できる技術を提供する。
本開示の一態様による基板処理方法は、マスクを備える基板を提供する工程と、マスク上に膜を成膜する工程と、基板の表層に反応層を形成する工程と、基板にエネルギーを与えて反応層を除去する工程と、を有する。
本開示によれば、基板に形成されたパターンを所望の状態に制御できる。
図1は、実施形態に係るプラズマ処理装置の概略構成の一例を示す図である。 図2は、実施形態に係る加熱装置の概略構成の一例を示す図である。 図3は、実施形態に係る基板処理の流れの一例を説明する図である。 図4は、実施形態に係る成膜手法ごとの成膜領域および成膜量の一例を示す図である。 図5は、実施形態に係るパターンの形状の変化の一例を示す図である。 図6は、実施形態に係るChemical Vapor Deposition(CVD)とChemical Removal(CR)処理を実施した場合のパターンの形状の変化の一例を示す図である。 図7は、実施形態に係るCVDとCR処理を実施した場合のパターンの形状の変化の一例を示す図である。 図8は、実施形態に係るCR処理の流れの一例を説明する図である。 図9は、実施形態に係るCR処理によるエッチング量の一例を示す図である。 図10は、実施形態に係るウエハの温度の変化による吸着量と脱離量の変化を説明する図である。 図11は、実施形態に係るウエハの温度の変化によるエッチング量の変化の一例を示す図である。 図12は、実施形態に係るパターンの粗密によるCR処理でのパターンの変化の一例を示す図である。 図13は、実施形態に係るパターンの粗密によるCR処理でのパターンの変化の一例を示す図である。 図14は、実施形態に係るプレヒートの有無によるCR処理でのパターンの変化の一例を示す図である。 図15は、実施形態に係るプレヒートを実施しないCR処理でのL−CDの変化の一例を示す図である。 図16は、実施形態に係るプレヒートを実施したCR処理でのL−CDの変化の一例を示す図である。 図17は、実施形態に係るパターンの粗密によるパターンの幅の変化の一例を示す図である。 図18は、実施形態に係るパターンの粗密によるパターンの変化の一例を示す図である。 図19は、実施形態に係るパターンの粗密によるパターンの変化の他の一例を示す図である。 図20は、実施形態に係るライン状のパターンのLine Width Roughness(LWR)、Line Edge Roughness(LER)の改善を説明する図である。 図21は、実施形態に係る成膜処理とCR処理を実施することによるLWR、LERの変化の一例を示す図である。 図22は、実施形態に係る基板処理を用いたエッチング処理の一例を示す図である。 図23は、実施形態に係る基板処理の流れの一例を示すフローチャートである。
以下、図面を参照して本願の開示する基板処理方法の実施形態について詳細に説明する。なお、本実施形態により、開示する基板処理方法が限定されるものではない。
[装置構成]
本実施形態に係る基板処理に使用する装置の一例を説明する。以下では、プラズマ処理装置と加熱装置によって本実施形態に係る基板処理する場合を例に説明する。
最初に、本実施形態に係るプラズマ処理装置の構成の一例を説明する。図1は、実施形態に係るプラズマ処理装置の概略構成の一例を示す図である。本実施形態では、プラズマ処理装置100を、誘導結合プラズマ(ICP)型のプラズマ処理装置とした場合を例に説明する。
プラズマ処理装置100は、金属製(例えばアルミニウム製)の筒状に形成された処理室(チャンバ)102を備える。
処理室102の底部には、半導体ウエハ(以下「ウエハ」とも称する。)Wを載置するための載置台110が設けられている。載置台110は、アルミニウムなどで円柱状に成形されている。載置台110には、ヒータ111が設けられている。ヒータ111は、ヒータ電源112に接続され、ヒータ電源112から供給される電力により発熱する。載置台110は、ヒータ111によってウエハWの温度を制御する。なお、図示しないが、載置台110にはウエハWを静電気力により吸着保持する静電チャックや冷媒流路などの温度調整機構等、必要な機能を設けることができる。プラズマ処理装置100は、エッチング装置として用いる場合、載置台110にはイオンをウエハWに引き込むための高周波バイアスが印加される。
処理室102の天井部には、例えば、石英ガラスやセラミックなどで構成された板状の誘電体104が載置台110に対向するように設けられている。具体的には誘電体104は例えば円板状に形成され、処理室102の天井部に形成された開口を塞ぐように気密に取り付けられている。
処理室102には、ウエハWの処理に用いる各種のガスを供給するガス供給部120が接続される。処理室102の側壁部には、ガス導入口121が形成されている。ガス導入口121には、ガス供給配管122を介してガス供給部120が接続されている。
ガス供給部120は、ウエハWの処理に用いる各種のガスのガス供給源に、それぞれガス供給ラインを介して接続されている。各ガス供給ラインは、基板処理のプロセスに対応して適宜分岐し、開閉バルブ、流量制御器が設けられている。ガス供給部120は、各ガス供給ラインに設けられた開閉バルブや流量制御器を制御することにより、各種のガスの流量を制御する。ガス供給部120は、基板処理のプロセスに応じて各種のガスをガス導入口121に供給する。ガス導入口121に供給された各種のガスは、ガス導入口121から処理室102内に供給される。なお、図1では、ガス供給部120を処理室102の側壁部からガスを供給するように構成した場合を例に挙げているが、必ずしもこれに限られない。例えば処理室102の天井部からガスを供給するように構成してもよい。この場合には、例えば、誘電体104の中央部にガス導入口を形成して、誘電体104の中央部からガスを供給するようにしてもよい。
処理室102の底部には、処理室102内の雰囲気を排出する排気部130が排気管132を介して接続されている。排気部130は、例えば、真空ポンプにより構成され、処理室102内を所定の圧力まで減圧する。処理室102の側壁部には、ウエハ搬出入口134が形成されている。ウエハ搬出入口134には、ゲートバルブ136が設けられている。例えば、ウエハWを搬入する際には、ゲートバルブ136を開いて図示しない搬送アームなどの搬送機構によってウエハWを処理室102内の載置台110上に載置し、ゲートバルブ136を閉じてウエハWの処理を行う。
処理室102の天井部には、誘電体104の上側面(外側面)に平面状の高周波アンテナ140と、高周波アンテナ140を覆うシールド部材160が配設されている。高周波アンテナ140は、アンテナ素子142が設けられている。アンテナ素子142は、例えば銅、アルミニウム、ステンレスなどの導体で構成された渦巻きコイル状に形成される。アンテナ素子142には、高周波電源150が接続されている。高周波電源150は、プラズマを生成するアンテナ素子142に所定の周波数の高周波(例えば40MHz)の高周波を所定のパワーで供給する。なお、高周波電源150から出力される高周波は、上述した周波数に限られるものではない。例えば13.56MHz,27MHz,40MHz,60MHzなど様々な周波数の高周波を供給できる。
アンテナ素子142に高周波電源150から高周波が供給されると、処理室102内には、誘導磁界が形成される。形成された誘導磁界によって、処理室102内に導入されたガスが励起され、ウエハW上にプラズマが生成される。なお、高周波アンテナ140は、アンテナ素子142が複数設けられ、それぞれのアンテナ素子142に高周波電源150から同じ周波数又は異なる周波数の高周波が印加されてもよい。例えば、プラズマ処理装置100は、高周波アンテナ140に、誘電体104の中央部と周辺部に分けてアンテナ素子142をそれぞれ設け、誘電体104の中央部と周辺部でそれぞれプラズマを制御してもよい。また、プラズマ処理装置100は、処理室102の天井部に設けられる高周波アンテナ140の他に、載置台110を構成する下部電極に高周波電力を供給し、プラズマを生成してもよい。
プラズマ処理装置100は、生成したプラズマによって、ウエハWに対して、エッチングや成膜などのプラズマ処理を実施することができる。
上記構成のプラズマ処理装置100は、制御部190によって動作が統括的に制御される。制御部190は、CPUを備えプラズマ処理装置100の各部を制御するプロセスコントローラ191と、ユーザインターフェース192と、記憶部193とを備える。
プロセスコントローラ191は、プラズマ処理装置100の各種の動作を制御する。例えば、プロセスコントローラ191は、ガス供給部120からの各種のガスの供給動作を制御する。また、プロセスコントローラ191は、高周波電源150からアンテナ素子142に供給する高周波の周波数およびパワーを制御する。また、プロセスコントローラ191は、ヒータ電源112からヒータ111へ供給する電力を制御してヒータ111の発熱量を制御することで、ウエハWの温度を制御する。
ユーザインターフェース192は、オペレーターがプラズマ処理装置100を管理するためにコマンドの入力操作を行うキーボードや、プラズマ処理装置100の稼働状況を可視化して表示するディスプレイ等から構成されている。
記憶部193には、プラズマ処理装置100で実行される各種処理をプロセスコントローラ191の制御にて実現するための制御プログラム(ソフトウェア)や処理条件データ等が記憶されたレシピが格納されている。そして、必要に応じて、ユーザインターフェース192からの指示等にて任意のレシピを記憶部193から呼び出してプロセスコントローラ191に実行させることで、プロセスコントローラ191の制御下で、プラズマ処理装置100での所望の処理が行われる。また、制御プログラムや処理条件データ等のレシピは、コンピュータで読取り可能なコンピュータ記憶媒体などに格納された状態のものを利用したり、又は、他の装置から、例えば、専用回線を介して随時伝送させてオンラインで使用したりすることも可能である。コンピュータ記憶媒体としては、例えば、ハードディスク、CD、フレキシブルディスク、半導体メモリ等が挙げられる。
次に、本実施形態に係る加熱装置の構成の一例を説明する。図2は、実施形態に係る加熱装置の概略構成の一例を示す図である。本実施形態では、加熱装置200は、図1示したプラズマ処理装置100と別体に設けられており、図示しない搬送アームなどの搬送機構によってウエハWが加熱装置200とプラズマ処理装置100に搬送される。
加熱装置200は、金属製(例えばアルミニウム製)の筒状(例えば円筒状)に形成された処理室202を備える。
処理室202の底部には、ウエハWを載置するための載置台210が設けられている。載置台210は、アルミニウムなどで円柱状に成形されている。載置台210には、ヒータ211が設けられている。ヒータ211は、ヒータ電源212に接続され、ヒータ電源212から供給される電力により発熱する。載置台210は、ヒータ211によってウエハWの温度を制御する。なお、図示はしないが、載置台210にはウエハWを静電気力により吸着保持する静電チャック等、必要に応じて様々な機能を設けることができる。
処理室202の底部には、処理室202内の雰囲気を排出する排気部230が排気管232を介して接続されている。排気部230は、例えば、真空ポンプにより構成され、処理室202内を所定の圧力まで減圧する。処理室202の側壁部には、ウエハ搬出入口234が形成されている。ウエハ搬出入口234には、ゲートバルブ236が設けられている。例えば、ウエハWを搬入する際には、ゲートバルブ236を開いて図示しない搬送アームなどの搬送機構によってウエハWを処理室202内の載置台210上に載置し、ゲートバルブ236を閉じてウエハWの処理を行う。
加熱装置200は、載置台210に載置されたウエハWをヒータ211によって所定の温度に加熱する加熱処理を実施する。
上記構成の加熱装置200は、制御部290によって動作が統括的に制御される。制御部290は、例えばコンピュータであり、CPU(Central Processing Unit)、RAM(Random Access Memory)、ROM(Read Only Memory)、補助記憶装置等を備える。CPUは、ROM又は補助記憶装置に格納されたプログラムや、プラズマ処理のプロセス条件に基づいて動作し、装置全体の動作を制御する。なお、制御部290は、加熱装置200の内部に設けられていてもよく、外部に設けられていてもよい。制御部290が外部に設けられている場合、制御部290は、有線又は無線等の通信手段によって、加熱装置200を制御することができる。
次に、本実施形態に係る基板処理方法について説明する。
半導体装置の製造では、パターニングが行われる。パターニングでは、ウエハW上にパターンが形成されたマスクを設け、ウエハWをエッチングする。ウエハWは、マスクパターンの形状に沿ってパターニングされる。このため、パターンを所望の形状に制御することが期待されている。
そこで、本実施形態では、次のような基板処理を行ってパターンを所望の状態に制御する。図3は、実施形態に係る基板処理の流れの一例を説明する図である。図3(A)には、ウエハWが示されている。ウエハWは、下地となるシリコン(Si)層10上に、マスクが設けられている。マスクにはパターンPが形成されている。本実施形態では、マスクはSiO膜11でできている。図3(A)では、パターンPとして、上部の幅が下部の幅よりも小さいテーパー状の形状の凸部がSiO膜11に形成されている。
基板処理では、マスクを設けたウエハWにシリコン含有膜を成膜する成膜処理を実施する。成膜処理では、マスクと同種の膜を成膜する。例えば、マスクとしてSiO膜11を設けている場合、化学気相成長(Chemical Vapor Deposition:CVD)により、ウエハWにSiO膜20を成膜する。例えば、プラズマ処理装置100は、ガス供給部120から、例えば、SiClガス、O2ガスを処理室102に供給すると共に、高周波電源150からアンテナ素子142に高周波電力を印加してプラズマを形成してウエハWにSiO膜20を成膜する。CVDでは、上部ほど厚くSiO膜20が成膜される。
これにより、図3(B)に示すように、パターンPは、上部の幅が下部の幅と同程度になる。しかし、パターンPは、成膜によって幅が全体的に増加している。
そこで、基板処理では、SiO膜を除去するChemical Removal(CR)処理を行う。CR処理の詳細は、後述する。CR処理は、等方的に略一様に除去(エッチング)する。これにより、図3(C)に示すように、パターンP間の幅を当初と同等に戻すことができる。このようなパターンPを用いて下地などのエッチング対象膜をエッチングしてもよい。
なお、成膜処理でのシリコン含有膜の成膜手法は、CVDに限定されるものではなく、シリコン含有膜を成膜できれば何れの方式であってもよい。例えば、成膜手法は、Physical Vapor Deposition(PVD)、Direct Current Superposition(DCS)、 Atomic Layer Deposition(ALD)、不飽和ALD、Quasi−ALD(QALD)であってもよい。例えば、ALDによりシリコン含有膜の成膜を行う場合、プラズマ処理装置100は、ガス供給部120からシリコン(Si)を含有する原料ガスを処理室102に供給してウエハWに原料ガスを吸着させる。ウエハWに吸着する原料ガスの吸着量は、供給時間と共に増加し飽和する。ここで言う飽和とは、最表面に化学吸着が進み、それ以上化学吸着が進まない状態や、吸着するサイトがすべて占有されて吸着が進まない状態である。次いで、プラズマ処理装置100は、ガス供給部120から反応ガスを処理室102に供給すると共に、高周波電源150からアンテナ素子142に高周波電力を印加してプラズマを生成する。これにより、反応ガスが活性化し、ウエハWに吸着した原料ガスを反応ガスの活性種が改質して成膜される。原料ガスとしては、例えば、トリジメチルアミノシラン(TDMAS)、ビスジエチルアミノシラン(BDEAS)等が用いられる。反応ガスとしては、酸素(O)ガス等の酸化ガスを用いることができる。反応ガス(例えばOガス)は、プラズマ化されてウエハWに供給される。ALDにより成膜を行う場合、プラズマ処理装置100は、原料ガス及び反応ガスを交互に供給するサイクルを複数繰り返すことにより、所望の膜厚の薄膜を形成する。ALDでは、ウエハWに吸着する原料ガスの吸着量を飽和させているため、膜を均一に成膜できる。不飽和ALDは、原料ガスの吸着を飽和させない、あるいは、ウエハWに吸着した原料ガスの改質を飽和させない、あるいは、原料ガスの吸着とウエハWに吸着した原料ガスの改質を飽和させない、ALDである。不飽和ALDは、原料ガスを表面全体に吸着させない場合の他、完全に改質させない場合もある。QALDは、原料ガスをプラズマで解離して吸着し、例えば、酸素などのプラズマで改質して、コンフォーマルでない(膜厚が均一でない)膜を成膜するALDである。DCSは、電極材料をスパッタし基板上に成膜する成膜方法である。例えば、DCSでは、プラズマ処理装置において、電極材料を含んだ上部電極に負の直流電圧を印加して電極材料をスパッタし基板上に成膜する。DCSの詳細については、例えば、米国特許出願公開第2018/0151333号明細書に開示されている。
シリコン含有膜は、成膜手法によって成膜される成膜領域および成膜量が異なる。図4は、実施形態に係る成膜手法ごとの成膜領域および成膜量の一例を示す図である。図4には、ALD、CVD、QALD、CVD+QALD+ALDでの成膜量が示されている。CVD+QALD+ALDは、CVDとQALDとALDの成膜をそれぞれ実施したものである。図4のグラフの横軸は、パターンPの表面からの深さである。グラフの縦軸は、成膜量である。成膜量は、パターンPの上面の成膜量を1として規格化した値で示している。ALDは、パターンPの底まで略一様に成膜される。CVDは、パターンPの上面やパターンPの側面の上部に成膜される。QALDは、パターンPの上面やパターンPの側面の中央付近まで成膜される。CVD+QALD+ALDは、パターンPの底まで成膜されるものの、パターンPの上面やパターンPの側面の上部ほど多く成膜される。それぞれの成膜手法の成膜処理とCR処理を実施することで、パターンPの形状を制御できる。
図5は、実施形態に係るパターンの形状の変化の一例を示す図である。図5(A)には、初期状態のパターンPが示されている。初期状態のパターンPは、上部の幅と下部の幅がほぼ等しく、側面が垂直な形状である。図5(B)には、初期状態のパターンPにCR処理を実施した場合のパターンPの一例を示している。CR処理では、パターンPの上部のエッチング量がパターンPの下部のエッチング量よりも若干多い。このため、CR処理により、パターンPは、上部の幅が下部の幅よりも小さいテーパー状の形状となる。図5(C)には、初期状態のパターンPにCR処理とCVDを10秒間実施した場合のパターンPの一例を示している。CVDは、パターンPの上部やパターンPの側面の上部に成膜する。このため、CR処理とCVDを10秒間実施することにより、パターンPは、上部の幅と下部の幅がほぼ等しく、側面が垂直な形状となる。図5(D)には、初期状態のパターンPにCR処理とCVDを20秒間実施した場合のパターンPの一例を示している。CR処理とCVDを20秒間実施することにより、パターンPは、上部の幅が下部の幅よりも大きい逆テーパー状の形状となる。図5(E)には、初期状態のパターンPにCR処理とCVDを30秒間実施した場合のパターンPの一例を示している。CR処理とCVDを30秒間実施することにより、パターンPは、上部の幅が図5(D)よりも大きい逆テーパー状の形状となる。このようなパターンPを用いて下地などのエッチング対象膜をエッチングしてもよい。
図6は、実施形態に係るCVDとCR処理を実施した場合のパターンの形状の変化の一例を示す図である。図6に示すように、CVDを実施した場合、パターンPは、幅(CD)と高さが増加する。その後、CR処理を実施した場合、パターンPは、幅と高さが減少するが、幅と高さの変化率がCVDと異なる。よって、CVDを実施する時間およびCR処理でのエッチング量を変えることで、パターンPの高さと幅を制御できる。このようなパターンPを用いて下地などのエッチング対象膜をエッチングしてもよい。
図7は、実施形態に係るCVDとCR処理を実施した場合のパターンの形状の変化の一例を示す図である。図7(A)には、初期状態のパターンPが示されている。初期状態のパターンPは、上部の幅と下部の幅がほぼ等しく、側面が垂直な形状とされている。図7(B)には、初期状態のパターンPにCR処理とCVDを10秒間実施した場合のパターンPが示されている。CR処理とCVDを10秒間実施した場合、パターンPは、上部の幅と下部の幅が初期状態とほぼ等しい状態で、高さが増加する。
このように、本実施形態に係る基板処理では、成膜処理とCR処理を実施することで、パターンPの形状を制御できる。
次に、本実施形態に係るChemical Removal(CR)処理について説明する。図8は、実施形態に係るCR処理の流れの一例を説明する図である。図8(A)に示すウエハWは、下地となるSi層10上に、SiO膜が設けられている。
最初に、SiO膜が設けられているウエハWの表層に、反応層をプラズマにより形成する。例えば、プラズマ処理装置100は、ガス供給部120から、例えば、NFガス、NHガス、ArガスなどのCR処理に用いる各種のガスを導入し、プラズマを生成する。これにより、図8(A)に示すように、NHxFyが生成される。例えば、以下のような反応により、NHF、NH・HFなどのNHxFyが生成される。
NF+NH →NHxFy(NHF+NH・HFなど)
生成されたNHF、NH・HFは、SiO膜と以下のように反応し、図8(B)に示すように、反応層として(NHSiF(アンモニウムフルオロシリケート(ammonium fluorosilicate)が形成される。以下、(NHSiFを「AFS」とも称する。なお、CR処理では、AFSの形成をガス供給のみで行ってもよい。例えば、HFガスとNHガスを供給することで、AFSを形成できる。AFSは、プラズマを用いて成膜すると、反応速度が向上し、プラズマを用いずに成膜するとダメージレスで成膜できる。
NHxFy+SiO→(NHSiF+HO↑
AFSは、100℃よりも温度が高くなると昇華する。このため、反応層を形成する際、ウエハWを100℃以下の所定温度に制御する。例えば、プラズマ処理装置100は、例えば、ヒータ電源112からヒータ111へ供給する電力を制御してヒータ111の発熱量を制御することで、ウエハWを100℃以下の所定温度に制御する。
次に、ウエハWにエネルギーを与えて反応層を除去する。反応層は、例えば、電子線、プラズマ、熱、マイクロ波などにより反応層にエネルギーを与えることで除去できる。例えば、図8(C)に示すように、ウエハWを加熱して反応層を除去する。本実施形態では、ウエハWを100℃以上の所定温度(例えば、300℃)に加熱する。これにより、以下に示すような反応が生じて(NHSiFが昇華する。これにより、ウエハWから膜(例えば、SiO膜20)が除去される。なお、反応層を電子線、プラズマ、マイクロ波などによりエネルギーを与えて除去してもよい。
(NHSiF →SiF+2NH+2HF
ここで、プラズマ処理装置100により、ウエハWを、例えば、300℃に加熱した場合、載置台110の温度も高くなり、次のウエハWに対してAFSを形成する処理が実施可能となるまで時間が長くなる。そこで、AFS形成後のウエハWを加熱装置200に搬送し、加熱装置200によりウエハWを100℃以上の所定温度(例えば、300℃)に加熱する。このように、プラズマ処理装置100と加熱装置200により基板処理を実施することで、処理間の温度昇降の時間を削減できるので基板処理の生産性を向上させることができる。なお、本実施形態では、プラズマ処理装置100と加熱装置200により基板処理を実施する場合を例に説明するが、これに限定されるものではない。例えば、プラズマ処理装置100によりウエハWを加熱して反応層を除去してもよい。これにより、単一のプラズマ処理チャンバー102で基板処理を実施できる。
CR処理は、SiまたはSiNのエッチングレートに比べてSiOを高いエッチングレートで除去できる。図9は、実施形態に係るCR処理によるエッチング量の一例を示す図である。図9には、NFガス、NHガスなどのガスを導入しつつプラズマを生成するプラズマ処理時間を変えた場合の、Si、SiNおよびSiOのエッチング量の変化が示されている。図9に示すように、CR処理は、SiまたはSiNのエッチングレートに比べてSiOを高いエッチングレートで除去できる。
ところで、CR処理は、処理ガスを導入すると共にプラズマを生成して反応層を形成する際のウエハWの温度に応じて、形成される反応層の厚さが異なり、SiO膜を除去する量が変化する。図10は、実施形態に係るウエハの温度の変化による吸着量と脱離量の変化を説明する図である。SiO膜の表面には、NHF、NH・HFが吸着(Adsorption)および脱離(Desorption)をする。NHF、NH・HFの吸着量および脱離量は、ウエハWの温度によって変化する。例えば、ウエハWの温度が10℃の場合、吸着量が大きく、脱離量が小さい。ウエハWの温度が50℃の場合、吸着量と脱離量がほぼ等しくなる。ウエハWの温度が90℃の場合、吸着量が小さく、脱離量が大きくなる。
図11は、実施形態に係るウエハの温度の変化によるエッチング量の変化の一例を示す図である。図11には、ウエハWの温度を10℃、50℃、90℃とした場合での、反応層を生成する処理時間に対するSiO膜のエッチング量の変化が示されている。ウエハWの温度を10℃とした場合は、処理時間が長くなるほどSiO膜のエッチング量が増加する。一方、ウエハWの温度を90℃とした場合は、エッチングがほぼ生じず、処理時間が長くなってもSiO膜のエッチング量がゼロ付近を推移する。
一方、ウエハWの温度を50℃とした場合は、処理時間が短いとSiO膜のエッチング量が処理時間に応じて若干増加するが、処理時間が長くなるとエッチング量が飽和する。図11の例では、ウエハWの温度が50℃の場合、処理時間が40秒以降、エッチング量が飽和している。
よって、CR処理では、反応層を形成する際のウエハWの温度を制御することにより、SiO膜を除去する量を制御できる。
また、CR処理は、ウエハWに形成されたパターンPに粗密がある場合、同じ処理を行っても、パターンPの粗密に応じて、パターンPのエッチング量が変化しうる。
図12は、実施形態に係るパターンの粗密によるCR処理でのパターンの変化の一例を示す図である。図12には、密に(dense)形成されたライン状のパターンPの変化と、粗く(iso)形成されたライン状のパターンPの変化が並べて示されている。図12の「Initial」には、ライン状のパターンPの初期形状が示されている。また、ライン状のパターンPの幅がL−CD(Critical Dimension)として示されている。また、ライン状のパターンPのLWR(Line Width Roughness)、LER(Line Edge Roughness)が示されている。
図12の「CR(10C):10sec」には、反応層を生成する処理時間でのウエハWの温度を10℃とし、処理時間を10秒としてCR処理を実施した場合のパターンPの形状の変化が示されている。「CR(10C):10sec」では、密に形成されたパターンPは、初期形状からL−CDが−6.93nm変化している。一方、粗く形成されたパターンPは、初期形状からL−CDが−9.13nm変化している。「CR(10C):10S」では、密に形成されたパターンPと粗く形成されたパターンPのL−CDの変化に−2.2nmの差が発生する。
図12の「CR(50c):120sec」には、反応層を生成する処理時間でのウエハWの温度を50℃とし、処理時間を120秒としてCR処理を実施した場合のパターンPの形状の変化が示されている。「CR(50c):120sec」では、密に形成されたパターンPは、初期形状からL−CDが−8.03nm変化している。一方、粗く形成されたパターンPは、初期形状からL−CDが−8.03nm変化している。「CR(50c):120sec」では、密に形成されたパターンPと粗く形成されたパターンPのL−CDの変化に差がない。
図13は、実施形態に係るパターンの粗密によるCR処理でのパターンの変化の一例を示す図である。図13には、図12の「CR(10C):10sec」と「CR(50c):120sec」について、密に(dense)形成されたライン状のパターンPと、粗く(iso)形成されたライン状のパターンPでの初期形状からL−CDの変化(Δ)が示されている。「CR(50c):120sec」では、密に形成されたパターンPと、粗く形成されたパターンPのL−CDが同様に変化するため、L−CDの変化(Δ)を1対1の正比例で示した破線L1に沿って減少している。一方、「CR(10C):10sec」では、密に形成されたパターンPと、粗く形成されたパターンPのL−CDの変化に差があるため、破線L1からずれている。
このように、CR処理は、ウエハWのSiO膜11に形成されたパターンPの粗密ある場合、同じ処理を行っても、パターンPの粗密に応じて、パターンPのエッチング量が変化する場合がある。例えば、上述した「CR(10C):10sec」のCR処理は、粗く形成されたパターンPが密に形成されたパターンPよりも多くエッチングされ、粗く形成されたパターンPの方が密に形成されたパターンPよりもL−CDの変化が大きくなる。また、CR処理は、パターンPのエッチング量が、反応層を形成する際のウエハWの温度によっても変化量が変化する。
また、CR処理において、パーティクルやウエハWの状態により、パターンPのエッチング量が変化する。このため、CR処理では、パーティクルの除去やウエハWの状態を調整するために加熱、プラズマ処理等の前処理を実施してもよい。
図14は、実施形態に係るプレヒートの有無によるCR処理でのパターンの変化の一例を示す図である。図14の「Base」は、プレヒートを実施せずにCR処理を実施した場合を示している。「With Pre heat」は、ウエハWを300℃に加熱するプレヒートを実施した後にCR処理を実施した場合を示している。図12の「Initial」には、密に(dense)形成されたライン状のパターンPと、粗く(iso)形成されたライン状のパターンPの初期形状が示されている。また、ライン状のパターンPの幅がL−CDとして示されている。また、ライン状のパターンPのLWR、LERが示されている。
図14の「10deg.C,10sec」には、反応層を生成する処理時間でのウエハWの温度を10℃とし、処理時間を10秒としてCR処理を初期形状のパターンPに実施した場合のパターンPの形状、L−CD、LWR、LERが示されている。「50deg.C,120sec」には、反応層を生成する処理時間でのウエハWの温度を50℃とし、処理時間を120秒としてCR処理を初期形状のパターンPに実施した場合のパターンPの形状、L−CD、LWR、LERが示されている。「90deg.C,120sec」には、反応層を生成する処理時間でのウエハWの温度を90℃とし、処理時間を120秒としてCR処理を初期形状のパターンPに実施した場合のパターンPの形状、L−CD、LWR、LERが示されている。
図15は、実施形態に係るプレヒートを実施しないCR処理でのL−CDの変化の一例を示す図である。図15の下部には、図14の「Base」に示した、プレヒートを実施せずにCR処理を実施した際の密に(dense)形成されたライン状のパターンPと、粗く(iso)形成されたライン状のパターンPの初期形状からのL−CDの変化(ΔCD)が示されている。また、図15のグラフには、密に形成されたパターンPおよび粗く形成されたパターンPのL−CDの変化と、L−CDの変化の差が示されている。例えば、処理時間でのウエハWの温度を10℃とした場合は、粗く形成されたパターンPのΔCDが13.054nmであり、密に形成されたパターンPのΔCDが7.366nmであり、ΔCDの差が5.689nmとなっている。また、処理時間でのウエハWの温度を50℃とした場合は、粗く形成されたパターンPのΔCDが8.267nmであり、密に形成されたパターンPのΔCDが6.489nmであり、ΔCDの差が1.779nmとなっている。また、処理時間でのウエハWの温度を90℃とした場合は、粗く形成されたパターンPのΔCDが−4.270nmであり、密に形成されたパターンPのΔCDが−2.143nmであり、ΔCDの差が−2.127nmとなっている。ここで、処理時間でのウエハWの温度を90℃とした場合は、ΔCDがマイナスの値となっており、パターンPの幅が若干増加している。
図16は、実施形態に係るプレヒートを実施したCR処理でのL−CDの変化の一例を示す図である。図16の下部には、図14の「With Pre heat」に示した、プレヒート後にCR処理を実施した際の密に(dense)形成されたライン状のパターンPと、粗く(iso)形成されたライン状のパターンPの初期形状からのL−CDの変化(ΔCD)が示されている。また、図16のグラフには、密に形成されたパターンPおよび粗く形成されたパターンPのL−CDの変化と、L−CDの変化の差が示されている。例えば、処理時間でのウエハWの温度を10℃とした場合は、粗く形成されたパターンPのΔCDが9.130nmであり、密に形成されたパターンPのΔCDが6.929nmであり、ΔCDの差が2.201nmとなっている。また、処理時間でのウエハWの温度を50℃とした場合は、粗く形成されたパターンPのΔCDが8.030nmであり、密に形成されたパターンPのΔCDが8.033nmであり、ΔCDの差が−0.003nmとなっている。また、処理時間でのウエハWの温度を90℃とした場合は、粗く形成されたパターンPのΔCDが−3.180nmであり、密に形成されたパターンPのΔCDが−1.676nmであり、ΔCDの差が−1.504nmとなっている。
このように、CR処理は、反応層を形成する際のウエハWの温度によって、密に形成されたパターンPと、粗く形成されたパターンPのL−CDの変化量が変化する。また、CR処理は、反応層を形成する際のウエハWの温度によって、密に形成されたパターンPと粗く形成されたパターンPのL−CDの変化量の差が変化する。例えば、CR処理は、温度が50℃付近を境界として、温度が低いほど、粗く形成されたパターンPの方が密に形成されたパターンPよりもL−CDの変化が大きくなる。また、CR処理は、温度が50℃付近を境界として、温度が高いほど、密に形成されたパターンPと粗く形成されたパターンPとのL−CDの変化が同等程度になる。よって、CR処理は、反応層を形成する際のウエハWの温度を制御することで、密に形成されたパターンPと、粗く形成されたパターンPの幅をそれぞれ制御できる。
また、CR処理は、プレヒートを実施した場合、密に形成されたパターンPと粗く形成されたパターンPのΔCDの差が小さくなる。しかし、プレヒートを行ったことで、ΔCDが安定し、CR処理ごとの誤差が小さくなるため、パターンPを精度よく変化させることができる。
このように、CR処理は、密に形成されたパターンPと粗く形成されたパターンPの幅をそれぞれ制御できる。これにより、本実施形態に係る基板処理では、成膜処理とCR処理を実施することで、密に形成されたパターンPと粗く形成されたパターンPの幅を制御できる。
図17は、実施形態に係るパターンの粗密によるパターンの幅の変化の一例を示す図である。図17の「Initial」には、密に(dense)形成されたライン状のパターンPと、粗く(iso)形成されたライン状のパターンPの初期形状が示されている。また、ライン状のパターンPの幅がL−CDとして示されている。また、ライン状のパターンPのLWR、LERが示されている。
図17の「ALD」には、ALDを30サイクル実施した場合のパターンPの形状の変化が示されている。「ALD」では、密に形成されたパターンPは、初期形状からL−CDが5.42nm変化している。一方、粗く形成されたパターンPは、初期形状からL−CDが4.11nm変化している。「ALD」では、密に形成されたパターンPと粗く形成されたパターンPとで、L−CDの変化に−1.31nmの差が発生する。
図17の「ALD+CR」には、ALDを30サイクル実施した後、反応層を生成する処理時間を10秒とし、処理時間でのウエハWの温度を10℃としてCR処理を実施した場合のパターンPの形状の変化が示されている。「ALD+CR」では、密に形成されたパターンPは、初期形状からL−CDが−3.86nm変化している。一方、粗く形成されたパターンPは、初期形状からL−CDが−17.64nm変化している。「ALD+CR」では、密に形成されたパターンPと粗く形成されたパターンPとで、L−CDの変化に13.78nmの差が発生する。「ALD+CR」は、密に形成されたパターンPのL−CDの減少を抑えつつ、粗く形成されたパターンPのL−CDを大きく減少させることができる。
図17の「ALD+CR120s」には、ALDを30サイクル実施した後、反応層を生成する処理時間を120秒とし、処理時間でのウエハWの温度を50℃としてCR処理を実施した場合のパターンPの形状の変化が示されている。「ALD+CR120s」では、密に形成されたパターンPは、初期形状からL−CDが−2.68nm変化している。一方、粗く形成されたパターンPは、初期形状からL−CDが−4.58nm変化している。「ALD+CR120s」では、密に形成されたパターンPと粗く形成されたパターンPとで、L−CDの変化に1.91nmの差が発生する。「ALD+CR120s」は、密に形成されたパターンPと粗く形成されたパターンPのL−CDの変化を同程度にできる。
図18は、実施形態に係るパターンの粗密によるパターンの変化の一例を示す図である。図18には、密に(dense)形成されたライン状のパターンPと、粗く(iso)形成されたライン状のパターンPでの初期形状からのL−CDの変化(Δ)が示されている。
「ALD30c」は、ALDを30サイクル実施した場合の密に形成されたパターンPと、粗く形成されたパターンPのL−CDの変化(Δ)が示されている。ALDでは、略一様に成膜されるため、密に形成されたパターンPと粗く形成されたパターンPのL−CDが、L−CDの変化(Δ)を1対1の正比例で示した破線L1に沿って増加する。
また、図18には、「ALD30c」の後に、「CR60s(50C)」、「CR120s(50C)」、「CR10s(10C)」をそれぞれ実施した場合のL−CDの変化(Δ)が示されている。「CR60s(50C)」は、反応層を生成する処理時間を60秒とし、処理時間でのウエハWの温度を50℃としてCR処理を実施した場合を示している。「CR120s(50C)」は、反応層を生成する処理時間を120秒とし、処理時間でのウエハWの温度を50℃としてCR処理を実施した場合を示している。「CR10s(10C)」は、反応層を生成する処理時間を10秒とし、処理時間でのウエハWの温度を10℃としてCR処理を実施した場合を示している。
「CR60s(50C)」および「CR120s(50C)」は、密に形成されたパターンPと、粗く形成されたパターンPのL−CDが、破線L1に沿って減少する。
一方、「CR10s(10C)」は、密に形成されたパターンPの方が、粗く形成されたパターンPよりもL−CDの変化が大きくなっている。
図19は、実施形態に係るパターンの粗密によるパターンの変化の他の一例を示す図である。図19には、密に(dense)形成されたライン状のパターンPと、粗く(iso)形成されたライン状のパターンPでの初期形状からのL−CDの変化(Δ)が示されている。
「ALD60c」は、ALDを60サイクル実施した場合の密に形成されたパターンPと粗く形成されたパターンPのL−CDの変化(Δ)が示されている。ALDでは、密に形成されたパターンPと粗く形成されたパターンPのL−CDが、破線L1に沿って増加する。
また、図19には、「ALD60c」の後に、「CR60s(50C)」、「CR120s(50C)」、「CR10s(10C)」、「CR20s(10C)」をそれぞれ実施した場合のL−CDの変化(Δ)が示されている。「CR60s(50C)」、「CR120s(50C)」および「CR10s(10C)」は、図18にて説明した同様のCR処理を実施した場合を示している。「CR20s(10C)」は、反応層を生成する処理時間を20秒とし、処理時間でのウエハWの温度を10℃としてCR処理を実施した場合を示している。
「CR20s(10C)」は、「CR10s(10C)」の場合よりも、粗く形成されたパターンPの変化が、密に形成されたパターンPの変化よりも大きくなっている。
このように、成膜処理とCR処理を実施することで、密に形成されたパターンPと粗く形成されたパターンPの幅をそれぞれ制御できる。
また、成膜処理とCR処理を実施することで、ライン状のパターンPのLWR、LERが改善する。
図20は、実施形態に係るライン状のパターンのLWR、LERの改善を説明する図である。図20(A)には、ライン状のパターンPが示されている。成膜処理では、パターンPと同種の膜を成膜する。例えば、パターンPがSiO膜に形成されている場合、成膜処理では、CVDによりSiOを成膜する。CVDでは、パターンPの間の幅が広いところに多く成膜され、パターンPの間の幅が狭いところに少なく成膜される。これにより、図20(B)に示すように、ライン状のパターンPは、側面の凹凸が軽減される。しかし、パターンP間の幅は、成膜によって狭くなる。そこで、ライン状のパターンPにCR処理を実施する。例えば、反応層を生成する際のウエハWの温度を50℃としてCR処理を実施する。CR処理は、等方的にエッチングされる。これにより、図20(C)に示すように、パターンP間の幅を当初と同等に戻すことができる。この図20(A)〜(C)に示す成膜処理とCR処理を繰り返し実施することで、ライン状のパターンPのLWR、LERが改善する。
図21は、実施形態に係る成膜処理とCR処理を実施することによるLWR、LERの変化の一例を示す図である。図21の「Initial」には、ライン状のパターンPの初期形状が示されている。また、ライン状のパターンPの幅がL−CDとして示されている。また、ライン状のパターンPのLWR、LERが示されている。
また、図21には、成膜処理として、ALD、QALD、CVDの何れかとCR処理とをそれぞれ組み合わせて1回実施した場合のパターンPの形状の変化と、L−CD、LWR、LERが示されている。LWR、LERは、ALD、QALD、CVDの何れの場合も、低下しており、改善している。成膜処理とCR処理の1回実施では、LWR、LERが改善される値は小さいものの、成膜処理とCR処理を繰り返し実施することで、LWR、LERを改善できる。
なお、本実施形態に係る基板処理では、ウエハWに、マスクとして、SiOなどのシリコン含有膜が形成され、シリコン含有膜に、同種のシリコン含有膜(SiO)を成膜する場合を例に説明したが、これに限定されるものではない。マスクは、SiO膜に限定されず、SiN膜などのハードマスクであってもよい。例えば、ウエハWは、マスクとして、SiNなどのシリコン含有膜が設けられ、当該シリコン含有膜にパターンPが形成されていてもよい。また、成膜処理では、SiNなどのシリコン含有膜にSiOなどの異なる種類のシリコン含有膜を成膜してもよい。また、基板処理では、シリコン含有膜や、有機膜などの膜を成膜してもよい。
また、本実施形態に係る基板処理では、SiO、SiNなどのシリコン含有膜や、有機膜などの膜をマスク上に成膜してもよい。
このように、本実施形態に係る基板処理は、パターンPの形状を制御できるため、パターンPをマスクとして用いてエッチングを行うことで、エッチング対象とする膜の形状を制御できる。
図22は、実施形態に係る基板処理を用いたエッチング処理の一例を示す図である。図22(A)に示すように、ウエハWは、下地となるシリコン(Si)層10上に、Pad−Ox層30が形成されている。Pad−Ox層30は、例えば、ストップ層としてのSiO膜である。Pad−Ox層30上には、SiN層31が形成されている。SiN層31上には、シリコン酸化層(Ox)32が形成されている。シリコン酸化層(Ox)32上には、SiN層33が形成されている。SiN層33には、パターンPが形成されている。
例えば、図22(B)に示すように、SiN層33をマスクとして用いて、ウエハWのシリコン酸化層32をエッチングする。
そして、実施形態に係る成膜処理とCR処理を実施する。図22(C)では、成膜処理により、SiOなどのシリコン含有膜34を成膜する。これにより、Pad−Ox層30およびSiN層31のエッチングにマスクとして用いるシリコン酸化層32およびSiN層33の形状を制御できる。また、パターンPのLWR、LERを改善できる。
そして、図22(D)に示すように、パターンPが形成されたシリコン酸化層32およびSiN層33をマスクとして用いて、ウエハWのPad−Ox層30およびSiN層31をエッチングする。これにより、実施形態に係る基板処理は、Pad−Ox層30およびSiN層31に形成されるパターンPを制御できる。
次に、本実施形態に係る基板処理の流れを簡単に説明する。図23は、実施形態に係る基板処理の流れの一例を示すフローチャートである。ウエハWは、基板処理を実施する際に、搬送機構によって搬送されて加熱装置200およびプラズマ処理装置100に提供される。ウエハWには、例えば、図3(A)に示したようなマスク(図3のSiO膜11参照)が形成されている。
ウエハWのマスク上に膜を成膜する(ステップS10)。例えば、プラズマ処理装置100は、ALDによりウエハWにSiO膜20(図3(B)参照)を成膜する。
次に、ウエハWの状態を調整するために加熱、プラズマ処理、インヒビター吸着等の前処理を実施する(ステップS11)。例えば、プラズマ処理装置100は、ヒータ電源112からヒータ111へ電力を供給してウエハWをプレヒートする。
次に、反応層(例えばAFS)が昇華しないように、ウエハWを100℃以下の所定温度に制御する(ステップS12)。例えば、プラズマ処理装置100は、ヒータ電源112からヒータ111へ供給する電力を制御してヒータ111の発熱量を制御することで、ウエハWを100℃以下の所定温度に制御する。所定温度は、パターンPの形状の制御態様に応じて定める。例えば、ウエハWの温度が50℃付近の場合は、ウエハWの温度が50℃よりも低い温度(例えば、10℃)の場合と比較して、SiO膜のエッチング量が小さくなる。SiO膜を高精度にエッチングしたい場合は、ウエハWの温度を50℃付近に制御する。また、例えば、ウエハWに形成されたパターンPに粗密あると、ウエハWの温度が50℃付近の場合は、ウエハWの温度が50℃よりも低い温度(例えば、10℃)の場合と比較して、粗密のパターンPのL−CDの変化(ΔCD)の差が小さくなる。粗密のパターンPのL−CDを高精度に制御したい場合は、ウエハWの温度を50℃付近に制御する。
次に、ウエハWの表層に反応層を形成する(ステップS13)。例えば、プラズマ処理装置100は、ガス供給部120から、NFガス、NHガス、ArガスなどのCR処理に用いる各種のガスを導入すると共にプラズマを生成する。これにより、ウエハWには、AFSの層が形成される。
次に、ウエハWを加熱して反応層(AFS)を昇華させることで反応層を除去する(ステップS14)。例えば、ウエハWを加熱装置200に搬送し、加熱装置200によりウエハWを100℃以上の所定温度(例えば、300℃)に加熱する。これにより、ウエハWからSiO膜20が除去される。
なお、本実施形態に係る基板処理では、ステップS10〜S14を1回実施する流れを例示したが、必要に応じてステップS10〜S14を複数回繰り返してもよい。
また、基板処理は、ウエハWをエッチングするエッチング処理をさらに含んでもよい。エッチング処理は、パターンPの形状を所望の状態に制御した後に実行する。例えば、ステップS10〜S14を1回実施することでパターンPの形状が所望の状態となる場合、エッチング処理は、ステップS14の後に実行する。
以上のように、本実施形態に係る基板処理では、マスクを設けた基板(ウエハW)のマスク上に膜を成膜する。次に、基板処理では、基板の表層に反応層を形成する。次に、基板処理では、基板にエネルギーを与えて反応層を除去する。これにより、本実施形態に係る基板処理は、基板に形成されたパターンPを所望の状態に制御できる。
また、基板処理では、パターンPの上部側に下部側よりも多くシリコン含有膜を成膜する場合、CVD、QALDの何れか1つ、または、何れか含んだ複数の組み合わせによりシリコン含有膜を成膜し、パターンPの上部側と下部側に同程度シリコン含有膜を成膜する場合、ALDにより成膜する。これにより、本実施形態に係る基板処理は、パターンPの形状を制御できる。
また、基板処理では、成膜する工程、形成する工程および除去する工程を順に複数サイクル繰り返す。これにより、本実施形態に係る基板処理は、パターンPのLWR、LERを改善することができる。
以上、実施形態について説明してきたが、今回開示された実施形態は、全ての点で例示であって制限的なものではないと考えられるべきである。実に、上記した実施形態は、多様な形態で具現され得る。また、上記の実施形態は、請求の範囲およびその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
例えば、実施形態では、処理対象の基板を半導体ウエハとした場合を例に説明したが、これに限定されるものではない。処理対象の基板は、ガラス基板など、他の基板であってもよい。
また、実施形態では、プラズマ処理装置100をICP型のプラズマ処理装置とした場合を例に説明したが、これに限定されるものではない。プラズマ処理装置100は、任意の形式のプラズマ処理装置であってよい。例えば、プラズマ処理装置100は、容量結合型平行平板のプラズマ処理装置であってよい。また、プラズマ処理装置100は、マイクロ波プラズマ、マグネトロンプラズマ、リモートソースで生成したラジカルリッチプラズマを配管等を介して処理室102に供給するリモートソース型などのプラズマ処理装置であってよい。
また、実施形態では、ウエハWの加熱をヒータで行う場合を例に説明したが、これに限定されるものではない。例えば、ウエハWを加熱できれば、何れの加熱方式を用いてもよい。例えば、ウエハWをプラズマや、赤外線ランプ、電子線照射などで加熱してもよい。
また、実施形態では、プラズマ処理装置100と加熱装置200により基板処理を実施する場合を例に説明したが、これに限定されるものではない。実施形態に係る基板処理は、プラズマ処理装置100、加熱装置200以外の装置も組み合わせて実施してもよい。
10 Si層
11 SiO
20 SiO
100 プラズマ処理装置
200 加熱装置
P パターン
W ウエハ

Claims (8)

  1. マスクを備える基板を提供する工程と、
    前記マスク上に膜を成膜する工程と、
    前記基板の表層に反応層を形成する工程と、
    前記基板にエネルギーを与えて前記反応層を除去する工程と、
    を有する基板処理方法。
  2. 前記成膜する工程は、CVD(Chemical Vapor Deposition)、PVD(Physical Vapor Deposition)、ALD(Atomic Layer Deposition)の何れか1つ、または、複数の組み合わせによりシリコン含有膜を成膜する
    請求項1に記載の基板処理方法。
  3. 前記マスクは、パターンを有し、
    前記成膜する工程は、前記パターンの上部側に下部側よりも多くシリコン含有膜を成膜する場合、CVD、QALDの何れか1つ、または、何れか含んだ複数の組み合わせによりシリコン含有膜を成膜し、前記パターンの上部側と下部側に同程度シリコン含有膜を成膜する場合、ALDにより成膜する
    請求項1または2に記載の基板処理方法。
  4. 前記マスクは、粗密にパターンが形成され、
    前記形成する工程は、前記反応層を形成する際の前記基板の温度を制御することで、密に形成されたパターンと粗く形成されたパターンのパターン幅を制御する
    請求項1〜3の何れか1つに記載の基板処理方法。
  5. 前記成膜する工程、前記形成する工程および前記除去する工程を順に複数サイクル繰り返す
    請求項1〜4の何れか1つに記載の基板処理方法。
  6. 前記マスクは、ハードマスクである
    請求項1〜5の何れか1つに記載の基板処理方法。
  7. 前記マスクは、SiOで形成される
    請求項1〜6の何れか1つに記載の基板処理方法。
  8. 前記基板は、被エッチング膜上に前記マスクが設けられ、
    前記反応層を形成する工程の後かつ前記反応層を除去する工程の前、または、前記反応層を除去する工程の後、前記被エッチング膜をエッチングする工程をさらに有する
    請求項1〜7の何れか1項に記載の基板処理方法。
JP2018225894A 2018-11-30 2018-11-30 基板処理方法および基板処理システム Active JP6921799B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2018225894A JP6921799B2 (ja) 2018-11-30 2018-11-30 基板処理方法および基板処理システム
PCT/JP2019/027722 WO2020110363A1 (ja) 2018-11-30 2019-07-12 基板処理方法および基板処理システム
KR1020217018989A KR20210095170A (ko) 2018-11-30 2019-07-12 기판 처리 방법 및 기판 처리 시스템
US17/298,332 US11955337B2 (en) 2018-11-30 2019-07-12 Substrate processing method and substrate processing system
CN201980076470.7A CN113169066B (zh) 2018-11-30 2019-07-12 基片处理方法和基片处理系统
TW108142098A TWI829810B (zh) 2018-11-30 2019-11-20 基板處理方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018225894A JP6921799B2 (ja) 2018-11-30 2018-11-30 基板処理方法および基板処理システム

Publications (3)

Publication Number Publication Date
JP2020088355A true JP2020088355A (ja) 2020-06-04
JP2020088355A5 JP2020088355A5 (ja) 2021-07-26
JP6921799B2 JP6921799B2 (ja) 2021-08-18

Family

ID=70851952

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018225894A Active JP6921799B2 (ja) 2018-11-30 2018-11-30 基板処理方法および基板処理システム

Country Status (5)

Country Link
US (1) US11955337B2 (ja)
JP (1) JP6921799B2 (ja)
KR (1) KR20210095170A (ja)
TW (1) TWI829810B (ja)
WO (1) WO2020110363A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021180807A (ja) * 2020-05-20 2021-11-25 株式会社ニューギン 遊技機

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008053734A (ja) * 2006-08-25 2008-03-06 Interuniv Micro Electronica Centrum Vzw 高アスペクト比ビアエッチング
JP2010192825A (ja) * 2009-02-20 2010-09-02 Tokyo Electron Ltd 基板処理方法
JP2017011127A (ja) * 2015-06-23 2017-01-12 東京エレクトロン株式会社 エッチング方法
JP2017143194A (ja) * 2016-02-10 2017-08-17 Sppテクノロジーズ株式会社 半導体素子の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5140608B2 (ja) 2009-01-16 2013-02-06 株式会社アルバック 真空処理装置及び真空処理方法
WO2017139483A1 (en) * 2016-02-12 2017-08-17 Tokyo Electron Limited Method and apparatus for multi-film deposition and etching in a batch processing system
US9818621B2 (en) * 2016-02-22 2017-11-14 Applied Materials, Inc. Cyclic oxide spacer etch process
CN112786436A (zh) * 2019-11-06 2021-05-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008053734A (ja) * 2006-08-25 2008-03-06 Interuniv Micro Electronica Centrum Vzw 高アスペクト比ビアエッチング
JP2010192825A (ja) * 2009-02-20 2010-09-02 Tokyo Electron Ltd 基板処理方法
JP2017011127A (ja) * 2015-06-23 2017-01-12 東京エレクトロン株式会社 エッチング方法
JP2017143194A (ja) * 2016-02-10 2017-08-17 Sppテクノロジーズ株式会社 半導体素子の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021180807A (ja) * 2020-05-20 2021-11-25 株式会社ニューギン 遊技機

Also Published As

Publication number Publication date
US11955337B2 (en) 2024-04-09
TWI829810B (zh) 2024-01-21
WO2020110363A1 (ja) 2020-06-04
KR20210095170A (ko) 2021-07-30
US20220115235A1 (en) 2022-04-14
JP6921799B2 (ja) 2021-08-18
TW202028503A (zh) 2020-08-01
CN113169066A (zh) 2021-07-23

Similar Documents

Publication Publication Date Title
JP6883495B2 (ja) エッチング方法
TWI657499B (zh) 蝕刻方法
US9171734B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
JP7077108B2 (ja) 被加工物の処理方法
JP7336365B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
TW201705273A (zh) 蝕刻有機膜之方法
US11735423B2 (en) Workpiece processing method
CN110616416A (zh) 基板处理方法及基板处理装置
WO2020110363A1 (ja) 基板処理方法および基板処理システム
TWI821386B (zh) 基板處理方法
JP7071850B2 (ja) エッチング方法
CN109923648B (zh) 处理被处理体的方法
US11264236B2 (en) Substrate processing method
US11201062B2 (en) Method and apparatus for processing a substrate
TW202230511A (zh) 基板處理方法及基板處理裝置
CN114823329A (zh) 基板处理方法和基板处理装置
US11114304B2 (en) Substrate processing method
CN113169066B (zh) 基片处理方法和基片处理系统
JP2017183487A (ja) 半導体装置の製造方法および基板処理装置
TW202213517A (zh) 基板處理方法及電漿處理裝置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210614

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210614

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20210614

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210629

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210728

R150 Certificate of patent or registration of utility model

Ref document number: 6921799

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150