JP2019207707A - 異種3次元回路スタック、システム、方法および装置 - Google Patents

異種3次元回路スタック、システム、方法および装置 Download PDF

Info

Publication number
JP2019207707A
JP2019207707A JP2019125214A JP2019125214A JP2019207707A JP 2019207707 A JP2019207707 A JP 2019207707A JP 2019125214 A JP2019125214 A JP 2019125214A JP 2019125214 A JP2019125214 A JP 2019125214A JP 2019207707 A JP2019207707 A JP 2019207707A
Authority
JP
Japan
Prior art keywords
processor
graphics
data
logic
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019125214A
Other languages
English (en)
Other versions
JP7000643B2 (ja
Inventor
コーカー、アルトゥグ
Koker Altug
ストリラマッサルマ、ラクシュミナラヤナン
Striramassarma Lakshminarayanan
アリ、アキフ
Ali Akif
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2019207707A publication Critical patent/JP2019207707A/ja
Application granted granted Critical
Publication of JP7000643B2 publication Critical patent/JP7000643B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T1/00General purpose image data processing
    • G06T1/20Processor architectures; Processor configuration, e.g. pipelining
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/08Digital computers in general; Data processing equipment in general using a plugboard for programming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T1/00General purpose image data processing
    • G06T1/60Memory management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T11/002D [Two Dimensional] image generation
    • G06T11/001Texturing; Colouring; Generation of texture or colour
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T15/003D [Three Dimensional] image rendering
    • G06T15/005General purpose rendering architectures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T15/003D [Three Dimensional] image rendering
    • G06T15/08Volume rendering
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T15/003D [Three Dimensional] image rendering
    • G06T15/50Lighting effects
    • G06T15/80Shading
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2200/00Indexing scheme for image data processing or generation, in general
    • G06T2200/28Indexing scheme for image data processing or generation, in general involving image processing hardware
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/10Packet switching elements characterised by the switching fabric construction
    • H04L49/109Integrated on microchip, e.g. switch-on-chip

Abstract

【課題】プロセッサ内の複数のグラフィックスプロセッサコアを相互接続するハイブリッドファブリックを提供する。【解決手段】第1の層1200は、3つのグラフックスコアを含み、第1のグラフィックスコア1202は、第3のグラフィックスコア1206を介して第2のグラフィックスコア1204と結合する。第3のグラフィックスコア1206は、1または複数のシリコン貫通ビアを介して第2の層1210において6つのグラフィックスコア1216と結合する。ハイブリッドファブリックを介して、複数のグラフィックスコアは、共有ピクセルバックエンドハードウェアのような複数のグラフィックスコアにより共有される共有メモリおよび他の複数の共通リソースを含む、複数の共有リソース1230と結合して通信する。【選択図】図12A

Description

本実施形態は、概ね情報処理に関し、より詳細にはスケーラブルグラフィックスプロセッサにおいて用いるためのデータ配信ファブリックに関する。
複数のマルチコアグラフィックス処理ユニットは、システムオンチップ(SOC)集積回路からハイエンドのディスクリートグラフィックスプロセッサに及ぶ複数のコンピューティングシステム間で標準化されている。ハイエンドグラフィックスの実際においては、マルチコアソリューションは、グラフィックス処理の性能を向上させる主な方法である。SOCのソリューションについては、複数のマルチコアグラフィックスプロセッサを用いることにより、システム電力の低減を可能にしつつ、グラフィックスプロセッサの高いワークロード期間中の高性能を可能にする。
しかし、コンポーネント内においてグラフィックスコアの数が増えると、複数の電力および性能セグメントに及ぶグラフィックス処理ソリューションを開発するときに、スケーラビリティの問題が生じる。複数のハイエンドグラフィックス製品において用いられるマルチコア通信ソリューションは、コストおよび電力消費量の問題があるので、低電力製品に好適ではない。一般に、低電力のグラフィックスにおいて用いられる通信ソリューションは、ハイエンドグラフィックスソリューションに十分な性能を提供していない。例えば、あるグラフィックスコア通信ソリューションは、一意のデータ配信チャネルを介して様々なグラフィックスプロセッサを結合することである。
しかし、一意の通信チャネルを用いると、複数の電力および性能セグメントに及ぶことが意図されるグラフィックスプロセッサの設計に対する困難を生じる。
以下の説明は、様々な実施形態の実装の例として与えられる図示を有する図面の検討を含む。図面は、限定としてではなく例として理解されるべきである。
プロセッサが1または複数のプロセッサコアおよびグラフィックスプロセッサを有するコンピュータシステムの実施形態のブロック図である。 プロセッサが1または複数のプロセッサコア、統合メモリコントローラ、および統合グラフィックスプロセッサを有する一実施形態のブロック図である。 ディスクリートグラフィックス処理ユニットであり得るか、または複数のプロセッシングコアと統合されたグラフィックスプロセッサであり得る、グラフィックスプロセッサの一実施形態のブロック図である。 グラフィックスプロセッサ用のグラフィックス処理エンジンの実施形態のブロック図である。 グラフィックスプロセッサの別の実施形態のブロック図である。 複数の処理要素のアレイを含むスレッド実行ロジックのブロック図である。 一実施形態によるグラフィックスプロセッサ実行ユニット命令フォーマットを図示する。 グラフィックスパイプライン、メディアパイプライン、ディスプレイエンジン、スレッド実行ロジック、およびレンダリング出力パイプラインを含むグラフィックスプロセッサの別の実施形態のブロック図である。 一実施形態によるグラフィックスプロセッサコマンドフォーマットを図示するブロック図である。 一実施形態によるグラフィックスプロセッサコマンドシーケンスを図示するブロック図である。 一実施形態のよるデータ処理システムのための例示的なグラフィックスソフトウェアアーキテクチャを図示する。 グラフィックスコアファブリックアセンブリの実施形態のブロック図である。 複数の例示的なグラフィックスコアトポロジを図示する。 複数の例示的なグラフィックスコアトポロジを図示する。 データ配信ファブリックを含むスタック集積回路の実施形態のブロック図である。 実施形態による、複数の仮想チャネルにわたって搬送される複数のトラフィッククラスの図示である。 実施形態による、データ送信シーケンスのフロー図である。
以下の説明は、プロセッサ、コンピュータシステム、もしくは他の処理装置内に含まれ、またはこれらに関連付けられた複数のスケーラブルなグラフィックス処理ユニットにおいて用いるためのデータ配信ファブリック用の処理ロジックを説明する。説明を目的として、様々な具体的な詳細が記載され、下記の様々な実施形態に対する完全な理解を提供する。しかし、これらの具体的な詳細のうちのいくつかがなくても、本実施形態が実施され得ることが当業者には明らかであろう。他の例において、基礎となる原理を不明瞭にするのを避け、複数の実施形態のより完全な理解を提供するべく、周知の複数の構造体およびデバイスがブロック図の形態で示される。
以下の複数の実施形態のいくつかは、プロセッサを参照して説明されているが、類似の複数の技術および教示は、他のタイプの回路または半導体デバイスに適用され得る。教示は、データ操作を実行するいずれのプロセッサまたは機械にも適用可能であるからである。
[概要−図1〜3]
図1は、実施形態による、データ処理システム100のブロック図である。データ処理システム100は、1または複数のプロセッサ102と、1または複数のグラフィックスプロセッサ108とを含み、多数のプロセッサ102またはプロセッサコア107を有するシングルプロセッサのデスクトップシステム、マルチプロセッサのワークステーションシステム、またはサーバシステムであってもよい。一実施形態において、データ処理システム100は、モバイル、ハンドヘルド型、またはエンベデッドデバイスにおいて用いるためのシステムオンチップ集積回路(SOC)である。
データ処理システム100の実施形態は、ゲームおよびメディアコンソール、モバイルゲームコンソール、ハンドヘルド型ゲームコンソール、またはオンラインゲームコンソールを含む、サーバベースのゲームプラットフォームまたはゲームコンソールを含み、またはこれらの内部に組み込まれ得る。一実施形態において、データ処理システム100は、携帯電話、スマートフォン、タブレットコンピューティングデバイス、またはモバイルインターネットデバイスである。また、データ処理システム100は、スマートウォッチウェアラブルデバイス、スマートアイウェアデバイス、拡張現実感デバイス、またはバーチャルリアリティデバイス等のウェアラブルデバイスも含み、これらと結合し、またはこれらの内部に統合され得る。一実施形態において、データ処理システム100は、1または複数のプロセッサ102と、1または複数のグラフィックスプロセッサ108により生成されるグラフィカルインターフェースとを有するテレビまたはセットトップボックスデバイスである。
1または複数のプロセッサ102は各々、実行されると、システムおよびユーザソフトウェアのための複数のオペレーションを実行する複数の命令を処理する、1または複数のプロセッサコア107を含む。一実施形態において、1または複数のプロセッサコア107の各々は、特定の命令セット109を処理するように構成される。命令セット109は、複合命令セット演算(CISC)、縮小命令セット演算(RISC)、または超長命令語(VLIW)による演算を容易にし得る。複数のプロセッサコア107は各々、複数の他の命令セットのエミュレーションを容易にする複数の命令を含み得る、異なる命令セット109を処理し得る。プロセッサコア107は、デジタル信号プロセッサ(DSP)等の複数の他の処理デバイスも含み得る。
一実施形態において、1または複数のプロセッサ102の各々は、キャッシュメモリ104を含む。アーキテクチャに応じて、プロセッサ102は、単一の内部キャッシュまたは複数のレベルの内部キャッシュを有し得る。一実施形態において、キャッシュメモリは、プロセッサ102の様々なコンポーネント間で共有される。一実施形態において、プロセッサ102は、既知の複数のキャッシュコヒーレンシ技術を用いる複数のプロセッサコア107間で共有され得る外部キャッシュ(例えば、レベル3(L3)キャッシュまたはラストレベルキャッシュ(LLC))(図示せず)も用いる。更に、レジスタファイル106は、複数の異なるタイプのデータを格納する複数の異なるタイプのレジスタ(例えば、整数レジスタ、浮動小数点レジスタ、ステータスレジスタ、および命令ポインタレジスタ)を含み得る、プロセッサ102内に含まれる。いくつかのレジスタは、汎用レジスタであり得るが、他のレジスタは、プロセッサ102の設計に固有であってもよい。
プロセッサ102は、システム100におけるプロセッサ102と複数の他のコンポーネントとの間で複数のデータ信号を送信するべく、プロセッサバス110と結合される。システム100は、メモリコントローラハブ116および入出力(I/O)コントローラハブ130を含む、例示的な「ハブ」システムアーキテクチャを用いる。メモリコントローラハブ116は、システム100のメモリデバイスと複数の他のコンポーネントとの間の通信を容易にするが、I/Oコントローラハブ(ICH)130は、ローカルI/Oバスを介してI/Oデバイスへの複数の接続を提供する。
メモリデバイス120は、処理メモリとして機能する好適な性能を有する、ダイナミックランダムアクセスメモリ(DRAM)デバイス、スタティックランダムアクセスメモリ(SRAM)デバイス、フラッシュメモリデバイス、またはいくつかの他のメモリデバイスであり得る。メモリ120は、プロセッサ102が処理を実行するときに用いられるデータ122および複数の命令121を格納し得る。メモリコントローラハブ116は、任意選択の外部グラフィックスプロセッサ112にも結合し、任意選択の外部グラフィックスプロセッサ112は、グラフィックスおよびメディアオペレーションを実行するべく、プロセッサ102において1または複数のグラフィックスプロセッサ108と通信し得る。
ICH130は、複数の周辺機器が高速I/Oバスを介してメモリ120およびプロセッサ102に接続することを可能にする。I/O周辺機器は、オーディオコントローラ146、ファームウェアインターフェース128、無線トランシーバ126(例えば、Wi−Fi、Bluetooth(登録商標))、データストレージデバイス124(例えば、ハードディスクドライブ、フラッシュメモリ等)、および複数のレガシ(例えば、パーソナルシステム2(PS/2))デバイスをシステムと結合するためのレガシI/Oコントローラを含む。1または複数のユニバーサルシリアルバス(USB)コントローラ142は、キーボードおよびマウス144の組み合わせのような複数の入力デバイスを接続する。ネットワークコントローラ134も、ICH130と結合し得る。一実施形態において、高性能ネットワークコントローラ(図示せず)は、プロセッサバス110と結合する。
図2は、1または複数のプロセッサコア202A〜N、統合メモリコントローラ214、および統合グラフィックスプロセッサ208を有するプロセッサ200の実施形態のブロック図である。プロセッサ200は、破線ボックスにより表される追加のコア202Nまでの複数の追加のコアを含み得る。コア202A〜Nの各々は、1または複数の内部キャッシュユニット204A〜Nを含む。一実施形態において、各コアは、1または複数の共有キャッシュユニット206へのアクセスも有する。
内部キャッシュユニット204A〜N、および共有キャッシュユニット206は、プロセッサ200内におけるキャッシュメモリ階層を表す。キャッシュメモリ階層は、各コア内の少なくとも1つのレベルの複数の命令およびデータキャッシュ、ならびにレベル2(L2)、レベル3(L3)、レベル4(L4)、または複数の他のレベルのキャッシュのような1または複数のレベルの中間レベルの共有キャッシュを含み得、外部メモリの前の最高レベルのキャッシュは、ラストレベルキャッシュ(LLC)として分類される。一実施形態において、キャッシュコヒーレンシロジックは、様々なキャッシュユニット206および204A〜N間のコヒーレンシを維持する。
プロセッサ200は、1または複数のバスコントローラユニット216およびシステムエージェント210のセットも含み得る。1または複数のバスコントローラユニットは、1または複数の周辺構成要素相互接続バス(例えば、PCI、PCIエクスプレス)のような複数の周辺機器バスのセットを管理する。システムエージェント210は、様々なプロセッサコンポーネントのための管理機能を提供する。一実施形態において、システムエージェント210は、様々な外部メモリデバイス(図示せず)へのアクセスを管理するべく、1または複数の統合メモリコントローラ214を含む。
一実施形態において、コア202A〜Nのうちの1または複数は、同時のマルチスレッディングのためのサポートを含む。そのような実施形態において、システムエージェント210は、マルチスレッド処理中にコア202A〜Nを調整および動作させるための複数のコンポーネントを含む。更に、システムエージェント210は、電力制御ユニット(PCU)を含み得、電力制御ユニットは、コア202A〜Nおよびグラフィックスプロセッサ208の電力状態を調整するロジックおよび複数のコンポーネントを含む。
更に、プロセッサ200は、複数のグラフィックス処理オペレーションを実行するグラフィックスプロセッサ208を含む。一実施形態において、グラフィックスプロセッサ208は、共有キャッシュユニット206、および1または複数の統合メモリコントローラ214を含むシステムエージェントユニット210のセットと結合する。一実施形態において、ディスプレイコントローラ211は、1または複数の結合されたディスプレイへのグラフィックスプロセッサの出力を駆動するべく、グラフィックスプロセッサ208と結合される。ディスプレイコントローラ211は、少なくとも1つの相互接続を介してグラフィックスプロセッサと結合された別個のモジュールであってもよく、またはグラフィックスプロセッサ208もしくはシステムエージェント210内に統合されてもよい。
一実施形態において、リングベースの相互接続ユニット212は、プロセッサ200の複数の内部コンポーネントを結合するべく用いられる。しかし、当技術分野において周知の複数の技術を含む、ポイントツーポイント相互接続、スイッチ相互接続、または他の複数の技術等、代替的な相互接続ユニットが用いられてもよい。一実施形態において、グラフィックスプロセッサ208は、I/Oリンク213を介してリング相互接続212と結合する。
例示的なI/Oリンク213は、様々なプロセッサコンポーネントとeDRAMモジュールのような高性能エンベデッドメモリモジュール218との間の通信を容易にするパッケージI/O相互接続を含む、複数の様々なI/O相互接続のうちの少なくとも1つを表す。一実施形態において、コア202A〜Nおよびグラフィックスプロセッサ208の各々は、共有ラストレベルキャッシュとしてエンベデッドメモリモジュール218を用いる。
一実施形態において、コア202A〜Nは、同一の命令セットアーキテクチャを実行する同種のコアである。別の実施形態において、コア202A〜Nは、命令セットアーキテクチャ(ISA)の観点からは異種であり、コア202A〜Nのうちの1または複数は、第1の命令セットを実行するが、複数の他のコアのうちの少なくとも1つは、第1の命令セットまたは異なる命令セットのサブセットを実行する。
プロセッサ200は、いくつかの処理技術、例えば、相補型金属酸化膜半導体(CMOS)、バイポーラ接合/相補型金属酸化膜半導体(BiCMOS)またはN型金属酸化膜半導体ロジック(NMOS)のいずれかを用いる、1または複数の基板の一部であるか、またはこれらの上に実装され得る。更に、プロセッサ200は、複数の他のコンポーネントに加えて、1または複数のチップ上に実装され、または図示される複数のコンポーネントを有するシステムオンチップ(SOC)集積回路として実装され得る。
図3は、ディスクリートグラフィックス処理ユニットであり得るか、または複数のプロセッシングコアと統合されたグラフィックスプロセッサであり得る、グラフィックスプロセッサ300の一実施形態のブロック図である。一実施形態において、グラフィックスプロセッサは、グラフィックスプロセッサ上の複数のレジスタにメモリマッピングされたI/Oインターフェースと、プロセッサメモリ内に置かれる複数のコマンドにより通信される。グラフィックスプロセッサ300は、メモリにアクセスするためのメモリインタフェース314を含む。メモリインタフェース314は、ローカルメモリ、1または複数の内部キャッシュ、1または複数の共有外部キャッシュ、および/またはシステムメモリへのインターフェースであり得る。
グラフィックスプロセッサ300は、ディスプレイ出力データをディスプレイデバイス320に駆動するディスプレイコントローラ302も含む。ディスプレイコントローラ302は、ディスプレイ用の1または複数のオーバーレイ平面のためのハードウェアと、動画またはユーザインターフェース要素の複数の層の構成物とを含む。一実施形態において、グラフィックスプロセッサ300は、MPEG2のようなムービングピクチャエクスパーツグループ(MPEG)フォーマット、H.264/MPEG4 AVCのようなアドバンスドビデオコーディング(AVC)フォーマット、および米国映画テレビ技術者協会(SMPTE)421M/VC−1、ならびにジョイントフォトグラフィックエクスパーツグループ(JPEG)、およびモーションJPEG(MJPEG)フォーマットのようなJPEGフォーマットを含むがこれらに限定されない、1または複数のメディアエンコードフォーマットへ、これらから、またはこれらの間でメディアをエンコード、デコード、またはトランスコードするビデオコーデックエンジン306を含む。
一実施形態において、グラフィックスプロセッサ300は、例えば、ビット境界ブロック転送を含む、複数の2次元(2D)ラスタライザオペレーションを実行するブロック画像転送(BLIT)エンジン304を含む。しかし、一実施形態において、複数の2Dグラフィックスオペレーションは、グラフィックス処理エンジン(GPE)310の1または複数のコンポーネントを用いて実行される。グラフィックス処理エンジン310は、複数の3次元(3D)グラフィックスオペレーションおよびメディアオペレーションを含む、グラフィックスオペレーションを実行するためのコンピューティングエンジンである。
GPE310は、3Dプリミティブ図形(例えば、矩形、トライアングル等)に対して機能する複数の処理機能を用いて、複数の3次元画像およびシーンをレンダリングするなど、複数の3Dオペレーションを実行するための3Dパイプライン312を含む。3Dパイプライン312は、要素内の様々なタスクを実行し、および/または3D/メディアサブシステム315に複数の実行スレッドをスポーン(spawn)するプログラミング可能な複数の固定関数要素を含む。3Dパイプライン312は、複数のメディアオペレーションを実行するべく用いられ得るが、GPE310の実施形態は、具体的には、動画の後処理および画像強調等の複数のメディアオペレーションを実行するべく用いられるメディアパイプライン316も含む。
一実施形態において、メディアパイプライン316は、ビデオコーデックエンジン306の代替に、またはこれの代わりに動画デコードの加速、動画のインターレース解除、および動画エンコードの加速等、1または複数の専用メディアオペレーションを実行する、固定関数またはプログラミング可能なロジックユニットを含む。一実施形態において、メディアパイプライン316は更に、3D/メディアサブシステム315において実行するための複数のスレッドをスポーンする、スレッドスポーンユニットを含む。スポーンされた複数のスレッドは、3D/メディアサブシステムに含まれる1または複数のグラフィックス実行ユニット上で複数のメディアオペレーションのための演算を実行する。
3D/メディアサブシステム315は、3Dパイプライン312およびメディアパイプライン316によりスポーンされた複数のスレッドを実行するためのロジックを含む。一実施形態において、複数のパイプラインは、複数のスレッド実行要求を3D/メディアサブシステム315に送信する。3D/メディアサブシステム315は、利用可能な複数のスレッド実行リソースに対する様々な要求を調整およびディスパッチするためのスレッドディスパッチロジックを含む。複数の実行リソースは、3Dおよびメディアスレッドを処理する複数のグラフィックス実行ユニットのアレイを含む。一実施形態において、3D/メディアサブシステム315は、複数のスレッド命令およびデータのための1または複数の内部キャッシュを含む。一実施形態において、サブシステムは、複数のスレッド間のデータを共有し、出力データを格納する複数のレジスタおよびアドレス指定可能メモリを含む、共有メモリも含む。
[3D/メディア処理−図4]
図4は、グラフィックスプロセッサ用のグラフィックス処理エンジン410の実施形態のブロック図である。一実施形態において、グラフィックス処理エンジン(GPE)410は、図3に示されるGPE310の1つのバージョンである。GPE410は、3Dパイプライン412およびメディアパイプライン416を含み、その各々は、図3の3Dパイプライン312およびメディアパイプライン316の実装と異なるか、またはこれに類似するかのいずれかであり得る。
一実施形態において、GPE410は、コマンドストリーマ403と結合し、コマンドストリーマ403は、コマンドストリームをGPE3Dパイプライン412およびメディアパイプライン416に提供する。コマンドストリーマ403は、システムメモリ、または内部キャッシュメモリおよび共有キャッシュメモリのうちの1または複数であり得るメモリと結合される。コマンドストリーマ403は、メモリから複数のコマンドを受信し、これらのコマンドを3Dパイプライン412および/またはメディアパイプライン416に送信する。3Dパイプラインおよびメディアパイプラインは、各パイプライン内のロジックによる複数のオペレーションを実行し、または1または複数の実行スレッドを実行ユニットアレイ414にディスパッチすることにより、複数のコマンドを処理する。一実施形態において、実行ユニットアレイ414はスケーラブルであり、その結果、アレイは、GPE410のターゲット電力および性能レベルに基づいて変わり得る数の実行ユニットを含む。
サンプリングエンジン430は、メモリ(例えば、キャッシュメモリまたはシステムメモリ)および実行ユニットアレイ414と結合する。一実施形態において、サンプリングエンジン430は、実行ユニットアレイ414がグラフィックスおよびメディアデータをメモリから読み取ることを可能にするスケーラブルな実行ユニットアレイ414のためのメモリアクセスメカニズムを提供する。一実施形態において、サンプリングエンジン430は、メディアに対する複数の専用画像サンプリングオペレーションを実行するロジックを含む。
サンプリングエンジン430における専用メディアサンプリングロジックは、ノイズ除去/インターレース解除モジュール432、動き推定モジュール434、および画像スケーリング・フィルタリングモジュール436を含む。ノイズ除去/インターレース解除モジュール432は、デコードされる動画データに対してノイズ除去またはインターレース解除アルゴリズムのうちの1または複数を実行するロジックを含む。インターレース解除ロジックは、インターレース済みの動画コンテンツの複数の交互フィールドを組み合わせて単一のフレームの動画にする。ノイズ除去ロジックは、動画および画像データからデータノイズを低減または除去する。一実施形態において、ノイズ除去ロジックおよびインターレース解除ロジックは、動き適応型であり、動画データにおいて検出された動きの量に基づく空間的または時間的フィルタリングを用いる。一実施形態において、ノイズ除去/インターレース解除モジュール432は、(例えば、動き推定エンジン434内に)専用の動き検出ロジックを含む。
動き推定エンジン434は、動きベクトル推定および動画データに関する予測等の複数の動画加速機能を実行することにより、複数の動画オペレーションのためのハードウェアの加速を提供する。動き推定エンジンは、複数の連続的動画フレーム間の画像データ変換を記載する複数の動きベクトルを決定する。一実施形態において、グラフィックスプロセッサのメディアコーデックは、別の場合には汎用プロセッサの使用を実行するべく演算集約的であり得るマクロブロックレベルで動画に複数のオペレーションを実行する、動画動き推定エンジン434を用いる。一実施形態において、動き推定エンジン434は一般に、動画データ内の動きの方向または大きさに感応性または適応性である動画デコードおよび複数の処理機能を支援するべく、複数のグラフィックスプロセッサコンポーネントに利用可能である。
画像スケーリング・フィルタリングモジュール436は、生成される画像および動画の視覚的質を高めるべく複数の画像処理オペレーションを実行する。一実施形態において、画像スケーリング・フィルタリングモジュール436は、データを実行ユニットアレイ414に提供する前に、サンプリングオペレーション中に画像および動画データを処理する。
一実施形態において、グラフィックス処理エンジン410は、データポート444を含み、データポート444は、メモリにアクセスするために複数のグラフィックスサブシステム用の追加のメカニズムを提供する。データポート444は、レンダリングターゲット書き込み、コンスタントバッファ読み取り、スクラッチメモリ空間の読み取り/書き込み、およびメディアサーフェスアクセスを含む複数のオペレーションのためのメモリアクセスを容易にする。一実施形態において、データポート444は、メモリへの複数のアクセスをキャッシュするキャッシュメモリ空間を含む。キャッシュメモリは、1つのデータキャッシュであり、またはデータポートを介してメモリにアクセスする複数のサブシステム用の複数のキャッシュ(例えば、レンダリングバッファキャッシュ、コンスタントバッファキャッシュ等)に分離され得る。一実施形態において、実行ユニットアレイ414における実行ユニット上で実行される複数のスレッドは、グラフィックス処理エンジン410の複数のサブシステムの各々を結合するデータ配信相互接続を介して複数のメッセージを交換することにより、データポートと通信する。
[実行ユニット−図5〜図7]
図5は、スケーラブルな数のグラフィックスコアを有するグラフィックスプロセッサの別の実施形態のブロック図である。一実施形態において、グラフィックスプロセッサは、リング相互接続502、パイプラインフロントエンド504、メディアエンジン537、およびグラフィックスコア580A〜Nを含む。リング相互接続502は、複数の他のグラフィックスプロセッサ、または1もしくは複数の汎用プロセッサコアを含む複数の他の処理ユニットに、グラフィックスプロセッサを結合する。一実施形態において、グラフィックスプロセッサは、マルチコア処理システム内に統合される多くのプロセッサのうちの1つである。
グラフィックスプロセッサは、リング相互接続502を介して複数のコマンドのバッチを受信する。複数の受信コマンドは、パイプラインフロントエンド504におけるコマンドストリーマ503により変換される。グラフィックスプロセッサは、グラフィックスコア580A〜Nを介して3Dジオメトリ処理およびメディア処理を実行する、スケーラブルな実行ロジックを含む。3Dジオメトリ処理コマンドについては、コマンドストリーマ503は、複数のコマンドをジオメトリパイプライン536に提供する。少なくともいくつかのメディア処理コマンドについては、コマンドストリーマ503は、複数のコマンドを、メディアエンジン537と結合するビデオフロントエンド534に提供する。メディアエンジン537は、動画および画像の後処理のための動画質エンジン(VQE)530と、ハードウェアにより加速されるメディアデータのエンコードおよびデコードを提供するマルチフォーマットエンコード/デコード(MFX)エンジン533とを含む。ジオメトリパイプライン536およびメディアエンジン537は各々、少なくとも1つのグラフィックスコア580Aにより提供されるスレッド実行リソース用の複数の実行スレッドを生成する。
グラフィックスプロセッサは、モジュラコア580A〜N(コアスライスと称される場合がある)を特徴付けるスケーラブルなスレッド実行リソースを含み、各々は、複数のサブコア550A〜N、560A〜N(コアサブスライスと称される場合がある)を有する。グラフィックスプロセッサは、任意の数のグラフィックスコア580A〜580Nを有し得る。一実施形態において、グラフィックスプロセッサは、少なくとも第1のサブコア550Aおよび第2のサブコア560Aを有するグラフィックスコア580Aを含む。別の実施形態において、グラフィックスプロセッサは、1つのサブコア(例えば550A)を有する低電力プロセッサである。一実施形態において、グラフィックスプロセッサは、複数のグラフィックスコア580A〜Nを含み、それらの各々は、複数の第1のサブコア550A〜Nのセットと、複数の第2のサブコア560A〜Nのセットとを含む。複数の第1のサブコア550A〜Nのセットにおける各サブコアは、少なくとも複数の実行ユニット552A〜Nと、複数のメディア/テクスチャサンプラ554A〜Nとの第1のセットを含む。複数の第2のサブコア560A〜Nのセットにおける各サブコアは、少なくとも複数の実行ユニット562A〜Nと、複数サンプラ564A〜Nとの第2のセットを含む。一実施形態において、各サブコア550A〜N、560A〜Nは、複数の共有リソース570A〜Nのセットを共有する。一実施形態において、複数の共有リソースは、共有キャッシュメモリおよびピクセルオペレーションロジックを含む。他の複数の共有リソースも、グラフィックスプロセッサの様々な実施形態に含まれてもよい。
図6は、複数の処理要素のアレイを含むスレッド実行ロジック600の実施形態を図示する。一実施形態において、スレッド実行ロジック600は、ピクセルシェーダ602、スレッドディスパッチャ604、命令キャッシュ606、複数の実行ユニット608A〜Nを含むスケーラブルな実行ユニットアレイ、サンプラ610、データキャッシュ612、およびデータポート614を含む。一実施形態において、含まれる複数のコンポーネントは、複数のコンポーネントの各々とリンクする相互接続ファブリックを介して相互接続される。スレッド実行ロジック600は、命令キャッシュ606、データポート614、サンプラ610、および実行ユニットアレイ608A〜Nのうちの1または複数を介して、システムメモリまたはキャッシュメモリのようなメモリへの1または複数の接続を含む。一実施形態において、各実行ユニット(例えば608A)は、複数の同時のスレッドを実行してスレッド毎に並行に複数のデータ要素を処理することができる個別のベクトルプロセッサである。実行ユニットアレイ608A〜Nは、任意の数の個別の実行ユニットを含む。
一実施形態において、実行ユニットアレイ608A〜Nは主に、「シェーダ」プログラムを実行するべく用いられる。一実施形態において、アレイ608A〜Nにおける実行ユニットは、多くの標準的3Dグラフィックスシェーダ命令に対するネイティブサポートを含む命令セットを実行し、従って複数のグラフィックスライブラリからのシェーダプログラム(例えば、Direct 3DおよびOpenGL)は、最小の変換で実行される。複数の実行ユニットは、頂点およびジオメトリ処理(例えば、頂点プログラム、ジオメトリプログラム、頂点シェーダ)、ピクセル処理(例えば、ピクセルシェーダ、フラグメントシェーダ)、および汎用処理(例えば、演算およびメディアシェーダ)をサポートする。
実行ユニットアレイ608A〜Nにおける各実行ユニットは、複数のデータ要素のアレイ上で動作する。データ要素の数は、命令に対する「実行サイズ」またはチャネルの数である。実行チャネルは、データ要素のアクセス、マスキング、および複数の命令内のフロー制御のための実行の論理ユニットである。チャネルの数は、特定のグラフィックスプロセッサに対する物理ALUまたはFPUの数から独立している場合がある。実行ユニット608A〜Nは、整数および浮動小数点のデータタイプをサポートする。
実行ユニット命令セットは、複数の単一命令多重データ(SIMD)命令を含む。様々なデータ要素は、パックドデータのタイプとしてレジスタに格納され得、実行ユニットは、複数の要素のデータサイズに基づいて様々な要素を処理する。例えば、256ビット幅のベクトル上で動作する場合、ベクトルの256ビットは、レジスタに格納され、実行ユニットは、4個の別個の64ビットパックドデータ要素(クワッドワード(QW)サイズのデータ要素)、8個の別個の32ビットパックドデータ要素(ダブルワード(DW)サイズのデータ要素)、16個の別個の16ビットパックドデータ要素(ワード(W)サイズのデータ要素)、または32個の別個の8ビットデータ要素(バイト(B)サイズのデータ要素)としてベクトル上で動作する。しかし、異なる複数のベクトル幅およびレジスタサイズが可能である。
1または複数の内部命令キャッシュ(例えば、606)は、スレッド実行ロジック600に含まれ、実行ユニットのための複数のスレッド命令をキャッシュする。一実施形態において、スレッド実行中にスレッドデータをキャッシュする1または複数のデータキャッシュ(例えば612)が含まれる。複数の3Dオペレーションのためのテクスチャサンプリングおよび複数のメディアオペレーションのためのメディアサンプリングを提供するサンプラ610が含まれる。一実施形態において、サンプラ610は、サンプリングされたデータを実行ユニットに提供する前に、サンプリング処理中にテクスチャまたはメディアデータを処理する専用テクスチャまたはメディアサンプリング機能を含む。
実行中に、グラフィックスおよびメディアパイプラインは、スレッドスポーンおよびディスパッチロジックにより複数のスレッド開始要求をスレッド実行ロジック600に送信する。スレッド実行ロジック600は、グラフィックスおよびメディアパイプラインからの複数のスレッド開始要求を調整し、1または複数の実行ユニット608A〜N上で要求された複数のスレッドをインスタンス化する、ローカルのスレッドディスパッチャ604を含む。例えば、ジオメトリパイプライン(例えば、図5の536)は、頂点処理、テセレーション、またはジオメトリ処理のスレッドをスレッド実行ロジック600にディスパッチする。スレッドディスパッチャ604は、実行する複数のシェーダプログラムからのランタイムスレッドスポーン要求も処理し得る。
複数の幾何学的オブジェクトのグループが処理され、ピクセルデータにラスタライズすると、ピクセルシェーダ602が呼び出され、更に出力情報を演算することで、結果が出力面に書き込まれる(例えば、色バッファ、デプスバッファ、ステンシルバッファ等)。一実施形態において、ピクセルシェーダ602は、ラスタライズされたオブジェクトにわたって補間される様々な頂点属性の値を算出する。次に、ピクセルシェーダ602は、APIにより提供されるピクセルシェーダプログラムを実行する。ピクセルシェーダプログラムを実行するべく、ピクセルシェーダ602は、スレッドディスパッチャ604により複数のスレッドを実行ユニット(例えば608A)にディスパッチする。ピクセルシェーダ602は、メモリに格納された複数のテクスチャマップにおけるテクスチャデータにアクセスするべく、サンプラ610におけるテクスチャサンプリングロジックを用いる。テクスチャデータおよび入力ジオメトリデータに対する複数の算術オペレーションは、幾何学フラグメント毎にピクセルカラーデータを演算するか、または1または複数のピクセルを更なる処理から破棄する。
一実施形態において、データポート614は、スレッド実行ロジック600の出力処理されたデータのためのメモリアクセスメカニズムを、グラフィックスプロセッサ出力パイプライン上で処理するためのメモリに提供する。一実施形態において、データポート614は、データポートを介するメモリアクセスのためにデータをキャッシュする1または複数のキャッシュメモリ(例えば、データキャッシュ612)を含み、またはこれと結合する。
図7は、一実施形態によるグラフィックスプロセッサ実行ユニット命令フォーマットを図示するブロック図である。一実施形態において、複数のグラフィックスプロセッサ実行ユニットは、複数のフォーマットの命令を有する命令セットをサポートする。実線で囲まれた複数のボックスは、一般に実行ユニット命令に含まれる複数のコンポーネントを図示するが、破線は、任意選択であるか、または複数の命令のサブセットのみに含まれる複数のコンポーネントを含む。説明され、図示される命令フォーマットは、命令が処理されると、命令のデコードからもたらされる複数のマイクロオペレーションとは異なり、実行ユニットに提供される複数の命令であるという点で、マクロ命令である。
一実施形態において、複数のグラフィックスプロセッサ実行ユニットは、128ビットフォーマット710の複数の命令をネイティブにサポートする。64ビット圧縮命令フォーマット730は、選択される命令、命令オプション、およびオペランドの数に基づいていくつかの命令に対して利用可能である。ネイティブな128ビットフォーマット710は、全ての命令オプションにアクセスを提供するが、いくつかのオプションおよびオペレーションは、64ビットフォーマット730において制限されている。64ビットフォーマット730において利用可能であるネイティブな命令は、実施形態により異なる。一実施形態において、命令は、インデックスフィールド713における複数のインデックス値のセットを用いて部分的に圧縮される。実行ユニットハードウェアは、複数のインデックス値に基づいて複数の圧縮テーブルのセットを参照し、128ビットフォーマット710においてネイティブな命令を再構成するべく、複数の圧縮テーブル出力を用いる。
フォーマット毎に、命令オペコード712は、実行ユニットが実行するべきオペレーションを規定する。複数の実行ユニットは、各オペランドの複数のデータ要素にわたって並行に各命令を実行する。例えば、加算命令に応答して、実行ユニットは、テクスチャ要素または画素を表す各色チャネルにわたって同時の加算オペレーションを実行する。デフォルトで、実行ユニットは、複数のオペランドの全てのデータチャネルにわたって各命令を実行する。命令制御フィールド712は、チャネル選択(例えば断定)およびデータチャネルの順序(例えばスウィズル)のような特定の実行オプションに対する制御を可能にする。128ビット命令710については、実行サイズフィールド716は、並行に実行されるデータチャネルの数を制限する。実行サイズフィールド716は、64ビットの圧縮命令フォーマット730で用いるために利用可能ではない。
いくつかの実行ユニット命令は、2つのソースオペランドsrc0 720、src1 722、および1つのデスティネーション718を含む、最大3つのオペランドを有する。一実施形態において、複数の実行ユニットは、デュアルのデスティネーション命令をサポートし、デスティネーションのうちの1つが暗に示される。複数のデータ操作命令は、第3のソースオペランド(例えば、SRC2724)を有し得、命令オペコードJJ12は、ソースオペランドの数を決定する。命令の最後のソースオペランドは、命令と共に渡されるイミディエイト(例えば、ハードコードされた)値であり得る。
一実施形態において、複数の命令は、オペコードデコード740を単純化するべく、オペコードビットフィールドに基づいてグループ化される。8ビットのオペコードについては、ビット4、5、および6は、実行ユニットがオペコードのタイプを決定することを可能にする。示される具体的なオペコードのグループ化は、例示的なものである。一実施形態において、移動およびロジックオペコードグループ742は、データ移動およびロジックの命令(例えば、mov、cmp)を含む。移動およびロジックグループ742は、5つの最も重要なビット(MSB)を共有し、移動命令は、0000xxxxb(例えば、0x0x)の形式であり、ロジック命令は、0001xxxxb(例えば、0x01)の形式である。フロー制御命令グループ744(例えば、call、jmp)は、0010xxxxb(例えば、0x20)の形式の複数の命令を含む。その他の命令グループ746は、0011xxxxb(例えば、0x30)の形式の同期命令(例えば、wait、send)を含む、複数の命令のミックスを含む。並列マス命令グループ748は、0100xxxxb(例えば、0x40)の形式のコンポーネント様式の複数の算術命令(例えば、add、mul)を含む。並列マスグループ748は、複数のデータチャネルにわたって並行に複数の算術オペレーションを実行する。ベクトルマスグループ750は、0101xxxxb(例えば、0x50)の形式の複数の算術命令(例えば、dp4)を含む。ベクトルマスグループは、点乗積計算のような算術を複数のベクトルオペランドに実行する。
[グラフィックスパイプライン−図8]
図8は、グラフィックスパイプライン820、メディアパイプライン830、ディスプレイエンジン840、スレッド実行ロジック850、およびレンダリング出力パイプライン870を含むグラフィックスプロセッサの別の実施形態のブロック図である。一実施形態において、グラフィックスプロセッサは、1または複数の汎用プロセッシングコアを含むマルチコア処理システム内のグラフィックスプロセッサである。グラフィックスプロセッサは、1または複数の制御レジスタ(図示せず)へのレジスタ書き込みにより、またはリング相互接続802を介してグラフィックスプロセッサに発行された複数のコマンドにより、制御される。リング相互接続802は、他のグラフィックスプロセッサまたは汎用プロセッサ等の複数の他の処理コンポーネントに、グラフィックスプロセッサを結合する。リング相互接続からの複数のコマンドは、グラフィックスパイプライン820またはメディアパイプライン830の個別のコンポーネントに複数の命令を提供するコマンドストリーマ803により、解釈される。
コマンドストリーマ803は、頂点データをメモリから読み取り、コマンドストリーマ803により提供された複数の頂点処理コマンドを実行する、頂点フェッチャ805のコンポーネントのオペレーションを管理する。頂点フェッチャ805は、頂点データを頂点シェーダ807に提供し、頂点シェーダ807は、座標空間変換および複数のライティング(lighting)オペレーションを各頂点に実行する。頂点フェッチャ805および頂点シェーダ807は、スレッドディスパッチャ831を介して複数の実行スレッドを実行ユニット852A、852Bにディスパッチすることにより、複数の頂点処理命令を実行する。
一実施形態において、実行ユニット852A、852Bは、グラフィックスおよびメディアオペレーションを実行するための命令セットを有する複数のベクトルプロセッサのアレイである。実行ユニット852A、852Bは、各アレイに固有であるか、または複数のアレイ間で共有される取り付け済みのL1キャッシュ851を有する。キャッシュは、パーティショニングされるデータキャッシュ、命令キャッシュ、または異なるパーティションにデータおよび命令を含むようにパーティショニングされるシングルキャッシュとして構成され得る。
一実施形態において、グラフィックスパイプライン820は、複数の3Dオブジェクトのハードウェア加速テセレーションを実行する複数のテセレーションコンポーネントを含む。プログラミング可能なハルシェーダ811は、複数のテセレーションオペレーションを構成する。プログラミング可能なドメインシェーダ817は、テセレーション出力のバックエンド評価を提供する。テセレータ813は、ハルシェーダ811の指示で動作し、入力としてグラフィックスパイプライン820に提供される粗幾何学モデルに基づいて、詳細な複数の幾何学的オブジェクトのセットを生成する特定用途用ロジックを含む。テセレーションが用いられない場合、テセレーションコンポーネント811、813、817は、バイパスされ得る。
完全な複数の幾何学的オブジェクトは、実行ユニット852A、852Bにディスパッチされる1または複数のスレッドを通じてジオメトリシェーダ819により処理され得、またはクリッパ829に直接に進み得る。ジオメトリシェーダは、グラフィックスパイプラインの先行する複数の段階におけるような複数の頂点または頂点のパッチよりはむしろ、複数の幾何学的オブジェクト全体で動作する。テセレーションが無効にされると、ジオメトリシェーダ819は、入力を頂点シェーダ807から受信する。ジオメトリシェーダ819は、複数のテセレーションユニットが無効にされる場合、ジオメトリテセレーションを実行するように、ジオメトリシェーダプログラムによりプログラミング可能である。
ラスタライズする前に、頂点データは、クリッパ829により処理される。クリッパ829は、固定関数クリッパ、またはクリッピングおよびジオメトリシェーダ関数を有するプログラミング可能なクリッパのいずれかである。一実施形態において、レンダリング出力パイプライン870におけるラスタライザ873は、複数のピクセルシェーダをディスパッチして、複数の幾何学的オブジェクトをそれらのピクセル毎の表現に変換する。一実施形態において、ピクセルシェーダロジックは、スレッド実行ロジック850に含まれる。
グラフィックスエンジンは、データおよびメッセージがグラフィックスエンジンの複数の主要コンポーネント間を通ることを可能にする相互接続バス、相互接続ファブリック、またはいくつかの他の相互接続メカニズムを有する。一実施形態において、実行ユニット852A、852Bおよび関連付けられるキャッシュ851、テクスチャおよびメディアサンプラ854、ならびにテクスチャ/サンプラキャッシュ858は、データポート856を介して相互接続し、メモリアクセスを実行し、グラフィックスエンジンの複数のレンダリング出力パイプラインコンポーネントと通信する。一実施形態において、サンプラ854、キャッシュ851、858、および実行ユニット852A、852Bは各々、別個のメモリアクセスパスを有する。
一実施形態において、レンダリング出力パイプライン870は、頂点ベースの複数のオブジェクトをそれらの関連付けられるピクセルベースの表現に変換する、ラスタライザおよびデプステストコンポーネント873を含む。一実施形態において、ラスタライザロジックは、固定関数トライアングルおよびラインラスタライズを実行するウィンドウア(windower)/マスカ(masker)ユニットを含む。一実施形態において、関連付けられたレンダリングバッファキャッシュ878およびデプスバッファキャッシュ879も利用可能である。ピクセルオペレーションコンポーネント877は、ピクセルベースの複数のオペレーションをデータに実行するが、いくつかの例において、2Dオペレーション(例えば、ブレンドを用いるビットブロック画像転送)に関連付けられるピクセルオペレーションは、2Dエンジン841により実行され、またはオーバーレイディスプレイ平面を用いるディスプレイコントローラ843により表示時間に代用される。一実施形態において、共有L3キャッシュ875は、全てのグラフィックスコンポーネントに利用可能であり、メインシステムメモリを用いることなくデータの共有を可能にする。
グラフィックスプロセッサのメディアパイプライン830は、メディアエンジン837およびビデオフロントエンド834を含む。一実施形態において、ビデオフロントエンド834は、複数のパイプラインコマンドをコマンドストリーマ803から受信する。しかし、一実施形態において、メディアパイプライン830は、別個のコマンドストリーマを含む。ビデオフロントエンド834は、コマンドをメディアエンジン837に送信する前に、複数のメディアコマンドを処理する。一実施形態において、メディアエンジンは、スレッドディスパッチャ831を通じてスレッド実行ロジック850にディスパッチするための複数のスレッドをスポーンする、スレッドスポーン機能を含む。
一実施形態において、グラフィックスエンジンは、ディスプレイエンジン840を含む。一実施形態において、ディスプレイエンジン840は、グラフィックスプロセッサの外部にあり、リング相互接続802またはいくつかの他の相互接続バスもしくはファブリックを介してグラフィックスプロセッサと結合する。ディスプレイエンジン840は、2Dエンジン841およびディスプレイコントローラ843を含む。ディスプレイエンジン840は、3Dパイプラインとは独立して動作することができる特定用途用ロジックを含む。ディスプレイコントローラ843は、ディスプレイデバイス(図示せず)と結合する。ディスプレイデバイスは、ラップトップコンピュータにおけるようなシステム統合ディスプレイデバイスまたはディスプレイデバイスコネクタにより取り付けられる外部ディスプレイデバイスであってもよい。
グラフィックスパイプライン820およびメディアパイプライン830は、複数のグラフィックスおよびメディアプログラミングインターフェースに基づいて複数のオペレーションを実行するように構成可能であり、いずれか1つのアプリケーションプログラミングインターフェース(API)に固有のものではない。一実施形態において、グラフィックスプロセッサ用のドライバソフトウェアは、特定のグラフィックスまたはメディアライブラリに固有のAPI呼び出しを、グラフィックスプロセッサにより処理され得る複数のコマンドに変換する。様々な実施形態において、サポートは、クロノスグループによりサポートされるオープングラフィックスライブラリ(OpenGL)およびオープンコンピューティング言語(OpenCL)、マイクロソフトコーポレーションのDirect3Dライブラリ、または一実施形態においてはOpenGLおよびDirect3Dの両方に提供される。サポートは、オープンソースコンピュータビジョンライブラリ(OpenCV)にも提供され得る。将来のAPIのパイプラインからグラフィックスプロセッサのパイプラインにマッピングが行われ得る場合には、互換性のある3Dパイプラインを用いる将来のAPIも、サポートされるであろう。
[グラフィックスパイプラインのプログラミング−図9A〜図9B]
図9Aは、一実施形態によるグラフィックスプロセッサコマンドフォーマットを図示するブロック図であり、図9Bは、一実施形態によるグラフィックスプロセッサコマンドシーケンスを図示するブロック図である。図9Aにおける実線で囲まれた複数のボックスは、グラフィックスコマンドに一般に含まれる複数のコンポーネントを図示するが、破線は、任意選択であるか、または複数のグラフィックスコマンドのサブセットのみに含まれる複数のコンポーネントを含む。図9Aの例示的なグラフィックスプロセッサコマンドフォーマット900は、コマンドのターゲットクライアント902、コマンドオペレーションコード(オペコード)904、およびコマンドのための関連するデータ906を識別する複数のデータフィールドを含む。サブオペコード905およびコマンドサイズ908もいくつかのコマンドに含まれる。
クライアント902は、コマンドデータを処理するグラフィックスデバイスのクライアントユニットを指定する。一実施形態において、グラフィックスプロセッサコマンドパーサは、コマンドの更なる処理を条件付けて、コマンドデータを適切なクライアントユニットにルーティングするべく、各コマンドのクライアントフィールドを検査する。一実施形態において、グラフィックスプロセッサの複数のクライアントユニットは、メモリインタフェースユニット、レンダリングユニット、2Dユニット、3Dユニット、およびメディアユニットを含む。各クライアントユニットは、複数のコマンドを処理する、対応する処理パイプラインを有する。クライアントユニットによりコマンドが受信されると、クライアントユニットは、オペコード904を読み取り、存在する場合には、サブオペコード905は、実行するオペレーションを決定する。クライアントユニットは、コマンドのデータ906のフィールドにおける情報を用いてコマンドを実行する。いくつかのコマンドについては、明示的なコマンドサイズ908は、コマンドのサイズを指定することが予期される。一実施形態において、コマンドパーサは、コマンドオペコードに基づいて複数のコマンドの少なくともいくつかのサイズを自動的に決定する。一実施形態において、複数のコマンドは、ダブルワードの倍数によりアラインされる。
図9Bのフロー図は、例示のコマンドシーケンス910を示す。一実施形態において、グラフィックスプロセッサの実施形態を特徴付けるデータ処理システムのソフトウェアまたはファームウェアは、複数のグラフィックスオペレーションのセットを設定、実行、および終了するように示されたコマンドシーケンスのバージョンを用いる。例示的目的で例示のコマンドシーケンスが示され、説明されている。しかし、複数の実施形態は、これらのコマンドまたはこのコマンドシーケンスに限定されない。更に、これらのコマンドは、コマンドシーケンスにおける複数のコマンドのバッチとして発行されてもよく、従ってグラフィックスプロセッサは、少なくとも部分的に同時に複数のコマンドのシーケンスを処理する。
例示のコマンドシーケンス910は、パイプラインフラッシュコマンド912で開始し、任意のアクティブなグラフィックスパイプラインにパイプライン用の現在保留中の複数のコマンドを完了させ得る。一実施形態において、3Dパイプライン922およびメディアパイプライン924は、同時に動作しない。パイプラインフラッシュは、アクティブなグラフィックスパイプラインに任意の保留中のコマンドを完了させるべく実行される。パイプラインフラッシュに応答して、グラフィックスプロセッサ用のコマンドパーサは、アクティブな複数の描画エンジンが保留中の複数のオペレーションを完了し、関連する複数の読み取りキャッシュが無効にされるまで、コマンド処理を一時停止する。任意選択で、「ダーティ」とマークを付けられたレンダリングキャッシュ内の任意のデータは、メモリにフラッシュされ得る。パイプラインフラッシュコマンド912は、パイプライン同期のために、つまりグラフィックスプロセッサを低電力状態にする前に用いられ得る。
パイプライン選択コマンド913は、コマンドシーケンスがグラフィックスプロセッサに複数のパイプライン間で明確に切り替えるように要求するときに用いられる。パイプライン選択コマンド913は、コンテキストが両方のパイプラインのための複数のコマンドを発行しない限り、パイプラインコマンドを発行する前に実行コンテキスト内で1度だけ必要とされる。一実施形態において、パイプラインフラッシュコマンド912は、パイプラインがパイプライン選択コマンド913により切り替えられる直前に必要とされる。
パイプライン制御コマンド914は、オペレーションのためのグラフィックスパイプラインを構成し、3Dパイプライン922およびメディアパイプライン924をプログラミングするべく用いられる。パイプライン制御コマンド914は、アクティブなパイプラインのためのパイプライン状態を構成する。一実施形態において、パイプライン制御コマンド914は、複数のコマンドのバッチを処理する前に、アクティブなパイプライン内の1または複数のキャッシュメモリからのデータをクリアするべく、パイプライン同期に用いられる。
複数のリターンバッファ状態コマンド916は、データを書き込む各パイプライン用の複数のリターンバッファのセットを構成するべく用いられる。いくつかのパイプラインオペレーションは、オペレーションが処理中に中間データを書き込む、1または複数のリターンバッファの割り当て、選択、または構成を必要とする。また、グラフィックスプロセッサは、出力データを格納して相互のスレッド通信を実行するべく、1または複数のリターンバッファも用いる。リターンバッファ状態916は、複数のパイプラインオペレーションのセットに用いる複数のリターンバッファのサイズおよび数の選択を含む。
コマンドシーケンスにおける残りの複数のコマンドは、複数のオペレーション用のアクティブなパイプラインに基づいて異なる。パイプラインの決定920に基づいて、コマンドシーケンスは、3Dパイプライン状態930で開始する3Dパイプライン922、またはメディアパイプライン状態940で開始するメディアパイプライン924に対して調整される。
3Dパイプライン状態930のための複数のコマンドは、3Dプリミティブコマンドが処理される前に構成される頂点バッファ状態、頂点要素状態、一定な色状態、デプスバッファ状態、および他の状態変数に対する複数の3D状態設定コマンドを含む。これらのコマンドの値は、用いられる特定の3D APIに少なくとも部分的に基づいて決定される。それらの要素が用いられない場合、複数の3Dパイプライン状態930コマンドは、特定のパイプライン要素を選択的に無効またはバイパスすることもできる。
3Dプリミティブ932のコマンドは、3Dパイプラインにより処理される複数の3Dプリミティブを送るべく用いられる。3Dプリミティブ932のコマンドによりグラフィックスプロセッサに渡される複数のコマンドおよび関連付けられるパラメータは、グラフィックスパイプラインにおける頂点フェッチ機能に転送される。頂点フェッチ機能は、複数の頂点データ構造体を生成するべく3Dプリミティブ932のコマンドデータを用いる。複数の頂点データ構造体は、1または複数のリターンバッファに格納される。3Dプリミティブ932のコマンドは、複数の頂点シェーダにより複数の3Dプリミティブに頂点オペレーションを実行するべく用いられる。複数の頂点シェーダを処理するべく、3Dパイプライン922は、複数のシェーダ実行スレッドを複数のグラフィックスプロセッサ実行ユニットにディスパッチする。
3Dパイプライン922は、実行934のコマンドまたはイベントによりトリガされる。一実施形態において、レジスタ書き込みは、コマンド実行をトリガする。一実施形態において、実行は、コマンドシーケンスにおける「go」または「kick」コマンドによりトリガされる。一実施形態において、パイプライン同期コマンドを用いて、グラフィックスパイプラインを介してコマンドシーケンスをフラッシュするコマンド実行がトリガされる。3Dパイプラインは、複数の3Dプリミティブのためのジオメトリ処理を実行する。複数のオペレーションが完了すると、もたらされる複数の幾何学的オブジェクトがラスタライズされ、ピクセルエンジンは、もたらされるピクセルをカラーリングする。ピクセルシェーディングおよびピクセルバックエンドオペレーションを制御する追加の複数のコマンドもそれらのオペレーションのために含まれ得る。
例示のコマンドシーケンス910は、複数のメディアオペレーションを実行する場合、メディアパイプライン924のパスをたどる。一般に、メディアパイプライン924のためのプログラミングの特定の用途および態様は、メディアまたは実行される演算動作に依存する。特定のメディアデコードオペレーションは、メディアデコード中にメディアパイプラインにオフロードされ得る。メディアパイプラインもバイパスされ得、メディアデコードは、1または複数の汎用プロセッシングコアにより提供される複数のリソースを全体的または部分的に用いて実行され得る。一実施形態において、メディアパイプラインは、汎用グラフィックスプロセッサユニット(GPGPU)の動作のための複数の要素も含み、グラフィックスプロセッサは、グラフィックスプリミティブのレンダリングに明らかに関係しない演算シェーダプログラムを用いてSIMDベクトルオペレーションを実行するべく用いられる。
メディアパイプライン924は、3Dパイプライン922と同様に構成される。複数のメディアパイプライン状態コマンド940のセットは、複数のメディアオブジェクトコマンド942の前のコマンド行列にディスパッチされ、または配置される。複数のメディアパイプライン状態コマンド940は、複数のメディアオブジェクトを処理するべく用いられる、複数のメディアパイプライン要素を構成するデータを含む。これは、エンコードまたはデコードフォーマットのようなメディアパイプライン内の動画デコードおよび動画エンコードロジックを構成するデータを含む。複数のメディアパイプライン状態コマンド940は、複数の状態設定のバッチを含む「間接的」状態要素に対する1または複数のポインタの使用もサポートする。
複数のメディアオブジェクトコマンド942は、メディアパイプラインにより処理するための複数のメディアオブジェクトに複数のポインタを提供する。複数のメディアオブジェクトは、処理されるべき動画データを含む複数のメモリバッファを含む。一実施形態において、全てのメディアパイプライン状態は、メディアオブジェクトコマンド942を発行する前に有効でなければならない。パイプライン状態が構成され、複数のメディアオブジェクトコマンド942が待ち行列に入れられると、メディアパイプライン924は、実行944のコマンドまたは同等の実行イベント(例えばレジスタ書き込み)によりトリガされる。次に、メディアパイプライン924からの出力は、3Dパイプライン922またはメディアパイプライン924により提供される複数のオペレーションにより後処理され得る。一実施形態において、複数のGPGPUオペレーションは、メディアオペレーションと同様に構成され、実行される。
[グラフィックスソフトウェアアーキテクチャ−図10]
図10は、一実施形態のよるデータ処理システムのための例示的なグラフィックスソフトウェアアーキテクチャを図示する。ソフトウェアアーキテクチャは、3Dグラフィックスアプリケーション1010、オペレーティングシステム1020、および少なくとも1つのプロセッサ1030を含む。プロセッサ1030は、グラフィックスプロセッサ1032、および1または複数の汎用プロセッサコア1034を含む。グラフィックスアプリケーション1010およびオペレーティングシステム1020は各々、データ処理システムのシステムメモリ1050において実行される。
一実施形態において、3Dグラフィックスアプリケーション1010は、複数のシェーダ命令1012を含む、1または複数のシェーダプログラムを含む。複数のシェーダ言語命令は、高水準シェーダ言語(HLSL)またはOpenGLシェーダ言語(GLSL)のような高水準シェーダ言語によるものであってもよい。アプリケーションは、汎用プロセッサコア1034により実行するのに好適な機械言語による複数の実行可能命令1014も含む。アプリケーションは、頂点データにより定義される複数のグラフィックスオブジェクト1016も含む。
オペレーティングシステム1020は、ワシントン州レドモンドのマイクロソフトコーポレーションから利用可能なウィンドウズ(登録商標)オペレーティングシステム、プロプライエタリUNIX(登録商標)オペレーティングシステム、またはLinux(登録商標)カーネルの変形を用いるオープンソースUNIX(登録商標)様式のオペレーティングシステムであってもよい。Direct3D APIが用いられる場合、オペレーティングシステム1020は、フロントエンドのシェーダコンパイラ1024を用いて、HLSLの任意のシェーダ命令1012をより低水準のシェーダ言語にコンパイルする。コンパイルは、ジャストインタイムコンパイルであってもよく、またはアプリケーションは、共有プリコンパイルを実行し得る。一実施形態において、複数の高水準シェーダは、3Dグラフィックスアプリケーション1010のコンパイル中により低水準のシェーダへとコンパイルされる。
ユーザモードグラフィックスドライバ1026は、複数のシェーダ命令1012をハードウェア固有の表現に変換する、バックエンドのシェーダコンパイラ1027を含んでもよい。OpenGL APIが用いられる場合、GLSL高水準言語のシェーダ命令1012は、コンパイルのためにユーザモードグラフィックスドライバ1026に渡される。ユーザモードグラフィックスドライバは、カーネルモードグラフィックスドライバ1029と通信するべく、オペレーティングシステムカーネルモード機能1028を用いる。カーネルモードグラフィックスドライバ1029は、複数のコマンドおよび命令をディスパッチするべく、グラフィックスプロセッサ1032と通信する。
様々なオペレーションまたは機能が本明細書において説明される限度において、それらはハードウェア回路、ソフトウェアコード、命令、構成、および/またはデータとして説明または定義され得る。コンテンツは、ハードウェアロジックで、または直接に実行可能なソフトウェア(「オブジェクト、または「実行可能な」形式)、ソースコード、グラフィックスエンジン上での実行のために設計された高水準シェーダコード、または特定のプロセッサもしくはグラフィックスコア用の命令セットによる低水準アセンブリ言語コードとして実施され得る。本明細書に説明される複数の実施形態におけるソフトウェアコンテンツは、コンテンツが格納された製造物品、または通信インターフェースを介してデータを送信するように通信インターフェースを動作させる方法により提供され得る。
非一時的機械可読ストレージ媒体は、機械に、説明される複数の機能またはオペレーションを実行させ得、記録可能/非記録可能媒体(例えば、リードオンリメモリ(ROM)、ランダムアクセスメモリ(RAM)、磁気ディスクストレージ媒体、光ストレージ媒体、フラッシュメモリデバイス等)のような機械(例えば、コンピューティングデバイス、電子システム等)によりアクセス可能な形態で情報を格納する任意のメカニズムを含む。通信インターフェースは、メモリバスインターフェース、プロセッサバスインターフェース、インターネット接続、ディスクコントローラ等のような別のデバイスに通信するハードワイヤード、無線、光等の媒体のいずれかにインターフェース接続する任意のメカニズムを含む。通信インターフェースは、複数の構成パラメータを提供し、または通信インターフェースを準備して、ソフトウェアコンテンツを記載するデータ信号を提供するべく複数の信号を送信することにより構成される。通信インターフェースは、通信インターフェースに送信される1または複数のコマンドまたは信号によりアクセスされ得る。
[データ配信ファブリック‐図11〜図14]
ハイブリッドデータ配信ファブリックは、スケーラブルなGPUを特徴付けるグラフィックスプロセッサの実施形態のための相互接続ロジックとして用いられ得る。一実施形態において、ハイブリッドファブリックは、各物理チャネル上に1または複数のプログラミング可能な仮想チャネルを有し、共有バスにわたって動作する1または複数の物理データチャネルを含む。仮想チャネルは、独立して調整され、チャネルアクセスが別個に仮想チャネル毎にネゴシエートされ得る。複数の仮想チャネルにわたるトラフィックは、1または複数のトラフィッククラスに分類され得る。一実施形態において、優先順位付けシステムは、複数の仮想チャネルおよびトラフィッククラスが調整のために相対的優先順位を割り当てられることを可能にする。一実施形態において、複数のトラフィックバランシングアルゴリズムは、ファブリックと結合された各ノードに実質的に等しい帯域およびスループットを維持するように動作する。一実施形態において、ハイブリッドファブリックデータ配信ロジックは、ファブリックと結合された複数のノードより高いクロックレートで動作し、バス幅の低減を可能にしつつ、バススループットを維持する。一実施形態において、各共有バスは、アイドル状態にある場合に、別個にクロックゲートされ、バスウェークイベントをトリガする今後のアクティビティの初期指示を送信する。
図11は、グラフィックスコア1102、グラフィックスコアキャッシュ1104、およびハイブリッドファブリックコネクタ1106を含むグラフィックスコアファブリックアセンブリ1100の実施形態のブロック図である。ハイブリッドファブリックコネクタ1106は、グラフィックスコアファブリックアセンブリ1100をファブリックバス1108と結合する。ハイブリッドデータ配信ファブリックアセンブリ1100の実施形態は、グラフィックスプロセッサ内の複数のレベルの抽出に利用可能である。グラフィックスコア1102は、図4のスケーラブルな実行ユニットアレイ414、図5の複数のグラフィックスコア580A、または図6の実行ユニット608A等、本明細書において説明されるグラフィックス実行ロジックのいずれかを含む。 グラフィックスコアキャッシュ1104は、ローカルグラフィックスコアキャッシュメモリを含み、ローカルグラフィックスコアキャッシュメモリは、ファブリックコネクタ1106からの受信データを格納する。グラフィックスコアキャッシュ1104は、データ配信ファブリックコネクタ1106による送信前に発信データも格納し得る。
ファブリックコネクタ1106は、ハイブリッドファブリック1108の途中でデータの複数のパケットを受信し、バッファ処理し、送信し、再送信し得るファブリックノードである。一実施形態において、ハイブリッドファブリックコネクタ1106は、ハイブリッドファブリックの1つのチャネル上でパケットを受信し、異なる複数のチャネルにわたりパケットを再送信することによりパケットを切り替える。ハイブリッドファブリックコネクタ1106の実施形態は、グラフィックスコアキャッシュ1104と結合する。コネクタ1106は、グラフィックスコア1102向けのデータをグラフィックスコアキャッシュ1104に書き込み、共有メモリまたは異なるグラフィックスコアに送信するための、グラフィックスコアキャッシュ1104からのデータを読み取る。各グラフィックスコアは、ハイブリッドファブリック上の複数のデータパケットをアドレス指定し、ファブリックノードにわたってトラフィックロードバランスを実行するべく用いられるコア識別子およびハッシュ識別子を有する。
ハイブリッドファブリックバス1108は、シングルバスラインまたはマルチバスラインを含んでもよい。一実施形態において、ハイブリッドファブリックバス1108は、複数のプログラミング可能なデータチャネルを含み、これらのデータチャネルにわたってパケットベースのデータメッセージがグラフィックスコア1102毎に送信される。ハイブリッドファブリックバス1108の複数のチャネルは、複数のグラフィックスコア間で共有され、データの複数のトラフィッククラスをサポートする。チャネルの数は、グラフィックスコアの数、グラフィックスコアワークロード、ならびにグラフィックス処理システム(例えば、ローカル/共有キャッシュ、システムメモリ等)におけるメモリの利用および容量に基づいて構成可能である。
図12A〜図12Bは、複数の例示的なグラフィックスコアトポロジを図示する。図12Aは、9つのグラフィックスコアがハイブリッドファブリックの実施形態により結合されるツリートポロジを示す。図12Bは、16個のグラフィックスコアがハイブリッドファブリックの実施形態により結合されるメッシュトポロジを示す。ハイブリッドファブリックは、可能な複数のグラフィックスコアトポロジの各々について構成可能である。グラフィックスコアは、複数の鉛直層に複数のグラフィックスコアを含むスタックされた3D集積回路に配置され得る。スタック集積回路は、ダイオンダイ集積回路、ウェハオンウェハ集積回路、および/またはウェハオンダイもしくはダイオンウェハ回路の1もしくは複数の組み合わせを含み得る。しかし、他の3D回路の複数の製造方法も用いられ得る。
図12Aは、9つのグラフィックスコアを示し、ツリートポロジの形で結合される。第1の層1200は、3つのグラフィックスコアを含み、第1のグラフィックスコア1202は、第3のグラフィックスコア1206を介して第2のグラフィックスコア1204と結合する。第3のグラフィックスコア1206は、1または複数のシリコン貫通ビア(「TSV」)を介して第2の層1210において6つのグラフィックスコア1216と結合する。更に、6つのグラフィックスコア1216は、第4のグラフィックスコア1212を第5のグラフィックスコア1214と結合する。更に、6つのグラフィックスコア1216は、第3の層1220における第9のグラフィックスコア1226を結合する。第3の層1220は、第7のグラフィックスコア1222および第8のグラフィックスコア1224を含む。ハイブリッドファブリックを介して、複数のグラフィックスコアは、共有ピクセルバックエンドハードウェアのような複数のグラフィックスコアにより共有される共有メモリおよび他の複数の共通リソースを含む、複数の共有リソース1230と結合して通信する。ハイブリッドファブリックは、追加の帯域幅もしくはスループットを高いトラフィックのハイブリッドファブリックコネクタに提供し、または各グラフィックスコアに、各グラフィックスコアから、または各グラフィックスコアを通って流れるデータに対して実質的に等しい帯域を維持するべく、他の複数のロードバランスまたはトラフィック管理技術を提供するように構成され得る。
図12Bの例示的なブロック図において、16個のグラフィックスコアがメッシュトポロジにおいてアセンブルされる。1つの可能な構成において、各々が4つのグラフィックスコアを有する4つの層がスタックされる。第1の層1240は、4つのグラフィックスコアを含み、各グラフィックスコアは、第2の層1250においてカウンターパートのグラフィックスコアと結合する。次に、各第2の層1250におけるグラフィックスコアは、第3の層1260においてカウンターパートのグラフィックスコアと結合する。次に、第3の層1260における各グラフィックスコアは、第4の層1270においてカウンターパートのグラフィックスコアと結合する。最終的に、第4の層1270における各グラフィックスコアは、共有メモリを含む共有リソース1280と結合する。層の数、および1層毎のコアの数は、例示的なものであり、実施形態はそのようには限定されず、複数のトポロジがサポートされる。ハイブリッドファブリックは、ダイのサイズ、帯域幅、およびスループット要件に基づいて異なる複数のトポロジに配置される複数のグラフィックスコア間での通信を可能にするように構成され得る。
図13は、5つの鉛直方向にスタックされたグラフィックスコアを含むスタックされた3D集積回路の実施形態のブロック図である。図示される複数のファブリックチャネルは、別個のバスであってもよく、または共有バスにわたって配線されてもよい。各グラフィックスコアは、任意のチャネル上でデータを送信または受信し得る。ハイブリッドファブリック上で移動するデータパケットは、ターゲットに到達する前に複数のコアのファブリックコネクタを通過し得る。コアは、1つのチャネル上でコア相互の通信を行ってもよく、コアを通過するとき、またはファブリックコネクタがコアと結合されるときに、パケットは、チャネルからチャネルへと切り替えられてもよい。各チャネル上のトラフィックをバランスしてコア毎に等しい通信帯域幅を維持するべく、チャネル調整アルゴリズムが使用されてもよい。複数のグラフィックスコアが図示されているが、グラフィックスコアと結合されたファブリックコネクタは、説明される機能のうちの少なくともいくつかを実行し得る。
例示的な調整アルゴリズムは、メモリバウンドトラフィックに用いられる「スタック最適化」アルゴリズムである。図13に示されるグラフィックスプロセッサコア1302〜1310は各々、各ハイブリッドファブリックコネクタ(例えば、図11のハイブリッドファブリックコネクタ1106)と結合する。ハイブリッドファブリックコネクタは、複数の相互接続されたコアを共有メモリ1330の領域と結合する。以下の表1は、5つのグラフィックスコアと共有メモリとの間のメモリバウンドトラフィックをバランスする、例示的なチャネル調整アルゴリズムの結果を図示する。
Figure 2019207707
表1におけるチャネル0の列に示されるように、各コアは、複数の他のコアから複数の他のチャネルへとパススルートラフィックを切り替えるときに、チャネル0でメモリバウンドデータを生じるように構成される。例えば、メモリバウンドデータパケット1312は、チャネル0におけるグラフィックスコア0 1302から送信される。コア1 1304は、パケット1314をチャネル4に切り替える。なぜならスタック最適化アルゴリズムは、コア0 1302からのメモリバウンドトラフィックがチャネル4を通過するよう指定するからである。従って、コア2 1306は、パケット1316をチャネル3に切り替える。コア3 1308は、パケット1318をチャネル2に切り替える。コア4 1310は、パケット1320をチャネル1に切り替える。図13および表1は、ハイブリッドファブリック上の例示的なタイプのトラフィックに対する例示的なアルゴリズムを図示する。他の複数のアルゴリズムは、他のタイプのトラフィックに用いられてもよい。一実施形態において、異なる複数のタイプのトラフィックは、より巧みにトラフィック管理を容易にするべく異なる複数のトラフィッククラスにグループ化される。
図14は、実施形態による、複数の仮想チャネルにわたって搬送される複数のトラフィッククラスの図示である。第1のファブリックコネクタ1402および第2のファブリックコネクタ1404は、「M」までの仮想チャネル1406A〜Mを有するファブリックチャネル1406を介する通信を容易にする。これらの仮想チャネルは、複数の物理チャネルの固定されたセットを介して可変長さ情報を転送することを可能にする。複数の仮想チャネルは、恒久仮想チャネルであってもよく、または複数の仮想チャネルは、システム構成に基づいて動的に有効または無効にされてもよい。複数の恒久仮想チャネルを用いることにより、固定されたチャネルIDを可能にし、これにより仮想チャネル管理のオーバヘッドを最小化する。複数のチャネルを動的に構成することにより、更なるチャネル管理のオーバヘッドの費用で設計における柔軟性を増大させる。
各仮想チャネルには、複数のトラフィッククラスが割り当てられてもよい。トラフィッククラスは、調整に関するトラフィックを分けたものである。各仮想チャネルは、「N」までのトラフィッククラスを搬送し得る。トラフィックの各クラスは、プログラミングを通じて特定の仮想チャネルに割り当てられる(ヒューズ、構成レジスタ等)。トラフィックタイプの「L」までのクラスは、所与の仮想チャネルに割り当てられてもよい。
Figure 2019207707
上記の表2は、図14に図示される仮想チャネル割り当てに対する例示的なトラフィッククラスを示す。ハイブリッドファブリックは、受信トラフィックの各ユニットを分類し、受信ユニットが割り当てられた自身の仮想チャネル内で移動することを保証するロジックを含み得る。一実施形態において、複数のチャネルを介したデータ送信は、ファーストインファーストアウト(FIFO)の順序で行われ、チャネル調整は、複数の仮想チャネルに基づいて行われる。仮想チャネル内のトラフィックは、同一の仮想チャネル上における追加のトラフィックの送信をブロックし得る。しかし、所与の仮想チャネルは、他の仮想チャネルをブロックしない。従って、異なる仮想チャネル上のトラフィックは、独立して調整される。
一実施形態において、グラフィックスコアキャッシュおよびグラフィックスコア用のハイブリッドファブリックコネクタノードの両方におけるグラフィックスコア上で動作する個別のスレッドについて、コヒーレンシはデータ送信中、維持される。複数のハイブリッドファブリックノードは、同一のトラフィッククラス内のシングルスレッドから発信されるトラフィックをルーティングし、複数のトラフィッククラスが特定の仮想チャネルに割り当てられる。単一の仮想チャネル上における単一のトラフィッククラス内のデータは、FIFOの順序で送信される。従って、ハイブリッドファブリックを介して送信される場合、シングルスレッドからのデータは厳密に順序付けられ、1スレッド当たりのコヒーレンシは、リードアフターライトまたはライトアフターリードのデータハザードを回避するべく維持される。一実施形態において、スレッドグループのコヒーレンシは、共有メモリとのグローバル同期メカニズムにより維持される。
Figure 2019207707
上記の表3は、例示的なトラフィッククラスの優先順位付けを示す。優先順位アルゴリズムは、複数のトラフィッククラスの各々に割り当てる優先順位を決定するようにプログラミングされ得る。プログラミング可能なトラフィッククラスの優先順位により、複数のトラフィッククラスが任意のトラフィックグループメカニズムとして用いられることを可能にし、トラフィックは、コヒーレンシを単に維持するようにクラス内でグループ化されてもよく、または特定のトラフィックに高優先順位が割り当てられ、高優先順位データ専用とし得る。例えば、各々、仮想チャネル1 1406Bに割り当てられたクラス1およびクラス4には、優先順位2が割り当てられ得る。各々、仮想チャネル0 1406Aに割り当てられたクラス2およびクラス5には、優先順位1が割り当てられ得る。トラフィッククラス「N」は、優先順位3を有する仮想チャネル2 1406Cに割り当てられ得る。クラス2におけるトラフィックは、できるだけ早く送信されるべきであるか、または他の複数のトラフィッククラスによりブロックされるべきでないレイテンシに敏感なデータであり得るが、クラス1におけるトラフィックは、コヒーレンシを維持するべくグループ化されるシングルスレッドからのレイテンシに適度に敏感なトラフィックであり得る。
トラフィッククラスには、全てのトラフィッククラスに対する、または同一の仮想チャネル上の複数のトラフィッククラスの優先順位に対する優先順位が割り当てられ得る。一実施形態において、優先順位スキームは、複数のトラフィッククラスに複数の重みを割り当てることにより設計され、より高い重みは、より高い優先順位を示す。スターベーションを阻止するべく、各パーティシパントに最低量の帯域幅が保証される、フェアな優先順位付けアルゴリズムが使用されてもよい。一実施形態において、特定の条件下では、より高い優先順位トラフィックがより低い優先順位を常にブロックする、絶対優先順位アルゴリズムが用いられる。
絶対優先順位が用いられる場合、通信デッドロックを阻止するべく、追加の複数のアルゴリズムは、インプレース(in place)である。複数の仮想チャネルおよびトラフィッククラスを組み合わせて用いることにより、デッドロックの確率を低減する。なぜなら、所与の仮想チャネルに対する絶対優先順位を有する単一のトラフィッククラスは、異なる仮想チャネル上のトラフィックをブロックしないからである。一実施形態において、スターベーション条件または潜在的デッドロックがある仮想チャネル上で検出されると、ブロックされた複数のトラフィッククラスは、異なる仮想チャネルに再度割り当てられ得る。
Figure 2019207707
上記の表4は、例示的な仮想チャネルの優先順位付けを示す。トラフィッククラスにおけるように、各仮想チャネルは、優先順位も受信し得、チャネル調整は、仮想チャネルの相対的優先順位を考慮し得る。例えば、仮想チャネル2上におけるデータトラフィックは、他の仮想チャネル上のデータより高い相対的優先順位を有し得る。重み付けされた優先順位システムは、仮想チャネルの優先順位付けと共に使用され得、より高い重みは、より高い優先順位を示す。フェアな優先順位システムまたは絶対優先順位システムが用いられてもよい。
図15は、実施形態による、データ送信シーケンスのフロー図である。グラフィックスプロセッサと結合されたハイブリッドファブリックコネクタ等のソースノードは、ブロック1502に示されるように、ハイブリッドファブリック上のチャネルを介してメッセージパケットを送信する前のチャネルについてのチャネルアクセス状態を決定する。メッセージは、複数の可能なトラフィック分類のうちの1つに分類され得、各分類は、チャネル上での相対的優先順位を有する。チャネルアクセスは、時分割多重アクセスプロトコルまたは搬送波感知多重アクセスプロトコルのような任意の好適なチャネルアクセスプロトコルにより決定され得る。
ブロック1504に示されるように、第1のチャネルが利用可能であると判断されると、ソースノードは、ソースノードからターゲットノードに向けてメッセージを送信し得る。ブロック1506に示されるように、メッセージは、ハイブリッドファブリックの共有バス上の第1のノードにより受信され得る。第1のノードは、ハイブリッドファブリックにより結合される複数のグラフィックスプロセッサのうちの1つと結合され得る。ブロック1508に示されるように、メッセージが受信されるソースノード、ターゲットノード、トラフィッククラス、およびチャネルのようないくつかの要因に基づいて、第1のノードは、共有バス上の第1のノードから第2のノードへとメッセージを切り替え得る。第1のノードは、ハイブリッドファブリックにより提供されるグラフィックスコアトポロジに応じて、表1のスタック最適化アルゴリズムのようなトラフィックバランシングプロトコルの一部として、または指向性ルーティングプロトコルの一部としてメッセージを切り替え得る。一実施形態において、チャネルアクセスは、共有バスにわたって搬送される複数の仮想チャネルに基づいて決定され、各仮想チャネルに対するチャネルアクセスは、他の仮想チャネルとは別個に調整される。
一実施形態において、ハイブリッドファブリック相互接続ロジックは、相互接続ロジックにより接続される複数のノードより高いクロック周波数で動作する。複数のノード間の単一のメッセージは、ファブリック相互接続と複数のノードとの間の周波数比に基づいていくつかの別個のメッセージに分割され得る。ファブリックノードは、複数のノードクロックサイクルの各々の間にメッセージを送信し得、メッセージは、複数のクロックサイクル間で送信されるべき複数のメッセージに分割される。メッセージの分割は、ファブリックノードにはトランスペアレントなものであり、相互接続ロジックの物理層の幅における低減を可能にしつつ、性能を維持する。
一実施形態において、ハイブリッドファブリックの相互接続ロジックは、電力管理され、クロックゲートされる。バスがアイドル状態にある場合に無効にされるローカルゲートクロックを各バスラインが用いる、分散アプローチがクロックゲーティングに用いられてもよい。各バスは、受信アクティビティの初期指示を出し、これにより、メッセージがバス、またはバスに関連付けられた仮想チャネルの途中で受信される場合にクロックを可能にする。従って、電力はアクティブなバス上でのみ消費される。アイドル状態にあるとき、バスは、スタティック電力のみを消費し、別の場合には低電力状態にある。
本明細書および特許請求の範囲における「第1の」、「第2の」、「第3の」、「第4の」等の用語は、もしあれば、類似の複数の要素を区別するのに用いられ、特定のシーケンシャルまたは時系列の順序を説明するのには必ずしも用いられない。そのように用いられる用語は、適切な条件下で相互に交換可能であり、従って本明細書において説明される複数の実施形態は、例えば、本明細書において説明され、または別途図示されるもの以外のシーケンスで動作することができることを理解されたい。
本明細書において用いられるように、1または複数の「実施形態」を参照する場合、少なくとも一実装に含まれる特定の機能、構造、または特性を説明するものとして理解されるものである。従って、「一実施形態において」または「代替的実施形態において」のような文言が本明細書において現れる場合、各々は様々な実施形態および実装を説明し、全てが同一の実施形態を必ずしも指すわけではない。しかし、これらも相互に必ずしも排他的ではない。
一実施形態において、プロセッサは、1または複数のグラフィックスコアと、1または複数のグラフィックスプロセッサコアを相互接続する少なくとも1つのデータチャネルを有する相互接続ロジックとを備える。少なくとも1つのデータチャネルは、共有バスを介して搬送され得る。相互接続ロジックは、複数の相互接続されたコアを共有リソースと結合する。相互接続ロジックは、少なくとも1つのデータチャネルを介して搬送される1または複数の仮想チャネルわたる複数の相互接続コアと共有リソースとの間のデータ配信を可能にする。仮想チャネルは、トラフィックの複数の多重分類を有するプログラミング可能なトラフィック分類システムを含み得る。
トラフィックの複数の多重分類は、各分類に割り当てられた複数の優先順位を有し得る。複数の優先順位は、同一の仮想チャネル上での他の複数のトラフィック分類に対して調整され得る。一実施形態において、1または複数のグラフィックスプロセッサコアは、複数のグラフィックスプロセッサコアを含む3D集積回路スタックを備える。一実施形態において、複数のグラフィックスプロセッサコアは、複数の共有バスを介して結合され、各バスは、複数のアイドル期間中に別個にクロックゲートされる。
一実施形態において、システムは、複数のコアを含むプロセッサを備え、少なくとも1つのコアは、複数のグラフィックス命令を処理するための命令セットを含む。少なくとも1つのグラフィックスプロセッシングコアは、少なくとも1つのクロックゲートされた物理データチャネルおよび1または複数の仮想チャネルを有する相互接続ロジックにより、プロセッサ上の共有リソースと結合し、各仮想チャネルは、複数のトラフィック分類を有するデータを搬送する。複数のトラフィック分類は、プログラミング可能であり得、複数のトラフィック分類の各々は、仮想チャネルに割り当てられ得る。システムは、プロセッサと結合されたメモリも含み得る。
本明細書において説明される実施形態を実行する設計を表すデータは、いくつかの態様で設計を表し得る。まず、シミュレーションで有用なように、ハードウェアは、ハードウェア記述言語、または別の機能記述言語を用いて表され得る。更に、ロジックおよび/またはトランジスタゲートを用いる回路レベルモデルは、設計処理のいくつかの段階において製造され得る。更に、いくつかの段階では、大部分の設計が、ハードウェアモデルで様々なデバイスの物理的配置を表すデータのレベルに到達する。従来の複数の半導体製造技術が使用される場合、ハードウェアモデルを表すデータは、集積回路を製造するのに用いられるマスクのための異なる複数のマスク層に、様々な機能が存在するか、または存在しないかを指定するデータであり得る。設計の任意の表現において、設計データは、機械可読媒体の形態で格納され得る。
一実施形態において、機械可読媒体は、少なくとも1つの機械により実行されると、少なくとも1つの機械に、ソースノードからターゲットノードへのメッセージについて複数のノード共有バス上のチャネルアクセス状態を判断する段階と、第1のデータチャネルを介してメッセージソースからメッセージターゲットへとメッセージを送信する段階と、グラフィックスプロセッサコアと結合された第1のデータバスコネクタにおいてメッセージを受信する段階と、少なくともソースノードおよびターゲットノードに基づいて、第1のデータチャネルから第2のデータチャネルへとメッセージを切り替える段階とを備え、複数のノードのうちの少なくとも1つは、グラフィックスプロセッサコアと結合し、複数のノードのうちの少なくとも1つは、共有リソースと結合し、メッセージは、第1の優先順位を有する第1のトラフィック分類を含む、方法を実行する少なくとも1つの集積回路を製造させるデータを格納する。製造される少なくとも1つの集積回路は、複数のグラフィックスプロセッサコアを含む3D集積回路スタックであり得る。
一実施形態において、チャネルアクセスを判断する段階は、チャネルアクセスプロトコルを用いて、メッセージが第3のデータチャネルを介して送信され得るか否かを判断する段階と、第3のデータチャネルを介した送信がブロックされると判断した後、第1のデータチャネルを介してメッセージを送信する段階とを有する。チャネルアクセスは、時分割多重アクセスプロトコルまたは搬送波感知多重アクセスプロトコルにより決定され得る。
説明される様々なコンポーネントは、説明される動作または機能を実行するための手段であり得る。本明細書に説明される各コンポーネントは、ソフトウェア、ハードウェア、またはこれらの組み合わせを含む。複数のコンポーネントは、ソフトウェアモジュール、ハードウェアモジュール、専用ハードウェア(例えば、特定用途向けハードウェア、特定用途向け集積回路(ASIC)、デジタル信号プロセッサ(DSP)等)、エンベデッドコントローラ、ハードワイヤード回路等として実装され得る。本明細書において説明されるもの以外に、その範囲を逸脱することなく、開示される実施形態および実装に対して様々な修正が行われ得る。従って、本明細書における図示および例は、限定的な意味ではなく例示的な意味に解釈されるべきである。本発明の範囲および趣旨は、以下の特許請求の範囲を参照することのみにより判断されるべきである。
[項目1]
汎用プロセッサコアと、
複数のグラフィックスオペレーションを実行する複数の命令を処理する1または複数のグラフィックスプロセッサコアと、
上記1または複数のグラフィックスプロセッサコアを相互接続し、上記1または複数のグラフィックスプロセッサコアを共有リソースと結合する、少なくとも1つのデータチャネルを有する相互接続ロジックとを備え、
上記相互接続ロジックは、仮想チャネルを介して上記1または複数のグラフィックスプロセッサコアと上記共有リソースとの間でデータ配信を可能にし、
上記仮想チャネルは、複数のトラフィック分類を有するプログラミング可能なトラフィック分類システムを含む、プロセッサ。
[項目2]
上記少なくとも1つのデータチャネルは、共有バスである、項目1に記載のプロセッサ。
[項目3]
上記相互接続ロジックは、複数のデータチャネルを有する、項目1に記載のプロセッサ。
[項目4]
上記複数のデータチャネルの各々は、別個にクロックゲートされるバスであり、
各バスは、受信アクティビティをシグナリングする複数の初期指示を用いる、項目3に記載のプロセッサ。
[項目5]
上記共有リソースは、共有メモリリソースである、項目1に記載のプロセッサ。
[項目6]
上記共有メモリリソースは、共有キャッシュである、項目5に記載のプロセッサ。
[項目7]
上記相互接続ロジックは、複数の仮想チャネルを介して上記データ配信を可能にする、項目1に記載のプロセッサ。
[項目8]
上記複数の仮想チャネルは、プログラミング可能な優先順位システムに基づいて調整される、項目7に記載のプロセッサ。
[項目9]
上記プログラミング可能なトラフィック分類システムは、上記複数のトラフィック分類のうちの少なくとも1つを上記複数の仮想チャネルの単一の仮想チャネルに割り当てる、項目7に記載のプロセッサ。
[項目10]
上記複数のトラフィック分類は、プログラミング可能な優先順位を含む、項目1に記載のプロセッサ。
[項目11]
上記プログラミング可能な優先順位は、複数の仮想チャネルの単一の仮想チャネル内のトラフィック分類に関する、項目10に記載のプロセッサ。
[項目12]
上記相互接続ロジックは、上記1または複数のグラフィックスプロセッサコアより高い周波数で動作する、項目1に記載のプロセッサ。
[項目13]
上記1または複数のグラフィックスプロセッサコアは、複数のグラフィックスプロセッサコアを含む3次元(3D)集積回路スタックを有する、項目1に記載のプロセッサ。
[項目14]
複数のコアを有し、少なくとも1つのコアは、複数のグラフィックス命令を処理するための命令セットを含む、プロセッサと、
上記プロセッサと結合されたメモリとを備え、
上記少なくとも1つのコアは、少なくとも1つのクロックゲートされた物理データチャネルおよび1または複数の仮想チャネルを有する相互接続ロジックを介して上記プロセッサ上の共有リソースと結合し、
各仮想チャネルは、複数のプログラミング可能なトラフィック分類を有するデータを搬送し、
上記複数のプログラミング可能なトラフィック分類の各々は、複数の優先順位付けされた仮想チャネルのうちの1つに割り当てられる、システム。
[項目15]
上記プロセッサは、複数のグラフィックスプロセッサコアを含む3次元(3D)集積回路スタックを有する、項目14に記載のシステム。
[項目16]
ソースノードからターゲットノードへのメッセージについて複数のノード共有バス上におけるチャネルアクセス状態を決定するための手段と、
第1のデータチャネルを介して上記ソースノードからターゲットノードへとメッセージを送信するための手段と、
第1のグラフィックスプロセッサコアと結合された第1のデータバスコネクタにおいて上記メッセージを受信するための手段と、
少なくとも上記ソースノードおよび上記ターゲットノードに基づいて、第1のデータチャネルから第2のデータチャネルへと上記メッセージを切り替えるための手段とを備え、
上記複数のノードのうちの少なくとも1つは、グラフィックスプロセッサコアと結合し、上記複数のノードのうちの少なくとも1つは、共有リソースと結合し、
上記メッセージは、第1の優先順位を有する第1のトラフィック分類を含む、装置。
[項目17]
チャネルアクセスを決定するための上記手段は、
チャネルアクセスプロトコルを用いて、第3のデータチャネルがメッセージの送信に利用可能であるか否かを判断するための手段と、
上記第3のデータチャネルを介した送信がブロックされることを判断した後、上記第1のデータチャネルを介してメッセージを送信するための手段とを有する、項目16に記載の装置。
[項目18]
上記第1のデータチャネル、上記第2のデータチャネル、および上記第3のデータチャネルは、仮想データチャネルである、項目17に記載の装置。
[項目19]
上記チャネルアクセスプロトコルは、時分割多重アクセスプロトコルである、項目17に記載の装置。
[項目20]
上記チャネルアクセスプロトコルは、搬送波感知多重アクセスプロトコルである、項目17に記載の装置。
[項目21]
複数のグラフィックスプロセッサコアを含む少なくとも1つの三次元(3D)集積回路スタックを更に備える、項目16〜20のいずれか1項に記載の装置。
[項目22]
複数のグラフィックスプロセッサコアを含む3次元(3D)集積回路スタックの複数のノード共有バス上における、ソースノードからターゲットノードへのメッセージについてチャネルアクセス状態を決定する段階と、
第1のデータチャネルを介して上記ソースノードからターゲットノードへとメッセージを送信する段階と、
第1のグラフィックスプロセッサコアと結合された第1のデータバスコネクタにおいて上記メッセージを受信する段階と、
少なくとも上記ソースノードおよび上記ターゲットノードに基づいて、第1のデータチャネルから第2のデータチャネルへと上記メッセージを切り替える段階とを備え、
上記複数のノードのうちの少なくとも1つは、上記複数のグラフィックスプロセッサコアのうちの少なくとも1つと結合し、上記複数のノードのうちの少なくとも1つは、共有リソースと結合し、
上記メッセージは、第1の優先順位を有する第1のトラフィック分類を含む、機械実装方法。
[項目23]
チャネルアクセスを決定する段階は、
チャネルアクセスプロトコルを用いて、第3のデータチャネルが上記メッセージの送信に利用可能であるか否かを判断する段階と、
上記第3のデータチャネルを介した送信がブロックされることを判断した後、上記第1のデータチャネルを介して上記メッセージを送信する段階とを有し、
上記チャネルアクセスプロトコルは、時分割多重アクセスおよび搬送波感知多重アクセスのうちの1または複数を含む、項目22に記載の機械実装方法。
[項目24]
上記第1のデータチャネル、上記第2のデータチャネル、および上記第3のデータチャネルは、仮想データチャネルである、項目23に記載の機械実装方法。
[項目25]
少なくとも1つの機械により実行されると、上記少なくとも1つの機械に、項目22〜24のいずれか1項に記載の方法を実行する少なくとも1つの集積回路を製造させるデータを格納した機械可読媒体。

Claims (20)

  1. 第1のプロセッサと、
    1または複数のスルーシリコンビアを通じて、前記第1のプロセッサと通信可能に結合された第2のプロセッサであって、前記第1のプロセッサまたは前記第2のプロセッサのうちの少なくとも一方は、グラフィックスプロセッサである、第2のプロセッサと、
    前記第1のプロセッサおよび前記第2のプロセッサを共有リソースに通信可能に結合する相互接続ロジックであって、前記相互接続ロジックは、前記第1のプロセッサおよび前記第2のプロセッサと、対応するオンチップ相互接続を介して結合する、相互接続ロジックと
    を備え、
    前記相互接続ロジックは、前記共有リソースへの帯域幅を調整する帯域幅共有ロジックを含み、
    前記共有リソースは、前記相互接続ロジックを介して受信したデータをキャッシュするメモリを含む、異種3次元回路スタック。
  2. 前記共有リソースは、共有メモリリソースである、請求項1に記載の異種3次元回路スタック。
  3. 前記共有メモリリソースはダイナミックランダムアクセスメモリを含む、請求項2に記載の異種3次元回路スタック。
  4. 前記共有メモリリソースは不揮発性メモリを含む、請求項2に記載の異種3次元回路スタック。
  5. 前記相互接続ロジックは、前記第1のプロセッサまたは前記第2のプロセッサのうちの一方より高い周波数で動作する、請求項1から4のいずれか一項に記載の異種3次元回路スタック。
  6. 前記第1のプロセッサまたは前記第2のプロセッサのうちの少なくとも一方はアクセラレータである、請求項1から5のいずれか一項に記載の異種3次元回路スタック。
  7. 第3のプロセッサをさらに備え、前記第3のプロセッサは、アクセラレータまたはグラフィックスプロセッサを含む、請求項1から6のいずれか一項に記載の異種3次元回路スタック。
  8. 前記第3のプロセッサは、アクセラレータおよびグラフィックスプロセッサを含む、請求項7に記載の異種3次元回路スタック。
  9. 前記相互接続ロジックは、前記グラフィックスプロセッサの実行スレッドのために、前記共有リソースへのコヒーレントなアクセスを可能にする、請求項8に記載の異種3次元回路スタック。
  10. 前記相互接続ロジックは、プログラムで割り当てられるトラフィック分類を介したコヒーレントなアクセスを可能にする、請求項9に記載の異種3次元回路スタック。
  11. 1または複数のスルーシリコンビアを通じて第2のプロセッサと通信可能に結合される第1のプロセッサを含む異種3次元回路スタックであって、前記第1のプロセッサまたは前記第2のプロセッサのうちの少なくとも一方はグラフィックスプロセッサである、異種3次元回路スタックと、
    前記第1のプロセッサと通信可能に結合される第1のメモリと、
    前記第1のプロセッサおよび前記第2のプロセッサを共有リソースに通信可能に結合する相互接続ロジックであって、前記相互接続ロジックは、対応するオンチップ相互接続を介して前記第1のプロセッサおよび前記第2のプロセッサと結合する、相互接続ロジックと
    を備え、
    前記相互接続ロジックは、前記共有リソースへの帯域幅を調整する帯域幅共有ロジックを含み、
    前記共有リソースは、前記相互接続ロジックを介して受信したデータをキャッシュする第2のメモリを含む、システム。
  12. 前記第2のプロセッサはアクセラレータまたはグラフィックスプロセッサを含む、請求項11に記載のシステム。
  13. 前記第2のプロセッサはアクセラレータおよびグラフィックスプロセッサを含む、請求項12に記載のシステム。
  14. 前記共有リソースはダイナミックランダムアクセスメモリを含む共有メモリリソースである、請求項11から13のいずれか一項に記載のシステム。
  15. 前記第1のメモリはダイナミックランダムアクセスメモリを含む、請求項11から14のいずれか一項に記載のシステム。
  16. 前記相互接続ロジックは、前記第1のプロセッサまたは前記第2のプロセッサのうちの一方より高い周波数で動作する、請求項11から15のいずれか一項に記載のシステム。
  17. 異種3次元回路スタックを相互接続する方法であって、
    1または複数のスルーシリコンビアを通じて第1のプロセッサを第2のプロセッサに通信可能に結合する段階であって、前記第1のプロセッサまたは前記第2のプロセッサのうちの少なくとも一方はグラフィックスプロセッサであり、前記第1のプロセッサおよび前記第2のプロセッサは、対応するオンチップ相互接続を用いた相互接続ロジックを介して共有リソースに通信可能に結合される、段階と、
    前記共有リソースへの帯域幅を調整する帯域幅共有ロジックを構成する段階と、
    前記相互接続ロジックを介して受信したデータをキャッシュするメモリを構成する段階と
    を備える方法。
  18. 前記相互接続ロジックに第3のプロセッサを通信可能に結合する段階であって、前記第3のプロセッサはアクセラレータまたはグラフィックスプロセッサを含む、段階をさらに備える、請求項17に記載の方法。
  19. 1または複数のスルーシリコンビアを通じて第1のプロセッサを第2のプロセッサに通信可能に結合する手段であって、前記第1のプロセッサまたは前記第2のプロセッサのうちの少なくとも一方はグラフィックスプロセッサであり、前記第1のプロセッサおよび前記第2のプロセッサは、対応するオンチップ相互接続を用いた相互接続ロジックを介して共有リソースに通信可能に結合される、手段と、
    前記共有リソースへの帯域幅を調整する帯域幅共有ロジックを構成する手段と、
    前記相互接続ロジックを介して受信したデータをキャッシュするメモリを構成する手段と
    を備える装置。
  20. 前記相互接続ロジックに第3のプロセッサを通信可能に結合する手段であって、前記第3のプロセッサはアクセラレータまたはグラフィックスプロセッサを含む、手段をさらに備える、請求項19に記載の装置。
JP2019125214A 2014-06-30 2019-07-04 異種3次元回路スタック、システム、方法および装置 Active JP7000643B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/320,478 2014-06-30
US14/320,478 US9330433B2 (en) 2014-06-30 2014-06-30 Data distribution fabric in scalable GPUs

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016568914A Division JP6553648B2 (ja) 2014-06-30 2015-05-13 スケーラブルなgpuにおけるデータ配信ファブリック

Publications (2)

Publication Number Publication Date
JP2019207707A true JP2019207707A (ja) 2019-12-05
JP7000643B2 JP7000643B2 (ja) 2022-01-19

Family

ID=54931081

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016568914A Active JP6553648B2 (ja) 2014-06-30 2015-05-13 スケーラブルなgpuにおけるデータ配信ファブリック
JP2019125214A Active JP7000643B2 (ja) 2014-06-30 2019-07-04 異種3次元回路スタック、システム、方法および装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016568914A Active JP6553648B2 (ja) 2014-06-30 2015-05-13 スケーラブルなgpuにおけるデータ配信ファブリック

Country Status (8)

Country Link
US (4) US9330433B2 (ja)
EP (3) EP4283950A3 (ja)
JP (2) JP6553648B2 (ja)
KR (2) KR101913357B1 (ja)
CN (2) CN110415158B (ja)
BR (2) BR122019013525A8 (ja)
SG (2) SG11201610016QA (ja)
WO (1) WO2016003544A1 (ja)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10817043B2 (en) * 2011-07-26 2020-10-27 Nvidia Corporation System and method for entering and exiting sleep mode in a graphics subsystem
US10956485B2 (en) 2011-08-31 2021-03-23 Google Llc Retargeting in a search environment
US10630751B2 (en) * 2016-12-30 2020-04-21 Google Llc Sequence dependent data message consolidation in a voice activated computer network environment
US10157060B2 (en) 2011-12-29 2018-12-18 Intel Corporation Method, device and system for control signaling in a data path module of a data stream processing engine
US10331583B2 (en) 2013-09-26 2019-06-25 Intel Corporation Executing distributed memory operations using processing elements connected by distributed channels
US10614153B2 (en) 2013-09-30 2020-04-07 Google Llc Resource size-based content item selection
US10431209B2 (en) 2016-12-30 2019-10-01 Google Llc Feedback controller for data transmissions
US9703757B2 (en) 2013-09-30 2017-07-11 Google Inc. Automatically determining a size for a content item for a web page
US9330433B2 (en) 2014-06-30 2016-05-03 Intel Corporation Data distribution fabric in scalable GPUs
US10039046B2 (en) * 2014-07-21 2018-07-31 Cisco Technology, Inc. Traffic class capacity allocation in computer networks
US9720827B2 (en) * 2014-11-14 2017-08-01 Intel Corporation Providing multiple memory modes for a processor including internal memory
EP3065051A1 (en) * 2015-03-05 2016-09-07 Ingo Josopait Flow control for language-embedded programming in general-purpose computing on graphics processing units
US11165717B2 (en) 2015-10-26 2021-11-02 Western Digital Technologies, Inc. Fabric interconnection for memory banks based on network-on-chip methodology
US10606779B2 (en) 2016-09-16 2020-03-31 Altera Corporation Methods and apparatus for performing partial reconfiguration in a pipeline-based network topology
US10558575B2 (en) 2016-12-30 2020-02-11 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
US10572376B2 (en) 2016-12-30 2020-02-25 Intel Corporation Memory ordering in acceleration hardware
US11934945B2 (en) 2017-02-23 2024-03-19 Cerebras Systems Inc. Accelerated deep learning
EP3607506B1 (en) 2017-04-17 2021-07-14 Cerebras Systems Inc. Fabric vectors for deep learning acceleration
JP6854473B2 (ja) 2017-04-17 2021-04-07 セレブラス システムズ インク. 加速化ディープラーニングのデータフロー・トリガー・タスク
US11488004B2 (en) 2017-04-17 2022-11-01 Cerebras Systems Inc. Neuron smearing for accelerated deep learning
US11003616B1 (en) * 2017-06-27 2021-05-11 Amazon Technologies, Inc Data transfer using point-to-point interconnect
US11086816B2 (en) 2017-09-28 2021-08-10 Intel Corporation Processors, methods, and systems for debugging a configurable spatial accelerator
US20190101952A1 (en) * 2017-09-30 2019-04-04 Intel Corporation Processors and methods for configurable clock gating in a spatial array
US10565134B2 (en) 2017-12-30 2020-02-18 Intel Corporation Apparatus, methods, and systems for multicast in a configurable spatial accelerator
US11709624B2 (en) * 2018-02-15 2023-07-25 Xilinx, Inc. System-on-chip having multiple circuits and memory controller in separate and independent power domains
CN110297779A (zh) * 2018-03-23 2019-10-01 余晓鹏 一种内存难解性算法的解决方法
US10564980B2 (en) 2018-04-03 2020-02-18 Intel Corporation Apparatus, methods, and systems for conditional queues in a configurable spatial accelerator
US11307873B2 (en) 2018-04-03 2022-04-19 Intel Corporation Apparatus, methods, and systems for unstructured data flow in a configurable spatial accelerator with predicate propagation and merging
US10990552B1 (en) * 2018-04-03 2021-04-27 Xilinx, Inc. Streaming interconnect architecture for data processing engine array
US11513840B2 (en) * 2018-05-07 2022-11-29 Micron Technology, Inc. Thread creation on local or remote compute elements by a multi-threaded, self-scheduling processor
CN110609744B (zh) * 2018-06-15 2023-06-09 伊姆西Ip控股有限责任公司 处理计算任务的方法、设备和计算机程序产品
US10891240B2 (en) 2018-06-30 2021-01-12 Intel Corporation Apparatus, methods, and systems for low latency communication in a configurable spatial accelerator
US11200186B2 (en) 2018-06-30 2021-12-14 Intel Corporation Apparatuses, methods, and systems for operations in a configurable spatial accelerator
CN109033001B (zh) * 2018-07-17 2021-08-27 北京百度网讯科技有限公司 用于分配gpu的方法和装置
US10846138B2 (en) 2018-08-23 2020-11-24 Hewlett Packard Enterprise Development Lp Allocating resources of a memory fabric
WO2020044152A1 (en) 2018-08-28 2020-03-05 Cerebras Systems Inc. Scaled compute fabric for accelerated deep learning
WO2020044208A1 (en) 2018-08-29 2020-03-05 Cerebras Systems Inc. Isa enhancements for accelerated deep learning
US11328208B2 (en) 2018-08-29 2022-05-10 Cerebras Systems Inc. Processor element redundancy for accelerated deep learning
US10713748B2 (en) 2018-09-05 2020-07-14 Apple Inc. Display pipeline memory bandwidth allocation systems and methods
US10726516B2 (en) * 2018-10-11 2020-07-28 Futurewei Technologies, Inc. Arithmetic logic unit (ALU)-centric operations in graphics processing units (GPUs)
CN109643301B (zh) * 2018-10-30 2023-06-30 北京比特大陆科技有限公司 多核芯片数据总线布线结构和数据发送的方法
US11288194B2 (en) 2018-12-12 2022-03-29 International Business Machines Corporation Global virtual address space consistency model
US11561844B2 (en) 2018-12-12 2023-01-24 International Business Machines Corporation Disaggregated system domain
US10678724B1 (en) 2018-12-29 2020-06-09 Intel Corporation Apparatuses, methods, and systems for in-network storage in a configurable spatial accelerator
US11074666B2 (en) * 2019-01-30 2021-07-27 Sony Interactive Entertainment LLC Scalable game console CPU/GPU design for home console and cloud gaming
US10848440B2 (en) * 2019-03-20 2020-11-24 Alibaba Group Holding Limited Systems and methods for allocating bandwidth across a cluster of accelerators
US11029927B2 (en) 2019-03-30 2021-06-08 Intel Corporation Methods and apparatus to detect and annotate backedges in a dataflow graph
US10965536B2 (en) 2019-03-30 2021-03-30 Intel Corporation Methods and apparatus to insert buffers in a dataflow graph
US10915471B2 (en) 2019-03-30 2021-02-09 Intel Corporation Apparatuses, methods, and systems for memory interface circuit allocation in a configurable spatial accelerator
US10817291B2 (en) 2019-03-30 2020-10-27 Intel Corporation Apparatuses, methods, and systems for swizzle operations in a configurable spatial accelerator
WO2020210390A1 (en) * 2019-04-09 2020-10-15 Sunrise Memory Corporation Quasi-volatile memory device with a back-channel usage
US11037050B2 (en) 2019-06-29 2021-06-15 Intel Corporation Apparatuses, methods, and systems for memory interface circuit arbitration in a configurable spatial accelerator
FR3099262B1 (fr) * 2019-07-23 2023-10-20 Zodiac Aero Electric Système de traitement graphique de données
KR20210041233A (ko) * 2019-10-07 2021-04-15 에스케이하이닉스 주식회사 메모리 시스템, 메모리 컨트롤러 및 동작 방법
US11263044B2 (en) 2019-11-22 2022-03-01 Advanced Micro Devices, Inc. Workload-based clock adjustment at a processing unit
PH12019050292A1 (en) 2019-12-22 2021-11-08 Samsung Electronics Ltd Method for scaling gpu in the cloud
US11907713B2 (en) 2019-12-28 2024-02-20 Intel Corporation Apparatuses, methods, and systems for fused operations using sign modification in a processing element of a configurable spatial accelerator
US11847489B2 (en) * 2021-01-26 2023-12-19 Apple Inc. United states graphics processor techniques with split between workload distribution control data on shared control bus and corresponding graphics data on memory interfaces
US11941742B2 (en) 2022-06-23 2024-03-26 Apple Inc. Tiled processor communication fabric
CN117176674B (zh) * 2023-11-02 2024-02-02 上海芯高峰微电子有限公司 片上网络及数据传输方法、芯片、设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004538548A (ja) * 2001-02-24 2004-12-24 インターナショナル・ビジネス・マシーンズ・コーポレーション 新規の大量並列スーパーコンピュータ
JP2008251666A (ja) * 2007-03-29 2008-10-16 Tohoku Univ 三次元構造半導体装置
JP2011113516A (ja) * 2009-11-30 2011-06-09 National Institute Of Advanced Industrial Science & Technology Lsiチップ積層システム
JP2013175732A (ja) * 2013-03-07 2013-09-05 Hitachi Ltd 半導体装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6578068B1 (en) * 1999-08-31 2003-06-10 Accenture Llp Load balancer in environment services patterns
US7538772B1 (en) * 2000-08-23 2009-05-26 Nintendo Co., Ltd. Graphics processing system with enhanced memory controller
US7114043B2 (en) * 2002-05-15 2006-09-26 Broadcom Corporation Ambiguous virtual channels
US7402509B2 (en) * 2005-03-16 2008-07-22 Intel Corporation Method of forming self-passivating interconnects and resulting devices
EP1963963A2 (en) * 2005-12-06 2008-09-03 Boston Circuits, Inc. Methods and apparatus for multi-core processing with dedicated thread management
JP4254779B2 (ja) * 2005-12-07 2009-04-15 トヨタ自動車株式会社 車両異常通知システム及び装置並びに車載装置
US9367493B2 (en) 2005-12-09 2016-06-14 Globalfoundries Inc. Method and system of communicating between peer processors in SoC environment
US9035959B2 (en) 2008-03-28 2015-05-19 Intel Corporation Technique to share information among different cache coherency domains
US8321614B2 (en) * 2009-04-24 2012-11-27 Empire Technology Development Llc Dynamic scheduling interrupt controller for multiprocessors
US8766988B2 (en) * 2009-10-09 2014-07-01 Nvidia Corporation Providing pipeline state through constant buffers
JP5559507B2 (ja) * 2009-10-09 2014-07-23 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びこれを備える情報処理システム
US9367462B2 (en) * 2009-12-29 2016-06-14 Empire Technology Development Llc Shared memories for energy efficient multi-core processors
CN103635875B (zh) * 2011-03-25 2018-02-16 英特尔公司 用于通过使用由可分区引擎实例化的虚拟核来支持代码块执行的存储器片段
US9432298B1 (en) * 2011-12-09 2016-08-30 P4tents1, LLC System, method, and computer program product for improving memory systems
US9753858B2 (en) * 2011-11-30 2017-09-05 Advanced Micro Devices, Inc. DRAM cache with tags and data jointly stored in physical rows
US20130141442A1 (en) * 2011-12-06 2013-06-06 John W. Brothers Method and apparatus for multi-chip processing
US9047243B2 (en) * 2011-12-14 2015-06-02 Ip Reservoir, Llc Method and apparatus for low latency data distribution
US9860188B2 (en) * 2011-12-22 2018-01-02 International Business Machines Corporation Flexible and scalable enhanced transmission selection method for network fabrics
WO2013186694A2 (en) 2012-06-11 2013-12-19 Stefanos Kaxiras System and method for data classification and efficient virtual cache coherence without reverse translation
JP6308738B2 (ja) 2012-10-25 2018-04-11 セイコーインスツル株式会社 ウォッチ、表示制御方法およびプログラム
US9269120B2 (en) 2012-11-06 2016-02-23 Intel Corporation Dynamically rebalancing graphics processor resources
US8625422B1 (en) 2012-12-20 2014-01-07 Unbound Networks Parallel processing using multi-core processor
US9065722B2 (en) * 2012-12-23 2015-06-23 Advanced Micro Devices, Inc. Die-stacked device with partitioned multi-hop network
US9330433B2 (en) 2014-06-30 2016-05-03 Intel Corporation Data distribution fabric in scalable GPUs

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004538548A (ja) * 2001-02-24 2004-12-24 インターナショナル・ビジネス・マシーンズ・コーポレーション 新規の大量並列スーパーコンピュータ
JP2008251666A (ja) * 2007-03-29 2008-10-16 Tohoku Univ 三次元構造半導体装置
JP2011113516A (ja) * 2009-11-30 2011-06-09 National Institute Of Advanced Industrial Science & Technology Lsiチップ積層システム
JP2013175732A (ja) * 2013-03-07 2013-09-05 Hitachi Ltd 半導体装置

Also Published As

Publication number Publication date
WO2016003544A1 (en) 2016-01-07
CN106462939A (zh) 2017-02-22
KR20170005032A (ko) 2017-01-11
US20190012762A1 (en) 2019-01-10
US9330433B2 (en) 2016-05-03
JP6553648B2 (ja) 2019-07-31
CN110415158B (zh) 2023-05-30
EP3161783B1 (en) 2020-09-30
US10580109B2 (en) 2020-03-03
EP3576044A1 (en) 2019-12-04
SG11201610016QA (en) 2016-12-29
EP3576044B1 (en) 2023-11-15
US20150379670A1 (en) 2015-12-31
BR112016028116B1 (pt) 2023-04-11
BR122019013525A2 (ja) 2017-08-22
EP4283950A2 (en) 2023-11-29
EP3161783A1 (en) 2017-05-03
US10346946B2 (en) 2019-07-09
KR20180129856A (ko) 2018-12-05
BR122019013525A8 (pt) 2022-09-13
KR101913357B1 (ko) 2018-10-30
SG10201906287SA (en) 2019-08-27
CN106462939B (zh) 2020-03-13
US20190272615A1 (en) 2019-09-05
JP7000643B2 (ja) 2022-01-19
BR112016028116A8 (pt) 2023-02-14
CN110415158A (zh) 2019-11-05
JP2017517810A (ja) 2017-06-29
KR102218332B1 (ko) 2021-02-19
US20160284046A1 (en) 2016-09-29
US10102604B2 (en) 2018-10-16
BR112016028116A2 (ja) 2017-08-22
EP4283950A3 (en) 2024-03-06
EP3161783A4 (en) 2018-03-07

Similar Documents

Publication Publication Date Title
JP7000643B2 (ja) 異種3次元回路スタック、システム、方法および装置
US10504275B2 (en) Methods and apparatus for more efficient ray tracing of instanced geometry
CN110136223B (zh) 使用三角形的属性的加权平均来合并粗像素着色的片段
CN109923519B (zh) 用于加速多核计算架构中的图形工作负荷的机制
US9990758B2 (en) Bounding volume hierarchy generation using a heterogeneous architecture
CN106575430B (zh) 用于像素哈希的方法和装置
JP6379225B2 (ja) 効果的なテクスチャ圧縮のための方法および装置
US20170140570A1 (en) Facilitating efficeint centralized rendering of viewpoint-agnostic graphics workloads at computing devices
US9983884B2 (en) Method and apparatus for SIMD structured branching
JP2018502381A (ja) 位置限定パイプラインにおける減らされたソーティング
JP6470766B2 (ja) 現在の状態に基づいてシェーダプログラムをアップデートするための方法および装置
CN109564676B (zh) 管芯上曲面细分分配
US9952901B2 (en) Power efficient hybrid scoreboard method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190708

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201207

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210902

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20210902

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210914

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210921

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211126

R150 Certificate of patent or registration of utility model

Ref document number: 7000643

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150