JP2018518757A - レイアウト系検査のための解析プロセス・パラメータの視覚化 - Google Patents

レイアウト系検査のための解析プロセス・パラメータの視覚化 Download PDF

Info

Publication number
JP2018518757A
JP2018518757A JP2017560224A JP2017560224A JP2018518757A JP 2018518757 A JP2018518757 A JP 2018518757A JP 2017560224 A JP2017560224 A JP 2017560224A JP 2017560224 A JP2017560224 A JP 2017560224A JP 2018518757 A JP2018518757 A JP 2018518757A
Authority
JP
Japan
Prior art keywords
layout design
analysis process
design data
parameters
process parameters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017560224A
Other languages
English (en)
Other versions
JP2018518757A5 (ja
JP6803857B2 (ja
Inventor
ギブソン,パトリック・ディー
カラス,ファルハード・ティー
チャン,イ−シャン
ジャクソン・サード,マクドナルド・ホール
Original Assignee
メンター・グラフィクス・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by メンター・グラフィクス・コーポレーション filed Critical メンター・グラフィクス・コーポレーション
Publication of JP2018518757A publication Critical patent/JP2018518757A/ja
Publication of JP2018518757A5 publication Critical patent/JP2018518757A5/ja
Application granted granted Critical
Publication of JP6803857B2 publication Critical patent/JP6803857B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/10Noise analysis or noise optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

視覚的識別のために回路解析プロセスのパラメータをマークするための技術及びメカニズムが開示される。視覚的に識別されたパラメータは、その場合、回路解析の結果とともに使用されてレイアウト設計をデバッグすることができる。【選択図】図4A及び図4B

Description

関連出願の相互参照
この出願は、「レイアウト系検査のための解析プロセス・パラメータの視覚化」と題する2015年5月19日に出願された米国仮特許出願第14/716,775号の優先権を主張し、その全体が参照により本明細書に援用される。
本発明は、レイアウト設計データの特徴をマークするための技術に関する。本発明の様々な実施態様は、特にレイアウト設計データにおける回路解析パラメータをマークするのに有用であり得る。マークされたパラメータは、この場合、回路解析の結果とともに使用されてレイアウト設計をデバッグすることができる。
集積超小型回路などの電子回路が、自動車から、電子レンジ、パーソナル・コンピュータまで様々な製品で使用されている。超小型回路デバイスの設計及び製造は、「設計フロー」として知られる多数のステップを通常含んでいる。設計フローの特定のステップは、設計される超小型回路の種類、その複雑さ、設計チーム、及び超小型回路を製造することになる超小型回路製造業者又は半導体製造工場に依存する場合が多い。通常、ソフトウェア及びハードウェア「ツール」が、ソフトウェア・シミュレータ及び/又はハードウェア・エミュレータを動作させることにより設計フローの様々な段階で設計を検証し、設計の誤りが修正される。
いくつかのステップは、ほとんどの設計フローに共通している。最初に、新しい超小型回路の仕様を論理設計に変換する。この論理設計は回路のレジスタ転送レベル(RTL)記述と呼ばれることがある。この論理設計を用いて、回路は、ハードウェア・レジスタ間の信号の交換と、それらの信号上で実行される論理演算間の信号の交換との両方に関して記述される。論理設計は、通常、超高速集積回路ハードウェア設計言語(VHDL)などのハードウェア設計言語(HDL)を使用する。その後、回路のロジックを分析して、回路に要求される機能を、設計に組み込まれたロジックが正確に実行するであろうことを確認する。この分析は、「機能検証」と呼ばれることがある。
論理設計の正確度が確認された後に、論理設計は合成ソフトウェアによってデバイス設計に変換される。通常、回路図又はネットリストの形態のデバイス設計では、回路内で使用されることになる特定の電子デバイス(トランジスタ、抵抗器、及びコンデンサなど)が、それらの相互接続とともに記述される。この論理設計は、一般的に、従来の回路図に表示される表現のレベルに対応する。この段階で、各デバイスに対する推定特性速度を用いて回路の部分に対する事前時間推定を行い得る。さらに、電子デバイス間の関係を解析して、デバイス設計により記述された回路が要求機能を正確に実行するであろうことを確認する。この解析は、「形式的検証」と呼ばれることがある。
回路デバイス間の関係が確立されると、今度は特定の幾何学的要素を記述する物理設計へと設計が再び変換される。この種類の設計は「レイアウト」設計と呼ばれることが多い。幾何学的要素は、回路を構成する回路デバイス構成要素(例えば、コンタクト、ゲートなど)を実際に製造するために様々な材料で作られるであろう形状を画定する。幾何学的要素は通常、多角形であるが、円形や楕円形などの他の形状も使用し得る。これらの幾何学的要素は、以前になされた設計のライブラリ、又はその両方の何らかの組み合わせから選択されたカスタム設計であり得る。これらの回路デバイスを相互接続する幾何学的要素も加えて、接続線を形成する。これらのタスクの両方に対して、メンター・グラフィックスのICステーション、又はケイデンス社(Cadence)のヴィルトゥーソ(Virtuoso)などのレイアウト・ツール(「プレイス・アンド・ルート」ツールと呼ばれることが多い)が一般的に使用される。
レイアウト設計では、超小型回路の各物理層は、対応する層表現を有し、層表現内に記述された幾何学的要素は、回路デバイスを構成する回路デバイス構成要素の相対的位置を規定する。したがって、金属層として表現された幾何学的要素は、他の回路デバイスを接続するために導線を形成することになる金属層内の位置を規定する。通常、設計者はレイアウト設計でいくつかの解析又は「検査」を実行する。例えば、レイアウト設計を解析して、デバイス設計において記述された回路デバイス及びそれらの関係を正確に表すことを確認し得る。レイアウト設計を解析して、幾何学的要素間の最小間隔などの様々な設計要件に準拠していることも確認し得る。さらに、製造プロセスの制限等に対処するために冗長又は他の補償幾何学的要素の使用を含むように修正し得る。物理レイアウト設計データ上のこれらのプロセスは、「物理的検証」と呼ばれる場合が多い。
レイアウト設計を仕上げた後に、フォトリソグラフィー製造プロセス用のマスク又はレチクルを生成するために、マスク又はレチクル書き込みツールにより使用できるフォーマットに、レイアウト設計を変換する。マスク及びレチクルは、通常、ブランクのレチクルを電子ビーム又はレーザ・ビームにさらすツールを用いて作られている。ほとんどのマスク書き込みツールは、直角三角形、長方形、又は他の台形などの特定の種類の多角形をただ「書き込む」ことができるだけである。さらに、多角形の寸法は、ツールに利用可能な最大ビーム開口寸法によって物理的に制限される。したがって、レイアウト設計内の、より大きな幾何学的要素、又は直角三角形でもなく、長方形でもなく、もしくは台形でもない幾何学的要素(通常、レイアウト設計内の幾何学的要素の大部分である)は、マスク又はレチクル書き込みツールにより書き込むことができる、より小さな、より基本的な多角形に「分割され」なければならない。
レイアウト設計が分割されると、その場合、レイアウト設計データをマスク又はレチクル書き込みツールと互換性を有するフォーマットに変換することができる。このようなフォーマットの例は、アプライドマテリアルズ社(Applied Materials Company)系列のイーテック(ETEC)社により製造されたラスター・スキャニング装置用のMEBES、スウェーデンのMicronics ABからの「MIC」フォーマット、ならびにVSB12又はVSB12などの、ニューフレア(Nuflare)、JEOL、及び日立の装置用の様々なベクトル・スキャン・フォーマットである。その場合に、書き込まれたマスク又はレチクルをフォトリソグラフィー・プロセスで使用して、ウェハの選択された領域を露光し、ウェハ上に所望の集積回路デバイスを製造することができる。
物理的検証プロセスに戻って、設計者は、設計データから製造された回路が、適切に動作することを確認するために、2点間抵抗検査、電流密度検査、及びエレクトロマイグレーション検査などの、レイアウト設計データに様々な解析プロセスを適用し得る。そのような解析の1つは、静電放電保護回路が意図したとおりに動作するかどうかを判断する静電放電保護検査である。この解析を実行するために、設計者は、オレゴン州ウィルソンヴィルのメンター・グラフィックス(登録商標)コーポレーションから入手可能な、プログラム可能な電気的ルールチェッカー(PERC)ツール、電子設計自動化ツールのキャリバ(登録商標)ファミリーの部品などの解析ツールを使用し得る。ユーザーの指示下で、PERCツールは、レイアウト設計の選択された部分に1つ以上の代表電流源を適用して、ノード電圧を求めることができる(すなわち、レイアウト設計データから製造されたデバイスに供給される実際の電流源を表す仮想電流源を適用する)。この動作は、レイアウト設計の選択された部分の各ノードに代表電圧をもたらし、そこからツールは、それらの選択された部分の回路素子(例えば、相互接続)の代表電流密度を決定することができる。通常、ユーザーは、PERCツールに代表電流密度の変動を示すカラーマップを作成させる。静電放電保護回路の代表電流密度がその構造に許容される最大電流密度を超える場合、設計者はこの欠陥を修正するために設計を修正する必要がある。
しかし、実際問題として、そのような代表電流密度変動カラーマップは、設計者が回路レイアウト設計データをデバッグするのに用いることが困難である。レイアウト設計データは、通常、いくつかの構造層を表し、カラーマップ上の項目をオーバーラップさせる。さらに、この種類のカラーマップは、代表電流が注入されている場所、代表電圧シンクの位置などの、設計上の欠陥を後で修正するために有用な情報を設計者に提供しない。
本発明の態様は、視覚的識別のために回路解析プロセスのパラメータをマークするためのメカニズムに関する。マークされたパラメータは、この場合、回路解析の結果とともに使用されてレイアウト設計をデバッグすることができる。
本発明のいくつかの実施形態は、静電放電保護解析とともに使用されて、静電放電保護回路が意図したとおりに動作することを確実にし得る。これらの実施形態では、静電放電保護解析プロセスの1つ以上のパラメータがレイアウト設計データにおいてマークされる。例えば、代表電流源(あるいは、代表電圧値)が生成され、代表ノード電圧が静電気保護解析プロセス中に計算される場合、プロセスで使用される代表電流源(又は電圧値)、代表電圧シンク、ネット間接続、及びショートグループのそれぞれは、レイアウト設計データにおいて特にマークされる。静電放電保護解析プロセスによって誤りが識別されると、設計者は、マーカーを使用して解析パラメータを視覚的に表示して、レイアウト設計データの修正を支援することが可能である。本発明のさらに他の実施形態は、2点間抵抗検査、電流密度検査、及びエレクトロマイグレーション検査などの他の回路解析のためのパラメータをマークし、表示し得る。
本明細書で開示される一実施形態は、回路のレイアウト設計を記述するレイアウト設計データ用の回路解析プロセスの解析プロセス・パラメータを決定するステップと、1つ以上の解析プロセス・パラメータに関連する回路解析情報を保存することによって1つ以上の解析プロセス・パラメータを記録するステップと、レイアウト設計データを修正して、1つ以上のマーカーを提供して、1つ以上の解析プロセス・パラメータを視覚的に識別するステップとを含む、方法(例えば、コンピュータ実装電子設計自動化方法)である。いくつかの実施態様では、方法が、回路解析プロセスを実行するステップをさらに含む。特定の実施態様では、回路解析プロセスが静電放電保護解析プロセスである。いくつかの実施態様では、方法が、決定された解析プロセス・パラメータのサブセットを識別するユーザー選択を受信するステップをさらに含み、レイアウト設計データを修正するステップは、レイアウト設計データを修正して、解析プロセス・パラメータの選択されたサブセットのためのマーカーを提供するステップを含む。特定の実施態様では、解析プロセス・パラメータが、代表電流源の位置、代表電圧源の位置、代表電圧シンクの位置、ネット間接続の位置、又はショートグループの位置のうちの1つ以上を含む。いくつかの実施態様では、記録される回路解析情報が、ショートグループ内のデバイスの位置、ショートグループ内のデバイスの1つの一意的に識別する名前、又はショートグループの名前のうちの1つ以上を含む。特定の実施態様では、記録された回路解析情報が、回路解析を実行するために使用される電流源を表す幾何学的要素のコピー、回路解析を実行するために使用される電圧シンクを表す幾何学的要素のコピー、回路解析を実行するために使用されるネット間接続を表す幾何学的要素のコピー、又は回路解析を実行するために使用されるショートグループを表す幾何学的要素のコピーのうちの1つ以上を含む。いくつかの実施態様では、記録される回路解析情報が、1つ以上の回路解析パラメータに言及するのに十分である。さらなる実施態様では、記録ステップが、レイアウト解析データに注釈を付けて、回路解析を実行するために使用される解析プロセス・パラメータとして、幾何要素内の1つ以上の幾何学的要素又は1つ以上の位置を識別するステップを含む。特定の実施態様では、記録ステップが、解析プロセス・パラメータの1つ以上についてのコンテキスト情報を含むようにレイアウト設計に注釈を付けるステップをさらに含む。いくつかの実施態様では、コンテキスト情報が、タイプ識別子及びプロセス識別子を含む。タイプ識別子によって識別されるタイプが、例えば、電流源、電圧源、電圧シンク、ネット間接続、又はショートグループの1つとすることができる。特定の実施態様では、レイアウト設計データを修正して、1つ以上のマーカーを提供して1つ以上の解析プロセス・パラメータを視覚的に識別するステップが、新しい設計対象又は新しいマーカーを含むようにレイアウト設計データを修正して1つ以上の解析プロセス・パラメータを識別するステップを含む。いくつかの実施態様では、レイアウト設計データを修正して、1つ以上のマーカーを提供して1つ以上の解析プロセス・パラメータを視覚的に識別するステップが、1つ以上の解析プロセス・パラメータを識別するために既存の幾何学的要素の修正バージョンを含むようにレイアウト設計データを修正するステップを含む。いくつかの実施態様では、異なる設計対象、マーカー、又は色が、異なる種類の解析プロセス・パラメータに使用され、異なる種類の回路解析プロセス・パラメータが、電流源、電圧源、電圧シンク、ネット間接続、又はショートグループの2つ以上を含む。いくつかの実施態様では、方法が、記録されマークされた解析プロセス・パラメータをユーザー・インタフェースを介して表示するステップをさらに含む。特定の実施態様では、方法が、レイアウト設計データによって記述されたレイアウト設計を1つ以上のマーカーとともに表示して、1つ以上の解析プロセス・パラメータを視覚的に識別するステップをさらに含む。いくつかの実施態様では、方法が、レイアウト設計データによって記述されたレイアウト設計を記録されマークされた解析プロセス・パラメータと同時に表示するステップをさらに含む。特定の実施態様では、方法が、解析プロセス・パラメータの1つ以上の選択を示すデータを受信して視覚的に識別するステップをさらに含み、レイアウト設計を表示するステップは、選択された解析プロセス・パラメータのためのマーカーをレイアウト設計とともに表示するステップを含む。いくつかの実施態様では、方法が、1つ以上の以前に選択された解析プロセス・パラメータの選択解除を示すデータを受信するステップをさらに含み、レイアウト設計を表示するステップは、選択解除された解析プロセス・パラメータのためのマーカーをレイアウト設計の表示上で非表示にするステップを含む。特定の実施態様では、方法が、記録されマークされた解析プロセス・パラメータに少なくとも部分的に基づいて、回路設計のレイアウトをデバッグするステップをさらに含む。
明細書に開示された別の例示の実施形態は、レイアウト設計データをデバッグする方法であって、レイアウト設計解析プロセスで使用されるパラメータを記録するステップと、レイアウト設計データ内でパラメータを視覚的に示すためにレイアウト設計データを修正するステップと、レイアウト設計データをデバッグする際に使用するために修正されたレイアウト設計データを表示するステップとを含む、方法である。いくつかの実施態様では、レイアウト設計解析プロセスが、静電放電保護解析プロセスである。特定の実施態様では、パラメータが、代表電流源の位置、代表電圧源の位置、代表電圧シンクの位置、ネット間接続の位置、及びショートグループの位置からなる群から選択される。いくつかの実施態様では、パラメータが、特定の代表電流源の位置、特定の代表電圧源の位置、特定の代表電圧シンクの位置、特定のネット間接続の位置、及び特定のショートグループからなる群から選択される。特定の実施態様では、パラメータが、そのパラメータを保存することによって記録される。いくつかの実施態様では、パラメータが、そのパラメータに関連するレイアウト設計データ内に少なくとも1つの幾何要素のコピーを保存することによって記録される。特定の実施態様では、パラメータが、レイアウト設計データに注釈を付けることによって記録される。さらに、レイアウト設計データに対する注釈が、パラメータのためのコンテキスト情報を含むことが可能である。いくつかの実施態様では、方法が、レイアウト設計解析プロセスで使用される複数の異なるパラメータを記録するステップと、レイアウト設計データ内の異なるパラメータを視覚的に区別するためにレイアウト設計データを修正するステップとをさらに含む。特定の実施態様では、方法が、表示された修正されたレイアウト設計データを使用して、レイアウト設計データをデバッグするステップをさらに含む。いくつかの実施態様では、方法が、修正されたレイアウト設計データをレイアウト設計解析プロセスの結果とともに表示するステップをさらに含む。特定の実施態様では、レイアウト設計においてパラメータを視覚的に示すためにレイアウト設計データを修正するステップが、レイアウト設計データに1つ以上のマーカーを追加するステップを含む。1つ以上のマーカーが、パラメータのためのコンテキスト情報を含むことが可能である。いくつかの実施態様では、レイアウト設計データ内においてパラメータを視覚的に示すためにレイアウト設計データを修正するステップが、パラメータに関連する幾何学的要素の少なくとも一部を修正するステップを含む。
本明細書に開示されたさらなる例示の実施形態は、レイアウト設計データをデバッグする方法であって、レイアウト設計解析プロセスで使用されるパラメータのサブセットの選択を受信するステップと、レイアウト設計データ内でパラメータのサブセットを視覚的に示すためにレイアウト設計データを修正するステップと、レイアウト設計データをデバッグする際に使用するための修正されたレイアウト設計データを表示するステップとを含む、方法である。いくつかの実施態様では、方法が、パラメータのサブセットの受信された選択に基づいて、レイアウト設計解析プロセスで使用されるパラメータを選択的に記録するステップをさらに含む。特定の実施態様では、方法が、レイアウト設計解析プロセスで使用されるパラメータを記録するステップと、レイアウト設計データ内のパラメータのサブセットを視覚的に示すためにレイアウト設計データを選択的に修正するステップとをさらに含む。いくつかの実施態様では、方法が、レイアウト設計データ内でパラメータを視覚的に示すためのマーカーを含むようにレイアウト設計データを修正するステップと、選択されたパラメータのサブセットを視覚的に示すためのマーカーのみを表示するステップとをさらに含む。
これらの例示の実施形態及び実施態様のいずれも、コンピュータによって実行されると、開示された方法のいずれかをコンピュータに実行させるコンピュータ実行可能命令を保存する1つ以上のコンピュータ読み取り可能媒体上で実装されることが可能である。方法は、開示された方法のいずれかを実行するように構成された電子設計自動化システム(例えば、コンピュータ実装静電放電保護解析ツール)によって実行することも可能である。さらに、本明細書で開示される任意の1つ以上の特徴は、本明細書で開示されるその他の1つ以上の特徴と組み合わせて使用することが可能である。
本発明のこれらの態様及び他の態様は、添付の図面を参照して以下により詳細に記載される。
図1は、本発明の様々な実施形態を実装するために使用され得る例示的なコンピューティング環境を示す。 図2は、本発明の様々な実施形態を実装するために使用され得る例示的なコンピューティング環境を示す。 図3は、本発明の様々な例によって提供され得る解析プロセス・パラメータ・マーキング・ツールの一例を示す。 図4Aは、本発明の様々な実施形態によるパラメータ・マーキング・ツールのオペレーションを示す。 図4Bは、本発明の様々な実施形態によるパラメータ・マーキング・ツールのオペレーションを示す。 図5は、本発明の様々な実施形態に従って使用され得る電流源についてのマーカーを示す。 図6は、本発明の様々な実施形態に従って使用され得る電圧シンクについてのマーカーを示す。 図7は、本発明の様々な実施形態に従って使用され得るネット間接続についてのマーカーを示す。 図8は、本発明の様々な実施形態に従って使用され得るショートグループについてのマーカーを示す。 図9は、本発明の様々な実施形態に従って閲覧するために解析プロセス・パラメータを選択するために提供され得るユーザー・インタフェースの一例を示す。
例示的なオペレーション環境
本発明の実施形態による様々な電子設計自動化プロセスの実行は、1つ以上のプログラマブル・コンピュータ・デバイスによって実行されるコンピュータ実行可能ソフトウェア命令を使用して実装し得る。本発明のこれらの実施形態は、ソフトウェア命令を使用して実装し得るので、本発明の様々な実施形態を採用し得る汎用プログラマブル・コンピュータ・システムの構成要素及びオペレーションをまず説明する。さらに、いくつかの電子設計自動化プロセスの複雑さ及び多くの回路設計の大きな寸法のために、様々な電子設計自動化ツールは、複数の処理スレッドを同時に実行できる計算機システム上でオペレーションするように構成されている。したがって、ホスト又はマスタ・コンピュータ及び1つ以上のリモート又はサーバント・コンピュータを有するコンピュータ・ネットワークの構成要素及びオペレーションを、図1を参照して説明する。しかし、このオペレーション環境は、適切なオペレーション環境の一例に過ぎず、本発明の使用又は機能の範囲に関するいかなる制限をも示唆するものではない。
図1において、コンピュータ・ネットワーク101はマスタ・コンピュータ103を含む。図示の例では、マスタ・コンピュータ103は、複数の入出力デバイス105及びメモリ107を含むマルチプロセッサ・コンピュータである。入出力デバイス105は、ユーザーから入力データを受信したり、又はユーザーに出力データを提供するための任意のデバイスを含み得る。入力デバイスは、例えば、ユーザーからの入力を受け取るためのキーボード、マイクロホン、スキャナ、又はポインティング・デバイスを含み得る。出力デバイスは、その場合、表示モニタ、スピーカ、プリンタ、又は触覚フィードバック・デバイスを含み得る。これらのデバイス及びそれらの接続は、当該技術分野において周知であるので、ここで詳細に議論はしない。
メモリ107は、同様に、マスタ・コンピュータ103によってアクセス可能な非一過性のコンピュータ読み取り可能媒体の任意の組み合わせを使用して実装され得る。本明細書では、非一過性という用語は、電磁信号を伝播するのとは対照的に、所望の時間引き続いて検索するための情報を保存する能力を指す。コンピュータ読み取り可能媒体は、例えば、読み出し書き込みメモリ(RAM)、読み出し専用メモリ(ROM)、電気的消却プログラム可能型読み出し専用メモリ(EEPROM)、もしくはフラッシュ・メモリ超小型回路デバイスなどの超小型回路メモリ・デバイス、CD−ROMディスク、デジタル・ビデオ・ディスク(DVD)、又は他の光記憶デバイスを含み得る。コンピュータ読み取り可能媒体は、磁気カセット、磁気テープ、磁気ディスク、もしくは他の磁気記憶デバイス、穿孔媒体、ホログラフィック・ストレージ・デバイス、又は所望の情報を保存するのに使用できる他の任意の媒体を含み得る。
以下に詳細に議論するように、マスタ・コンピュータ103は、本発明の様々な例による1つ以上のオペレーションを実行するためのソフトウェア・アプリケーションを実行する。したがって、メモリ107は、それが実行されると1つ以上のオペレーションを実装するためのソフトウェア・アプリケーションを実施することになるソフトウェア命令109Aを保存する。また、メモリ107は、ソフトウェア・アプリケーションとともに使用されるデータ109Bを保存する。図示の実施形態では、データ109Bは、ソフトウェア・アプリケーションがオペレーションを実行するために使用するプロセス・データを含み、オペレーションの少なくともいくつかは並列に実行し得る。
また、マスタ・コンピュータ103は、複数のプロセッサ・ユニット111と1つのインタフェース・デバイス113とを含む。プロセッサ・ユニット111は、ソフトウェア命令109Aを実行するようにプログラムできる任意の種類のプロセッサ・デバイスであり得るが、通常、マイクロプロセッサ・デバイスである。例えば、1つ以上のプロセッサ・ユニット111は、インテル(登録商標)のペンティアム(登録商標)もしくはジーオン(商標)マイクロプロセッサ、アドバンスト・マイクロ・デバイセスのアスロン(商標)マイクロプロセッサ、又はモトローラの68K/コールドファイア(登録商標)マイクロプロセッサなどの商業的に汎用のプログラマブル・マイクロプロセッサであり得る。代替として、又は加えて、1つ以上のプロセッサ・ユニット111は、特定の種類の数学演算を最適に実行するように設計されたマイクロプロセッサなどのカスタム製造プロセッサであり得る。インタフェース・デバイス113、プロセッサ・ユニット111、メモリ107、及び入出力デバイス105は、バス115により互いに接続されている。
本発明のいくつかの実施態様では、マスタ・コンピュータ・デバイス103には、2つ以上のプロセッサ・コアを有する1つ以上のプロセッサ・ユニット111を使用し得る。したがって、図2は、本発明の様々な実施形態で使用し得るマルチコア・プロセッサ・ユニット111の一例を示す。この図で分かるように、プロセッサ・ユニット111は複数のプロセッサ・コア201を含む。各プロセッサ・コア201は、計算エンジン203及びメモリ・キャッシュ205を含む。当業者に知られているように、計算エンジンは、ソフトウェア命令をフェッチすること、及び、その後、フェッチした命令内で指定された動作を実行することなどの様々な計算関数を実行するための論理デバイスを含む。これらの動作は、例えば、加算、減算、乗算、ならびに数字を比較すること、AND、OR、NOR、XORなどの論理演算を実行すること、及びデータを取得すること、を含み得る。次に、各計算エンジン203は、それに対応するメモリ・キャッシュ205を使用して、実行のためにデータ及び/又は命令を迅速に保存し、かつ検索し得る。
各プロセッサ・コア201は相互接続207に接続されている。相互接続207の特定の構成は、プロセッサ・ユニット201のアーキテクチャに応じて変化し得る。ソニーと、東芝と、IBM社とにより作られたセル・マイクロプロセッサなどのいくつかのプロセッサ・コア201では、相互接続207は相互接続バスとして実装され得る。しかしながら、カリフォルニア州サニーベールのアドバンスト・マイクロ・デバイセスから入手できるオプテロン(商標)及びアスロン(商標)デュアルコア・プロセッサなどの他のプロセッサ・ユニット201では、相互接続207は、システム要求インタフェース・デバイスとして実装され得る。いずれの場合でも、プロセッサ・コア201は、相互接続207を介して入出力インタフェース209及びメモリ・コントローラ211と通信する。入出力インタフェース209は、プロセッサ・ユニット201とバス115との間の通信インタフェースを提供する。同様に、メモリ・コントローラ211は、プロセッサ・ユニット201とシステム・メモリ107との間の情報交換を制御する。本発明のいくつかの実施態様では、プロセッサ・ユニット201は、プロセッサ・コア201によって共有されアクセス可能な高次キャッシュ・メモリなどの付加的な構成要素を含み得る。
図2は、本発明のいくつかの実施形態で使用し得るプロセッサ・ユニット201の1つの説明図を示しているが、この説明図はあくまで代表例を示したに過ぎず、限定しようとするものではないことを理解すべきである。例えば、いくつかの実施態様では、複数の個別のプロセッサ・ユニット111の代わりにマルチコア・プロセッサ・ユニット111を使用できることを理解すべきである。例えば、6個の個別のプロセッサ・ユニット111を使用するのではなく、本発明の他の実施態様では、6個のコアを有する単独のプロセッサ・ユニット111を使用したり、それぞれが3個ずつのコアを有する2個のマルチコア・プロセッサ・ユニットを使用したり、2個の個別のシングルコア・プロセッサ・ユニット111と、4個のコアを有するマルチコア・プロセッサ・ユニット111とを使用し得る。
ここで図1を参照すると、インタフェース・デバイス113は、マスタ・コンピュータ103が通信インタフェースを介してサーバント・コンピュータ117A、117B、117C....117xと通信することを可能にする。通信インタフェースは、例えば、従来の有線ネットワーク接続又は光伝送有線ネットワーク接続を含む任意の適切な種類のインタフェースであり得る。通信インタフェースは、無線光接続、無線周波数接続、赤外線接続、又は音響接続などの無線接続であり得る。インタフェース・デバイス113は、マスタ・コンピュータ103と及びサーバント・コンピュータ117のそれぞれからのデータ及び制御信号を、伝送制御プロトコル(TCP)、ユーザー・データグラム・プロトコル(UDP)、及びインターネット・プロトコル(IP)などの1つ以上の通信プロトコルに従ってネットワーク・メッセージに変換する。これら及び他の従来の通信プロトコルは、当該技術分野において周知であるので、ここでは詳細には議論しない。
各サーバント・コンピュータ117は、メモリ119と、プロセッサ・ユニット121と、インタフェース・デバイス123と、随意により、システム・バス127により一緒に接続された1つ以上の入出力デバイス125とを含み得る。サーバント・コンピュータ117用のオプションの入出力デバイス125は、マスタ・コンピュータ103と同様に、キーボード、ポインティング・デバイス、マイクロホン、表示モニタ、スピーカ、及びプリンタなどの任意の従来の入力デバイス又は出力デバイスを含み得る。同様に、プロセッサ・ユニット121は、任意の従来の種類の、又はカスタム製造のプログラマブル・プロセッサ・デバイスであり得る。例えば、1つ以上のプロセッサ・ユニット121は、インテル(登録商標)のペンティアム(登録商標)もしくはジーオン(商標)マイクロプロセッサ、アドバンスト・マイクロ・デバイセスのアスロン(商標)マイクロプロセッサ、又はモトローラの68K/コールドファイア(登録商標)マイクロプロセッサなどの商業的に汎用のプログラマブル・マイクロプロセッサであり得る。あるいは、1つ以上のプロセッサ・ユニット121は、特定の種類の数学演算を最適に実行するように設計されたマイクロプロセッサなどのカスタム製造プロセッサであり得る。さらに、1つ以上のプロセッサ・ユニット121は、前述の図2を参照して説明したように、2つ以上のコアを有し得る。例えば、本発明のいくつかの実施態様では、プロセッサ・ユニット121の1つ以上は、セル・プロセッサであり得る。その場合、メモリ119は、前述したコンピュータ読み取り可能媒体の任意の組み合わせを使用して実装し得る。インタフェース・デバイス113と同様に、インタフェース・デバイス123は、サーバント・コンピュータ117が通信インタフェースを介してマスタ・コンピュータ103と通信することを可能にする。
図示の例では、マスタ・コンピュータ103は複数のプロセッサ・ユニット111を備えたマルチプロセッサ・ユニット・コンピュータであり、他方、各サーバント・コンピュータ117は単独のプロセッサ・ユニット121を有する。しかしながら、本発明の他の実施態様では、単一プロセッサ・ユニット111を有するマスタ・コンピュータを使用し得ることに注目すべきである。さらに、1つ以上のサーバント・コンピュータ117は、前述したように、それらの使用目的に応じて複数のプロセッサ・ユニット121を有し得る。マスタ・コンピュータ103とサーバント・コンピュータとの両方に対して、単独のインタフェース・デバイス113又は123のみを示しているが、本発明の他の実施形態では、コンピュータ103、1つ以上のサーバント・コンピュータ117、又はその両方の何らかの組み合わせが、2つ以上の異なるインタフェース・デバイス113又は123を使用して、複数の通信インタフェースを介して通信し得ることに注目すべきである。
本発明の様々な例では、マスタ・コンピュータ103は、1つ以上の外部データ記憶装置に接続され得る。これらの外部データ記憶装置は、マスタ・コンピュータ103によってアクセス可能なコンピュータ読み取り可能媒体の任意の組み合わせを使用して実装し得る。コンピュータ読み取り可能媒体は、例えば、読み出し書き込みメモリ(RAM)、読み出し専用メモリ(ROM)、電気的消却プログラム可能型読み出し専用メモリ(EEPROM)、もしくはフラッシュ・メモリ超小型回路デバイスなどの超小型回路メモリ・デバイス、CD−ROMディスク、デジタル・ビデオ・ディスク(DVD)、又は他の光記憶デバイスを含み得る。コンピュータ読み取り可能媒体は、磁気カセット、磁気テープ、磁気ディスク、もしくは他の磁気記憶デバイス、穿孔媒体、ホログラフィック・ストレージ・デバイス、又は所望の情報を保存するのに使用できる他の任意の媒体を含み得る。本発明のいくつかの実施態様によれば、1つ以上のサーバント・コンピュータ117は、代替として、又は加えて、1つ以上の外部データ記憶装置に接続され得る。通常、これらの外部データ記憶装置は、マスタ・コンピュータ103にも接続されているデータ記憶装置を含むであろうが、これらの外部データ記憶装置は、また、マスタ・コンピュータ103によってアクセス可能な任意のデータ記憶装置とも異なるものであり得る。
図1及び図2に示したコンピュータ・ネットワークの説明は、あくまで例として提供されているに過ぎず、本発明の他の実施形態の使用又は機能の範囲に関していかなる制限をも示唆するものではないということを理解すべきである。
パラメータ・マーキング・ツール
図3は、本発明の様々な例によって提供され得る解析プロセス・パラメータ・マーキング・ツール301の一例を示す。この図で分かるように、解析プロセス・パラメータ・マーキング・ツール301は、記録ユニット303と、オプションのパラメータ選択ユニット305と、視覚化ユニット307とを含む。通常、解析プロセス・パラメータ・マーキング・ツール301は、レイアウトデータ311を解析する解析ツール309と関連して動作する。以下により詳細に議論するように、記録ユニット303は、解析ツール309によって使用される解析プロセス・パラメータを記録して、レイアウトデータ311について解析プロセスを行う。その場合、視覚化ユニット307は、視覚的に表示することができるマーカーを含むようにレイアウトデータを修正して、解析プロセス・パラメータを識別する。オプションのパラメータ選択ユニット305を含む解析プロセス・パラメータ・マーキング・ツール301の様々な実施態様により、パラメータ選択ユニット305は、解析プロセス・パラメータ・マーキング・ツール301のユーザー(例えば、解析される集積回路レイアウト設計データの設計者)が、解析プロセス・パラメータのどれが表示されるかを選択することを可能にする。
本発明の様々な実施形態では、記録ユニット303、オプションのパラメータ選択ユニット305、及び視覚化ユニット307のそれぞれは、マスタ・コンピュータ103などのマルチプロセッサ計算機システムのマスタ・コンピュータ内の1つ以上のプロセッサ、サーバント・コンピュータ117などのマルチプロセッサ計算機システム内の1つ以上のサーバント・コンピュータ、又はそれらの両方の組み合わせを使用して実装され得る。もちろん、本発明のさらに他の実施形態は、例えば、これらのユニットの動作を実行するための非一過性の方法でその上に保存された、すなわちある期間にわたり保存されたソフトウェア命令を有する1つ以上のコンピュータ読み取り可能デバイスによって実装され得、これにより、その期間内のいずれか任意の時点で使用するために検索され得る。
記録ユニット303、パラメータ選択ユニット305及び視覚化ユニット307は、図3では別個のユニットとして示されているが、単独のサーバント・コンピュータ(又はマスタ・コンピュータ内の単独のプロセッサ)を使用して、異なる時間にこれらのユニットの両方、又は異なる時間にこれらのユニットの両方のコンポーネントを実装し得る。さらに、解析プロセス・パラメータ・マーキング・ツール301は、図示の例では、解析ツール309とは別個のものとして示されている。しかしながら、本発明の様々な実施態様では、解析プロセス・パラメータ・マーキング・ツール301の機能の一部又は全部を解析ツール309に組み込み得る。
解析プロセス・パラメータのマーキング
次に、本発明の様々な実施形態によるパラメータ・マーキング・ツール301のオペレーションを、図4に示すフローチャートを参照して説明する。より詳細には、例示的な静電放電保護解析プロセスを参照して、様々な実施態様によるパラメータ・マーキング・ツール301のオペレーションを説明する。
この種類のプロセスでは、設計者は、オレゴン州のウィルソンヴィルのメンター・グラフィックス(登録商標)コーポレーションから入手可能な電子設計自動化ツールのキャリバ(登録商標)ファミリーのプログラム可能な電気的ルールチェッカー(PERC)ツールなどの電子設計自動解析ツールを使用し得る。回路レイアウト設計の選択された部分における静電放電保護デバイスの実行可能性を解析するために、PERCツールは、その部分に1つ以上の代表電流源を適用して、ノード電圧を求める。本明細書では、「代表電流源」という用語は、レイアウト設計データから製造されたデバイスに適用される実際の電流源を表す仮想電流源を指す。もちろん、本発明の様々な実施態様は、代表電圧源又は値を代替として、又は加えて適用してそのような解析を実行し得る。本明細書で使用される場合、用語「代表電圧源」又は「代表電圧値」は、レイアウト設計データから製造されるデバイスに適用される、普通ならデバイスで発生する実際の電圧源又は実際の電圧値を表す仮想電圧源又は仮想電圧値を指す。
解析を実行するために、PERCツールは、また回路内の各構造の抵抗を有する。この抵抗情報は、例えば、回路部分で予め実行された寄生抽出プロセスから取得し得る。この寄生抽出プロセスは、例えば、オレゴン州のウィルソンヴィルのメンター・グラフィックス(登録商標)コーポレーションからも入手可能なキャリバ(登録商標)xACT(商標)ツールを使用して実行し得る。
PERCツールは、その上ネット間接続についての抵抗値を有する。これらのネット間接続は、通常、2つのネットを接続するトランジスタ又はダイオードなどの能動デバイスである。いくつかの例では、これらのネット間接続の抵抗値は、設計者によって予め割り当てられるか、又はそれらの物理的特性(例えば、複数のネットを接続するトランジスタのチャネルを表す多角形の幅)に基づいて決定され得る。また、静電放電保護解析を実行するために、PERCツールは、「ショートグループ」を識別する情報を有する。当業者に理解されるように、ショートグループは、電流を分配するために並列に使用されるデバイスのグループであり、ここで、すべての共通ポイントが同じ電位に保持されて処理される。例えば、各デバイス入力ピンが寄生解析プロセスによって同じ電位に保持されて処理されるグループは、一般的にショートグループと見なされる。ショートグループの識別は、PERCツールのユーザーによって手動でなされ、又はショートグループについての特定の特性に基づいて自動的に識別され得る。
代表電流又は電圧情報、抵抗情報及びショートグループの識別を使用して、PERCツールは、レイアウト設計の選択された部分における各回路ノード(すなわち、電圧降下間のノード)での代表電圧を決定することができる。これから、PERCツールは、その場合、それらの選択された部分における相互接続などの回路要素についての代表電流密度を決定することができる。通常、ユーザーは、PERCツールに代表電流密度の変動を示すカラーマップを作成させる。
ここで図4に言及すると、オペレーション401では、解析ツール309(本明細書で説明する特定の例では、PERCツール)は、レイアウト設計におけるレイアウトデータについての回路解析プロセスのパラメータを決定する。前述の静電放電保護解析の場合、パラメータは、代表電流源、電圧源及び電圧値の位置、代表電圧シンクの位置、ネット間接続の位置、及びショートグループの位置である。この情報は、例えば、これらのパラメータを使用して静電放電保護解析プロセスを実行するPERCツールによって収集し得る。通常、これらのパラメータは、解析ツール309のユーザーからの入力の有無にかかわらず、解析ツール309によって自動的に選択されるので、解析プロセス・パラメータを決定するプロセスはここでは詳細には議論しない。記録ユニット303が解析ツール309と別個である様々な実施態様では、解析ツール309は解析プロセス・パラメータを記録ユニット303に提供する。
レイアウト設計データでは、解析プロセスについてのパラメータは、通常、幾何学的要素に関連する設計における位置であることを理解すべきである。このようなパラメータは、例えば、レイアウト設計における幾何学的要素(例えば、多角形)のエッジ、エッジ又は幾何要素に沿った座標値、又は幾何要素自体を含み得る。したがって、解析プロセス・パラメータは、任意の適切な方法で規定し得る。例えば、解析プロセス・パラメータは、レイアウト設計データによって規定される特殊領域内の座標値、幾何要素のエッジを識別する2つの座標値又は他の1又は複数の参照値、又は複数の座標値又は他の参照値、又は幾何要素の一部もしくは全体を識別する値として説明し得る。
オペレーション403において、記録ユニット303は、解析プロセス・パラメータを記録する。本発明の様々な例では、記録ユニットは、これらのパラメータに関連する回路解析情報を特定の場所に保存することにより、解析プロセス・パラメータを記録し得る。いくつかの実施形態では、回路解析パラメータ情報は、回路解析プロセス・パラメータに言及するのに十分な情報であり得る。例えば、回路解析プロセス・パラメータがショートグループの位置である場合、対応する回路解析パラメータ情報は、グループ内のデバイスの位置、グループ内のデバイスの1つの一意に識別する名前、グループ自体の名前、又はレイアウトデータ311内のその解析プロセス・パラメータに対する他のいくつかのポインタであり得る。あるいは、回路解析パラメータ情報は、回路解析プロセス・パラメータ自体であり得、又は含み得る。例えば、静電放電保護解析プロセスでは、回路解析パラメータ情報は、解析に使用される電流源を表す幾何学的要素のコピー、解析に使用される電圧シンクを表す幾何学的要素のコピー、ネット間の接続を表す幾何学的要素のコピー、及び解析に使用されるショートグループであり得る。
あるいは、記録ユニット303は、レイアウト設計データ311自体に注釈を付けることによって、解析プロセス・パラメータを記録し得る。例えば、上記のような静電放電保護解析プロセスでは、解析プロセス・パラメータは、解析に使用される電流源を表す位置、解析に使用される電圧シンクを表す位置、及びネット間接続及び解析に使用されたショートグループを表す位置であり得る。これらの位置の各々は、通常、レイアウト設計データ内の少なくとも1つの幾何学的要素に関連付けられる。したがって、本発明のこれらの実施態様では、記録ユニット303は、レイアウト設計データ311に注釈を付けて、これらの幾何要素(又はこれらの幾何要素内の位置)のそれぞれを、解析を実行するために使用される解析プロセス・パラメータとして識別する。
さらに、記録ユニット303は、その種別を識別するコンテキスト情報やそれがパラメータである特定のプロセスなどの、解析プロセス・パラメータのそれぞれについてのコンテキスト情報を含むようにレイアウト設計データ311に注釈を付け得る。例えば、記録ユニット303は、第1の解析プロセスに使用される複数の電流源を表す複数の幾何要素のそれぞれに、プロセスP1の電流源として注釈を付け、第2の解析プロセスに用いられるショートグループを表す幾何要素をプロセスP2のショートグループとして注釈を付け得る。本発明の様々な実施形態では、記録ユニット303は、発明者としてFedor Pikusらの、「Properties In Electronic Design Automation(電子設計自動化における特性)」と題された2008年7月10日に発行された米国特許出願公開第2008−0168410号、発明者としてFedor G.Pikusらの、2008年5月15日に発行された米国特許出願公開第2008−0115097号、発明者としてのFedor Pikusの、2008年5月15日に発行された「Properties In Electronic Design Automation(電子設計自動化における特性)」と題された米国特許公開第2008−0115096号、及び発明者としてのFedor Pikusの、2008年6月12日に発行された「Properties In Electronic Design Automation(電子設計自動化における特性)」と題された米国特許公開第2008−0141193号に記載されている「properties」注釈技術を使用し得、これらの各刊行物は、参照により本明細書に完全に組み込まれる。
本発明のいくつかの実施態様では、記録ユニット303は、上述のように、回路解析プロセスを実行するツールであり(又はツールに組み込まれ)得る。例えば、前述した静電放電保護解析プロセスでは、PERCツールは、パラメータ記録ユニット303であり得、又は含まれ得る。これらの実施形態では、オペレーション405では、組み合わせ解析ツール309/記録ユニット303がレイアウト設計データに対して回路解析プロセスを実行して、回路解析プロセスの結果を得る。本発明の他の実施態様では、パラメータ記録ユニット303が回路解析プロセスを実行するツールとは別個であり、回路解析プロセスツールは、レイアウト設計データに対して回路解析プロセスを実行して処理結果を得る。
プロセスが完了する(又は少なくとも結果を提供し始める)と、ユーザーは、回路解析プロセスによって明らかにされたレイアウト設計の誤りを識別して修正することを望む。これらの修正を容易にするために、ユーザーは、結果を生成するために使用される1つ以上の回路解析プロセス・パラメータを再検討することを望み得る。通常、ユーザーは、回路解析プロセスの結果とともに所望の回路解析プロセス・パラメータを表示することを望むが、すべての解析プロセス・パラメータを見ることを望み得ない。したがって、選択オペレーション407において、ユーザーは、オプションのパラメータ選択ユニット305を使用して、表示用の解析プロセス・パラメータのサブセットを選択し得る。
例えば、前述の静電放電保護解析プロセスでは、プロセス結果は、レイアウト設計における様々な領域の電流密度を含む。解析ツール311は、その場合、レイアウト設計全体にわたる電流密度の変動を示すカラーマップを表示し得る。領域の電流密度が許容最大値を超える場合、ユーザーは、レイアウト設計を修正してその領域の電流密度を最大許容量よりも小さくすることを望み得る。これを行うには、レイアウト設計部分における電流源と電圧シンクの位置をユーザーが知ることに役立ち得る。したがって、この例では、オペレーション407において、ユーザーは、解析プロセス結果とともに表示されるパラメータとして、電流源の位置及び電圧シンクの位置のみを選択し得る。
本発明のいくつかの実施態様では、ユーザーは、記録ユニット303が解析プロセスのために解析プロセス・パラメータを記録する前に、解析プロセス・パラメータのサブセットを選択して見得る。(すなわち、オペレーション407は、オペレーション403の前に実行される)これらの実施態様により、記録ユニット303は、ユーザーによって選択された解析処理パラメータのみを記録する。しかしながら、さらに他の実施態様では、記録ユニット303は、解析プロセスのためにすべての解析プロセス・パラメータを記録する。視覚化ユニット307は、その場合、以下により詳細に説明するように、オプションのパラメータ選択ユニット305によって提供される選択命令を使用して、記録された解析プロセス・パラメータのどれがユーザーに表示されるかを選択的に決定する。
次に、オペレーション409では、視覚化ユニット307は、レイアウト設計データを修正して、1つ以上の解析プロセス・パラメータをマークする。例えば、キャリバ(登録商標)PERC解析ツールを使用する本発明のいくつかの実施態様では、PERC解析ツールは、解析の結果を含むデータベースファイルを生成する。その場合、キャリバ(登録商標)デザインレビューやキャリバ(登録商標)ワークベンチツールなどのレイアウト設計表示ツールを使用して、解析中のレイアウト設計データを表示する。同様に、キャリバ(登録商標)結果表示環境(RVE)ツールなどの結果表示ツールを使用して、解析ツールによって提供される解析プロセスの結果を同時に表示する。これらの実施態様では、解析ツール309によって提供される結果は、記録ユニット303によって作成されたレイアウト設計データへの注釈を含み得る。その場合、レイアウト設計表示ツール及び結果表示ツールが、一緒に動作して視覚化ユニット307を実装することは、レイアウトプロセス・データを修正し、それによって解析プロセス・パラメータ(又は選択された解析プロセス・パラメータ)をユーザーに対して視覚的に識別する。さらに、本発明のいくつかの実施態様では、視覚化ユニット307は、レイアウトプロセス・データを修正し、それによって解析プロセス・パラメータ(又は選択された解析プロセス・パラメータ)に関連するコンテキスト情報もユーザーに対して視覚的に識別される。
例えば、本発明のいくつかの実施態様では、視覚化ユニット307は、回路設計プロセス・パラメータをマークする新しい設計対象又はマーカーを含むようにレイアウト回路設計を修正する。したがって、選択された解析プロセス・パラメータが電流源である場合、視覚化ユニット307は、電流源の各位置にマーカーを含むようにレイアウト回路設計を修正する。具体的には、視覚化ユニット307は、パラメータ選択ユニット305によって提供された回路解析パラメータ選択情報を用いて、注釈付きレイアウト設計データから、選択された回路解析プロセス・パラメータのインスタンスを識別し得る。その場合、視覚化ツール307は、その回路解析プロセス・パラメータの各識別されたインスタンスの位置でレイアウト設計データにマーカーを追加し得る。
本発明の様々な実施態様では、異なる種類の回路解析プロセス・パラメータを異なる種類のマーカーで指定し得る。例えば、前述した静電放電保護解析プロセスの例では、図5に示すように、青色八角形マーカー501で電流源を識別し得、一方、図6に示すように電圧シンクを黄色四角マーカー601で指定し得る。同様に、図7に示すように、赤色ダイヤモンドマーカー701でネット間接続を指定し得、一方、ショートグループのデバイスは、図8に示すように白色又はオレンジ色三角形801で指定し得る。本発明のいくつかの実施態様では、マーカーは、先に述べたように、特定された解析プロセス・パラメータのコンテキスト情報も反映し得る。例えば、図8に示すショートグループの三角形マーカー801では、三角形は特定の色を有して、電流の方向を指定し得る(例えば、第1の方向の電流を示すオレンジ色三角形、第2の方向の電流を示すオレンジ色三角形)。
いくつかの実施態様では、視覚化ユニット307は、他の技術を使用して解析プロセス・パラメータを視覚的に識別し得ることに注目すべきである。例えば、視覚化ユニット307は、マーカーデータ対象を含むようにレイアウト設計データを単純に修正するのではなく、解析プロセス・パラメータに対応する幾何学的要素を代替として、又は加えて修正又はマークし得る。したがって、解析プロセスにおいて幾何学的要素のエッジが代表電流源として使用された場合、視覚化ユニット307は、エッジが特有の色又はパターンで表示されてそれを代表電流源として視覚的に識別するように幾何学的要素のそのエッジを修正し得る。
前述したように、様々な実施態様では、記録ユニット303は、パラメータ選択ユニット305を介してユーザーによって選択された解析処理パラメータのみを記録し得る。これらの実施態様では、記録ユニット303は、解析プロセスのために解析プロセス・パラメータをすべて記録しており、視覚化ユニット307は、レイアウト設計データを選択的に修正して視覚的識別のためにパラメータ選択ユニット305を介して選択された解析プロセス・パラメータのみをマークし得る。しかしながら、さらに他の実施態様では、解析プロセスのために解析プロセス・パラメータのすべては、記録ユニット303によって記録され、視覚化ユニット307によってマークされ得る。これらの実施態様では、パラメータ選択ユニット305は、ユーザー・インタフェースを提供して、ユーザーが、図9に示すユーザー・インタフェース901などの、記録されマークされた解析プロセス・パラメータを選択的に表示することを可能にし得る。
この図に見られるように、ユーザー・インタフェースは、解析プロセス・パラメータカテゴリ(「源、シンク、接続」)のタイトル903及びリスト905を含む。様々な実施態様では、ユーザーは、そのカテゴリの名前を選択する(例えば、「クリックする」)ことによって、カテゴリ内のすべての解析プロセス・パラメータを選択することができる。例えば、ユーザーはカテゴリ名「シンク」を選択して、視覚的に識別させた電圧シンク解析プロセス・パラメータのすべてを有し得る(例えば、それらの解析プロセス・パラメータに関連するマーカーを表示することによって)。そのカテゴリの名前の選択解除をすると、その場合、それらの解析プロセス・パラメータを非表示にし得る。
インタフェース901はまた、特定の電圧源907の名前、特定のシンク909の名前、及び特定のショートグループ911の名前を含む。様々な実施態様では、ユーザーは、そのパラメータの名前を選択(例えば、「クリック」)することによって、特定の解析プロセス・パラメータを選択することができる。例えば、ユーザーは、ショートグループのリスト内の名前「#1」を選択して、その特定のショートグループを視覚的に識別させる(例えば、その解析プロセス・パラメータに関連する1又は複数のマーカーを表示することによって)。その解析プロセス・パラメータの名前を選択解除すると、それを非表示にし得る。もちろん、さらに他の種類のユーザー・インタフェースを使用して、どの解析プロセス・パラメータがユーザーに対して視覚的に識別されるかを選択し得る。
いずれにしても、視覚化ツール307が関連するマーカーを含むようにレイアウト設計データを修正すると、選択された解析プロセス・パラメータを視覚的に識別する修正された設計の部分が、オペレーション411において設計者に表示される。オペレーション413において、設計者は、その場合、視覚的に識別された回路解析プロセス・パラメータを使用して、レイアウト設計をデバッグすることができる。
結論
本発明は、本発明を実施する現在好ましい形態を含む特定の例に関して説明されているが、添付の特許請求の範囲に記載の本発明の精神及び範囲にある上述したシステム及び技術の多数の変形及び置換があることを当業者は理解するであろう。例えば、特定の用語を使用して電子設計自動化プロセスを指すが、本発明の様々な例は、電子設計自動化プロセスの任意の所望の組み合わせを使用して実装され得ることを理解すべきである。また、開示された方法のオペレーションは、提示するのに便利なように特定の連続した順序で記述されているが、前記特定の表現により特定の順序が要求される場合を除いて、説明されるこの方法は配列換えを含むことを理解すべきである。例えば、連続的に記述されたオペレーションは、場合によっては配列換えされ得、又は同時に実行され得る。これら及び他の変形例は、当業者によって理解されるであろう。

Claims (41)

  1. コンピュータ実装方法であって、
    回路のレイアウト設計を記述するレイアウト設計データ用の回路解析プロセスの解析プロセス・パラメータを決定するステップと、
    前記解析プロセス・パラメータのうちの1又はそれ以上の解析プロセス・パラメータを、該1又はそれ以上の解析プロセス・パラメータに関連する回路解析情報を保存することによって、記録するステップと、
    前記レイアウト設計データを修正して1又はそれ以上のマーカーを提供して、前記解析プロセス・パラメータのうちの1又はそれ以上の解析プロセス・パラメータを視覚的に識別するステップと、
    を含む方法。
  2. 前記回路解析プロセスを実行するステップをさらに含む、請求項1に記載の方法。
  3. 前記回路解析プロセスが静電放電保護解析プロセスである、請求項1又は請求項2に記載の方法。
  4. 前記決定された解析プロセス・パラメータのサブセットを識別するユーザー選択を受信するステップをさらに含み、
    前記レイアウト設計データを修正するステップが、前記レイアウト設計データを修正して、前記解析プロセス・パラメータの前記選択されたサブセットのためのマーカーを提供するステップを含む、請求項1から請求項3のいずれかに記載の方法。
  5. 前記解析プロセス・パラメータが、代表電流源の位置、代表電圧源の位置、代表電圧シンクの位置、ネット間接続の位置、又は、ショートグループの位置のうちの1つ以上を含む、請求項1から請求項4のいずれかに記載の方法。
  6. 記録される前記回路解析情報が、ショートグループ内のデバイスの位置、前記ショートグループ内の前記デバイスの1つの一意的に識別する名前、又は、ショートグループの名前のうちの1つ以上を含む、請求項1から請求項5のいずれかに記載の方法。
  7. 記録される前記回路解析情報が、前記回路解析を実行するために使用される電流源を表す幾何学的要素のコピー、前記回路解析を実行するために使用される電圧シンクを表す幾何学的要素のコピー、前記回路解析を実行するために使用されるネット間接続を表す幾何学的要素のコピー、又は、前記回路解析を実行するために使用されるショートグループを表す幾何学的要素のコピーのうちの1つ以上を含む、請求項1から請求項6のいずれかに記載の方法。
  8. 記録される前記回路解析情報が、前記1又はそれ以上の回路解析プロセス・パラメータに言及するのに十分である、請求項1から請求項7のいずれかに記載の方法。
  9. 前記記録するステップが、前記レイアウト設計データに注釈を付けて、前記回路解析プロセスを実行するために使用される解析プロセス・パラメータとして、1つ以上の幾何学的要素又は該幾何学的要素内の1つ以上の位置を識別するステップを含む、請求項1から請求項8のいずれかに記載の方法。
  10. 前記記録するステップが、前記解析プロセス・パラメータのうちの1又はそれ以上の解析プロセス・パラメータのためのコンテキスト情報を含むように前記レイアウト設計に注釈を付けるステップをさらに含む、請求項1から請求項9のいずれかに記載の方法。
  11. 前記コンテキスト情報が、タイプ識別子及びプロセス識別子を含む、請求項10に記載の方法。
  12. 前記タイプ識別子によって識別されるタイプが、電流源、電圧源、電圧シンク、ネット間接続、又は、ショートグループのうちの1つである、請求項11に記載の方法。
  13. 前記レイアウト設計データを修正して1又はそれ以上のマーカーを提供して、前記解析プロセス・パラメータのうちの1又はそれ以上の解析プロセス・パラメータを視覚的に識別するステップが、新しい設計対象又は新しいマーカーを含むように前記レイアウト設計データを修正して前記1又はそれ以上の解析プロセス・パラメータを識別するステップを含む、請求項1から請求項12のいずれかに記載の方法。
  14. 前記レイアウト設計データを修正して1又はそれ以上のマーカーを提供して、前記解析プロセス・パラメータのうちの1又はそれ以上の解析プロセス・パラメータを視覚的に識別するステップが、既存の幾何学的要素の修正バージョンを含むように前記レイアウト設計データを修正して前記1又はそれ以上の解析プロセス・パラメータを識別するステップを含む、請求項1から請求項12のいずれかに記載の方法。
  15. 異なる設計対象、マーカー又は色が、異なる種類の解析プロセス・パラメータに使用され、前記異なる種類の回路解析プロセス・パラメータが、電流源、電圧源、電圧シンク、ネット間接続、又は、ショートグループのうちの2つ以上を含む、請求項1から請求項14のいずれかに記載の方法。
  16. 記録されマークされた前記解析プロセス・パラメータをユーザー・インタフェースを介して表示するステップをさらに含む、請求項1から請求項15のいずれかに記載の方法。
  17. 前記レイアウト設計データによって記述された前記レイアウト設計を前記マーカーのうちの1又はそれ以上のマーカーとともに表示して、前記解析プロセス・パラメータのうちの1又はそれ以上の解析プロセス・パラメータを視覚的に識別するステップをさらに含む、請求項1から請求項16のいずれかに記載の方法。
  18. 前記レイアウト設計データによって記述された前記レイアウト設計を記録されマークされた前記解析プロセス・パラメータと同時に表示するステップをさらに含む、請求項1から請求項16のいずれかに記載の方法。
  19. 視覚的に識別するための前記解析プロセス・パラメータのうちの1又はそれ以上の解析プロセス・パラメータの選択を示すデータを受信するステップをさらに含み、前記レイアウト設計を表示するステップが、選択された前記解析プロセス・パラメータのために前記マーカーを前記レイアウト設計とともに表示するステップを含む、請求項17又は請求項18に記載の方法。
  20. 1又はそれ以上の以前に選択された解析プロセス・パラメータの選択解除を示すデータを受信するステップをさらに含み、前記レイアウト設計を表示するステップが、選択解除された前記解析プロセス・パラメータのために前記マーカーを前記レイアウト設計の表示上で非表示にするステップを含む、請求項17又は請求項18に記載の方法。
  21. 記録されマークされた前記解析プロセス・パラメータに少なくとも部分的に基づいて、前記回路設計のレイアウトをデバッグするステップをさらに含む、請求項1から請求項17のいずれかに記載の方法。
  22. レイアウト設計データをデバッグする方法であって、
    レイアウト設計解析プロセスで使用されるパラメータを記録するステップと、
    前記レイアウト設計データにおいて前記パラメータを視覚的に示すために前記レイアウト設計データを修正するステップと、
    修正された前記レイアウト設計データを、該レイアウト設計データをデバッグする際に使用するために、表示するステップと、
    を含む方法。
  23. 前記レイアウト設計解析プロセスが静電放電保護解析プロセスである、請求項22に記載の方法。
  24. 前記パラメータが、代表電流源の位置、代表電圧源の位置、代表電圧シンクの位置、ネット間接続の位置、及び、ショートグループの位置からなる群から選択される、請求項22又は請求項23に記載の方法。
  25. 前記パラメータが、特定の代表電流源の位置、特定の代表電圧源の位置、特定の代表電圧シンクの位置、特定のネット間接続の位置、及び、特定のショートグループの位置からなる群から選択される、請求項22から請求項24のいずれかに記載の方法。
  26. 前記パラメータが該パラメータを保存することによって記録される、請求項22から請求項25のいずれかに記載の方法。
  27. 前記パラメータが、該パラメータに関連する前記レイアウト設計データ内に少なくとも1つの幾何学的要素のコピーを保存することによって記録される、請求項22から請求項25のいずれかに記載の方法。
  28. 前記パラメータが、前記レイアウト設計データに注釈を付けることによって記録される、請求項22から請求項25のいずれかに記載の方法。
  29. 前記レイアウト設計データに対する注釈は、前記パラメータのためのコンテキスト情報をさらに含む、請求項28に記載の方法。
  30. 前記レイアウト設計解析プロセスで使用される複数の異なるパラメータを記録するステップと、
    前記レイアウト設計データ内の前記異なるパラメータを視覚的に区別するために前記レイアウト設計データを修正するステップと、
    をさらに含む、請求項22から請求項29のいずれかに記載の方法。
  31. 表示された修正された前記レイアウト設計データを使用して、前記レイアウト設計データをデバッグするステップをさらに含む、請求項22から請求項30のいずれかに記載の方法。
  32. 修正された前記レイアウト設計データを前記レイアウト設計解析プロセスの結果とともに表示するステップをさらに含む、請求項22から請求項31のいずれかに記載の方法。
  33. 前記レイアウト設計データにおいて前記パラメータを視覚的に示すために前記レイアウト設計データを修正するステップが、前記レイアウト設計データに1又はそれ以上のマーカーを追加するステップを含む、請求項22から請求項32のいずれかに記載の方法。
  34. 前記1又はそれ以上のマーカーが、前記パラメータのためのコンテキスト情報を含む、請求項33に記載の方法。
  35. 前記レイアウト設計データにおいて前記パラメータを視覚的に示すために前記レイアウト設計データを修正するステップは、前記パラメータに関連する幾何学的要素の少なくとも一部を修正するステップを含む、請求項22から請求項34のいずれかに記載の方法。
  36. レイアウト設計データをデバッグする方法であって、
    レイアウト設計解析プロセスで使用されるパラメータのサブセットの選択を受信するステップと、
    前記レイアウト設計データにおいて前記パラメータのサブセットを視覚的に示すために前記レイアウト設計データを修正するステップと、
    修正されたレイアウト設計データを、該レイアウト設計データをデバッグする際に使用するために、表示するステップと、
    を含む方法。
  37. 前記パラメータのサブセットの前記受信された選択に基づいて、レイアウト設計解析プロセスで使用されるパラメータを選択的に記録するステップをさらに含む、請求項36に記載の方法。
  38. レイアウト設計解析プロセスで使用される前記パラメータを記録するステップと、
    前記レイアウト設計データ内のパラメータの前記サブセットを視覚的に示すために前記レイアウト設計データを選択的に修正するステップと、
    をさらに含む、請求項36に記載の方法。
  39. 前記レイアウト設計データにおいて前記パラメータを視覚的に示すためのマーカーを含むように前記レイアウト設計データを修正するステップと、
    前記選択されたパラメータのサブセットを視覚的に示すための前記マーカーのみを表示するステップと、
    をさらに含む、請求項36に記載の方法。
  40. コンピュータによって実行されたときに該コンピュータに請求項1〜請求項39のいずれかに記載の方法を実行させるコンピュータ実行可能命令を記憶する1又はそれ以上のコンピュータにより読み取り可能な媒体。
  41. 請求項1から請求項39のいずれかに記載の方法を実行するように構成された電子設計自動化システム。
JP2017560224A 2015-05-19 2016-05-19 レイアウト系検査のための解析プロセス・パラメータの視覚化 Active JP6803857B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/716,775 2015-05-19
US14/716,775 US10055533B2 (en) 2015-05-19 2015-05-19 Visualization of analysis process parameters for layout-based checks
PCT/US2016/033239 WO2016187410A1 (en) 2015-05-19 2016-05-19 Visualization of analysis process parameters for layout-based checks

Publications (3)

Publication Number Publication Date
JP2018518757A true JP2018518757A (ja) 2018-07-12
JP2018518757A5 JP2018518757A5 (ja) 2019-06-20
JP6803857B2 JP6803857B2 (ja) 2020-12-23

Family

ID=56097313

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017560224A Active JP6803857B2 (ja) 2015-05-19 2016-05-19 レイアウト系検査のための解析プロセス・パラメータの視覚化

Country Status (5)

Country Link
US (1) US10055533B2 (ja)
EP (1) EP3298517A1 (ja)
JP (1) JP6803857B2 (ja)
CN (1) CN108140059B (ja)
WO (1) WO2016187410A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10331547B1 (en) * 2017-05-23 2019-06-25 Cadence Design Systems, Inc. System, method, and computer program product for capture and reuse in a debug workspace
US10885258B1 (en) 2018-09-25 2021-01-05 Synopsys, Inc. Fixing ESD path resistance errors in circuit design layout
US11144690B2 (en) * 2018-12-19 2021-10-12 Synopsys, Inc. Extensible layer mapping for in-design verification
CN113011125B (zh) * 2019-12-18 2023-01-10 海信视像科技股份有限公司 印制电路板核查方法、装置、设备及计算机存储介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002189767A (ja) * 2000-12-22 2002-07-05 Mitsubishi Electric Corp インダクタ認識方法、レイアウト検査方法、レイアウト検査プログラムを記録したコンピュータ読取可能な記録媒体および半導体装置の製造方法
US20040243949A1 (en) * 2003-05-30 2004-12-02 Wang Albert Zihui Parameter checking method for on-chip ESD protection circuit physical design layout verification
JP2005011964A (ja) * 2003-06-18 2005-01-13 Toshiba Corp 半導体集積回路の静電放電の解析方法及び解析プログラム
JP2011065377A (ja) * 2009-09-16 2011-03-31 Renesas Electronics Corp 寄生素子の抽出システムと抽出方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6553542B2 (en) * 2000-12-29 2003-04-22 Texas Instruments Incorporated Semiconductor device extractor for electrostatic discharge and latch-up applications
DE10339924B4 (de) * 2003-08-29 2011-05-05 Infineon Technologies Ag ESD-Testanordnung und Verfahren
US20080115096A1 (en) 2006-10-09 2008-05-15 Mentor Graphics Corporation Properties In Electronic Design Automation
CN100511177C (zh) * 2006-11-06 2009-07-08 中兴通讯股份有限公司 一种嵌入式系统的符号定位方法
US7617467B2 (en) * 2006-12-14 2009-11-10 Agere Systems Inc. Electrostatic discharge device verification in an integrated circuit
EP2068259A1 (de) * 2007-12-04 2009-06-10 X-FAB Semiconductor Foundries AG Verfahren und System zur Ueberpruefung des ESD-Verhaltens von integrierten Schaltungen auf Schaltungsebene
US8079005B2 (en) 2008-09-30 2011-12-13 Cadence Design Systems, Inc. Method and system for performing pattern classification of patterns in integrated circuit designs
US20100161304A1 (en) * 2008-12-23 2010-06-24 Voldman Steven H Method of interconnect checking and verification for multiple electrostatic discharge specifications
US8230382B2 (en) * 2010-01-28 2012-07-24 International Business Machines Corporation Model based simulation of electronic discharge and optimization methodology for design checking
US9378324B2 (en) * 2010-02-11 2016-06-28 Jesse Conrad Newcomb System and method of detecting design rule noncompliant subgraphs in circuit netlists
US8694926B2 (en) * 2012-05-30 2014-04-08 Freescale Semiconductor, Inc. Techniques for checking computer-aided design layers of a device to reduce the occurrence of missing deck rules
CN105224708B (zh) * 2014-07-03 2019-01-18 台湾积体电路制造股份有限公司 集成电路中网路的确定方法和装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002189767A (ja) * 2000-12-22 2002-07-05 Mitsubishi Electric Corp インダクタ認識方法、レイアウト検査方法、レイアウト検査プログラムを記録したコンピュータ読取可能な記録媒体および半導体装置の製造方法
US20040243949A1 (en) * 2003-05-30 2004-12-02 Wang Albert Zihui Parameter checking method for on-chip ESD protection circuit physical design layout verification
JP2005011964A (ja) * 2003-06-18 2005-01-13 Toshiba Corp 半導体集積回路の静電放電の解析方法及び解析プログラム
JP2011065377A (ja) * 2009-09-16 2011-03-31 Renesas Electronics Corp 寄生素子の抽出システムと抽出方法

Also Published As

Publication number Publication date
US10055533B2 (en) 2018-08-21
EP3298517A1 (en) 2018-03-28
WO2016187410A1 (en) 2016-11-24
CN108140059A (zh) 2018-06-08
US20160342728A1 (en) 2016-11-24
JP6803857B2 (ja) 2020-12-23
CN108140059B (zh) 2022-04-15

Similar Documents

Publication Publication Date Title
US11048841B2 (en) System, method and associated computer readable medium for designing integrated circuit with pre-layout RC information
US8516399B2 (en) Collaborative environment for physical verification of microdevice designs
JP5619210B2 (ja) レイアウト設計データの増分分析
US9679097B2 (en) Selective power state table composition
JP6803857B2 (ja) レイアウト系検査のための解析プロセス・パラメータの視覚化
US20140337810A1 (en) Modular platform for integrated circuit design analysis and verification
US8645902B1 (en) Methods, systems, and computer program products for implementing interactive coloring of physical design components in a physical electronic design with multiple-patterning techniques awareness
US20130198703A1 (en) Virtual Flat Traversal Of A Hierarchical Circuit Design
US9262574B2 (en) Voltage-related analysis of layout design data
US10089432B2 (en) Rule-check waiver
US20110145770A1 (en) Device Annotation
US20150234978A1 (en) Cell Internal Defect Diagnosis
US10360331B2 (en) Scoped simulation for electrostatic discharge protection verification
US20130263074A1 (en) Analog Rule Check Waiver
US8694943B1 (en) Methods, systems, and computer program product for implementing electronic designs with connectivity and constraint awareness
US9183330B2 (en) Estimation of power and thermal profiles
US9785736B2 (en) Connectivity-aware layout data reduction for design verification
US10094875B1 (en) Methods, systems, and articles of manufacture for graph-driven verification and debugging of an electronic design
US20110119544A1 (en) User Guided Short Correction And Schematic Fix Visualization
US20110072404A1 (en) Parallel Timing Analysis For Place-And-Route Operations
US20240005081A1 (en) Method and system to facilitate review of schematics for an electronic design
US20120192134A1 (en) User Guided Short Correction And Schematic Fix Visualization
US20120054703A1 (en) Virtual Flat Traversal Of A Hierarchical Circuit Design
JP2009245215A (ja) Cadシステム、cadプログラム
JP2005265497A (ja) 半導体装置の故障解析方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20180410

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20180507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190515

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190515

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200714

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201013

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201104

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201201

R150 Certificate of patent or registration of utility model

Ref document number: 6803857

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250