JP2017199649A - 直流パルス式プラズマシステム - Google Patents

直流パルス式プラズマシステム Download PDF

Info

Publication number
JP2017199649A
JP2017199649A JP2017017209A JP2017017209A JP2017199649A JP 2017199649 A JP2017199649 A JP 2017199649A JP 2017017209 A JP2017017209 A JP 2017017209A JP 2017017209 A JP2017017209 A JP 2017017209A JP 2017199649 A JP2017199649 A JP 2017199649A
Authority
JP
Japan
Prior art keywords
pulse
plasma processing
processing system
positive
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017017209A
Other languages
English (en)
Other versions
JP6960226B2 (ja
JP2017199649A5 (ja
Inventor
ロデリック・ダブリュ.・ボズウェル
W Boswell Roderick
リチャード・アラン・ゴットショ
Alan Gottscho Richard
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017199649A publication Critical patent/JP2017199649A/ja
Publication of JP2017199649A5 publication Critical patent/JP2017199649A5/ja
Application granted granted Critical
Publication of JP6960226B2 publication Critical patent/JP6960226B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J9/00Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/14Arrangements for reducing ripples from dc input or output
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/22Conversion of dc power input into dc power output with intermediate conversion into ac
    • H02M3/24Conversion of dc power input into dc power output with intermediate conversion into ac by static converters
    • H02M3/28Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac
    • H02M3/325Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal
    • H02M3/335Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only
    • H02M3/33507Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of the output voltage or current, e.g. flyback converters
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/0048Circuits or arrangements for reducing losses
    • H02M1/0054Transistor switching losses
    • H02M1/0058Transistor switching losses by employing soft switching techniques, i.e. commutation of transistors when applied voltage is zero or when current flow is zero
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02BCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO BUILDINGS, e.g. HOUSING, HOUSE APPLIANCES OR RELATED END-USER APPLICATIONS
    • Y02B70/00Technologies for an efficient end-user side electric power management and consumption
    • Y02B70/10Technologies improving the efficiency by using switched-mode power supplies [SMPS], i.e. efficient power electronics conversion e.g. power factor correction or reduction of losses in power supplies or efficient standby modes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Dc-Dc Converters (AREA)

Abstract

【課題】基板サポートに接続された下部電極と、接地された上部電極とを有するチャンバを含む、プラズマ処理システムを提供する。【解決手段】プラズマ処理システムは、上部電極と下部電極との間に画定されるプラズマ処理空間を有する。DC電圧入力を入力部で受信し、高周波数(RF)成分を含む増幅DC電圧信号を出力部で供給するために、DC−DC変換器が提供される。DC電圧入力は、デジタル方式でプログラミング可能なパルシングパターンにしたがう。DC−DC変換器の出力部は、チャンバの下部電極に接続される。また、パルシングパターンを設定するために、コントローラがDC−DC変換器にインターフェース接続される。一例では、DC−DC変換器は、バイポーラ又は非バイポーラのいずれかのDC電圧源と、DC電圧源によって駆動されるRF発生器とを含む。RF発生器は、RF成分を定める周波数リップルを発生させるように構成される。【選択図】図1A

Description

[優先権の主張]
本出願は、2016年2月29日に出願され名称を「Direct Current Pulsing Plasma Systems(直流パルス式プラズマシステム)」とする米国仮特許出願第62/301,591号の優先権を主張する。この出願は、参照によって本明細書に組み込まれる。
[技術分野]
本実施形態は、プラズマ処理システムに関し、特に、電源として直流(DC)パルシングを用いるプラズマ処理システムに関する。
基板(例えば、半導体基板やフラットパネル等)を加工して電子製品(例えば、集積回路やフラットパネルディスプレイ等)を形成するために、長きにわたりプラズマが用いられてきた。半導体ウエハは、通常、下の層を選択的にエッチングするためのフォトレジストマスク層を伴ってエッチングチャンバの中に配置される。エッチングプロセスは、フォトレジストによって覆われていない下の層(金属及び/又は誘電体)を除去する。エッチングプロセスは、その他の処理工程とともに、最終製品である回路又はデバイスが形成されるまで各半導体ウエハに対して多数回にわたって繰り返される。
通常、エッチングプロセスの実施には、プラズマエッチングツールが使用される。プラズマエッチングツールは、基板サポートと、該基板サポートを覆うプラズマ空間とを含むチャンバによって形成される。チャンバの、1つ以上の電極には、通例、高周波数(RF)電力が提供される。電極構成は、エッチングプロセスに応じて様々な形態をとることができる。通例、誘電体材料をエッチングするために使用されるプラズマエッチングツールは、容量結合プラズマ(CCP)ツールと呼ばれ、導電性材料をエッチングするために使用されるプラズマエッチングツールは、誘導結合プラズマ(ICP)ツールと呼ばれる。ツールのタイプに関わらず、CCPツール及びICPツールは、ともに、少なくとも1つのRF電力源を必要とする。RF電力源は、通常、整合回路及び伝送線路を通じてプラズマエッチングツールの電極に伝搬されるRF信号を生成するように構成される。
更に高アスペクト比のエッチング及び更なる小型化を求める要求の高まりに伴って、プロセスエンジニアらは、プラズマエッチングツールの電極に供給されるRF電力をパルス式にすることで得られる特定の利点を発見した。パルス式のRF電力源は、結果として得られるプロセスにおいて改善を示したが、RF電力のパルシングは、複雑性及び費用の増加を招くことも事実である。例えば、RF電力のパルシングは、パルシングシーケンス、タイミング、電圧レベル、反射等の正確な制御を必要とし、このような制御は、継続的に監視される必要がある。また、整合回路及び伝送線路を通じたRF電力の供給は、例えば大型の個別のコンデンサ及びインダクタ、並びにRF帰路を含む高度な伝送線路などの、大規模なハードウェアの実装を必要とする。
実施形態が提起されるのは、このような状況においてである。
プラズマ処理システムに電力を供給するための、方法、デバイス、システム、及びコンピュータプログラムが提起される。ここでは、正パルス及び負パルスが、電圧振幅、極性、立ち上がり及び立ち下がり、並びに幅及び遅延等において独立に制御可能である。一実装形態では、パルス式DC電力システムは、DC電圧を受けてそれを高周波数に変換し、共振回路を通じて高電圧に増幅し、ダイオードネットワークを通じてDCに整流しなおす電力変換システムを実装する。このシステムは、高速パルス式であることができ、イオンを加速させるために現在使用されている旧来の高周波数発生器で見舞われるインピーダンスの複雑性を有さない。本実施形態は、方法、装置、システム、デバイス、又はコンピュータ読み取り可能媒体上のコンピュータプログラムなどの、数々の形態で実現できることが理解されるべきである。以下で、幾つかの実施形態が説明される。
一実施形態では、基板サポート(基板支持体)に接続された下部電極と、接地された上部電極とを有するチャンバを含む、プラズマ処理システムが提供される。プラズマ処理システムは、上部電極と下部電極との間に画定されるプラズマ処理空間を有する。DC電圧入力を入力部で受信し、高周波数(RF)成分を含む増幅DC電圧信号を出力部で供給するために、直流(DC)−直流(DC)変換器が提供される。DC電圧入力は、デジタル方式でプログラミング可能なパルシングパターンにしたがう。DC−DC変換器の出力部は、チャンバの下部電極に接続される。また、パルシングパターンを設定するために、コントローラがDC−DC変換器にインターフェース接続される。
一部の実施形態では、DC−DC変換器は、DC電圧源と、該DC電圧源によって駆動されるRF発生器とを含む。RF発生器は、一定の周波数のRF成分を発生させる。
一部の実施形態では、DC−DC変換器は、DC入力電圧を乗算(又は増幅)するように構成された共振回路と、RF成分を含む乗算DC電圧信号を生成するために乗算DC入力電圧及びRF成分を受信する整流器回路とを含む。
一部の実施形態では、コントローラに、リップル制御部がインターフェース接続される。リップル制御は、周波数成分の振幅を変更するために整流器回路を動的に調節するように構成される。
一部の実施形態では、整流器回路の動的調節は、パルシングパターンの各アクティブパルス時に、より高い振幅又はより低い振幅を設定することを可能にする。
一部の実施形態では、アクティブパルスは、増幅DC電圧信号の正パルス又は増幅DC電圧信号の負パルスのいずれかである。
一部の実施形態では、RF成分は、増幅DC電圧信号の正パルス又は増幅DC電圧信号の負パルスに供給され、増幅DC電圧信号のオフパルス中は、RF成分は供給されない。
一部の実施形態では、コントローラは、パルシングパターンを提供する電力制御部に接続され、パルシングパターンは、DC電圧入力の電圧振幅、DC電圧入力の極性、DC電圧入力の立ち上がり時間及び立ち下がり時間、DC電圧入力の幅及び遅延、又はこれらのうちの2つ以上の組み合わせ、のうちの1つ以上を設定するために、デジタル方式でプログラミング可能である。
一部の実施形態では、プロセスレシピが、パルシングパターンのためのプログラミングを設定するように構成され、該プログラミングは、デジタルプログラミングによってコントローラによってDC−DC変換器に適用される。
一部の実施形態では、電圧源及びRF発生器は、ゲートドライバによって駆動されてDC電圧入力の供給を受ける電界効果トランジスタ(FET)を含む。
一部の実施形態では、共振回路は、品質係数Qを定める抵抗値とインダクタンス値と容量値とから画定され、品質係数Qは、増幅DC電圧信号のための増幅を提供する。
別の一実施形態では、DC−DC変換器によって駆動される容量結合プラズマ(CCP)チャンバを使用して基板を処理する方法が開示される。方法は、基板サポート(基板支持体)に接続された下部電極と、接地された上部電極とを有するチャンバを提供することを含む。上部電極と下部電極との間には、プラズマ処理空間が画定される。方法は、また、デジタルプログラムによって定められるパルシングパターンに基づいて直流(DC)電圧入力を提供することを含む。次いで、DC入力電圧を使用して高周波数(RF)成分を生成し、RF成分を含むDC入力電圧を増幅させる。方法は、次いで、RF成分を含む増幅DC電圧信号を生成するために、RF成分を整流する。RF成分を含む増幅DC電圧信号は、チャンバの下部電極に供給される。デジタルプログラムによって設定されるパルシングパターンは、増幅DC電圧信号の周波数及びRF成分の周波数を定める。増幅DC電圧の周波数は、正パルス又は負パルス、並びに正パルス又は負パルスのパルス幅及びパルス振幅を定める。
一部の実施形態では、DC電圧入力の周波数は、400KHz以下であり、RF成分の周波数は、2MHz、13.56MHz、27MHz、60MHz、80MHz、及びそれよりも高い周波数のいずれかから選択される。CCPチャンバは、チャンバの基板サポート上に配されたときの基板から材料をエッチングするために使用される。
一部の実施形態では、方法は、パルシングパターンの正パルス中におけるRF成分の振幅を動的に調節するためのリップル制御を提供することも含む。
一部の実施形態では、振幅の動的調節は、パルシングパターンの正パルスの、1つ以上の位相中に起きる。
一部の実施形態では、パルシングパターンを定めるデジタルプログラムは、DC電圧入力の電圧振幅、DC電圧入力の極性、DC電圧入力の立ち上がり時間及び立ち下がり時間、DC電圧入力の幅及び遅延、又はこれらのうちの2つ以上の組み合わせ、のうちの1つ以上を設定する。
添付の図面との関連でなされる以下の詳細な説明から、その他の態様が明らかになる。
実施形態は、添付の図面との関連でなされる以下の説明を参照にすることによって、最もよく理解されるだろう。
一実施形態にしたがった、プラズマチャンバにパルスRF電力を提供するために用いられるDC−DC変換器の一例を示した図である。
一実施形態にしたがった、バイポーラDC−DC変換器によって実施される機能動作の一例を示した図である。
一実施形態にしたがった、プラズマチャンバなどの負荷を通電するために使用されてよいDC−DC変換器の構成の一例を示した図である。
一実施形態にしたがった、パルシングパターンを生成するためにコントローラに提供されているプロセスレシピの一例を示した図である。
一実施形態にしたがった、プロセスレシピがコントローラに伝えられ、正パルス及び負パルスを独立に供給するために別々のDC−DC変換器が使用される一例を示した図である。
幾つかの実施形態にしたがった、幾つかのパルシングパターンの例を制限なく示した図であり、DC−DC変換器を使用してデジタル方式でパルスを提供することの柔軟性を示している。 幾つかの実施形態にしたがった、幾つかのパルシングパターンの例を制限なく示した図であり、DC−DC変換器を使用してデジタル方式でパルスを提供することの柔軟性を示している。 幾つかの実施形態にしたがった、幾つかのパルシングパターンの例を制限なく示した図であり、DC−DC変換器を使用してデジタル方式でパルスを提供することの柔軟性を示している。 幾つかの実施形態にしたがった、幾つかのパルシングパターンの例を制限なく示した図であり、DC−DC変換器を使用してデジタル方式でパルスを提供することの柔軟性を示している。 幾つかの実施形態にしたがった、幾つかのパルシングパターンの例を制限なく示した図であり、DC−DC変換器を使用してデジタル方式でパルスを提供することの柔軟性を示している。 幾つかの実施形態にしたがった、幾つかのパルシングパターンの例を制限なく示した図であり、DC−DC変換器を使用してデジタル方式でパルスを提供することの柔軟性を示している。 幾つかの実施形態にしたがった、幾つかのパルシングパターンの例を制限なく示した図であり、DC−DC変換器を使用してデジタル方式でパルスを提供することの柔軟性を示している。
プロセスレシピにしたがった、特定の時間に更なるイオン化をプラズマに提供するために、DC−DC変換器によって生成されたパルスにリップル制御を加える例を示した図である。 プロセスレシピにしたがった、特定の時間に更なるイオン化をプラズマに提供するために、DC−DC変換器によって生成されたパルスにリップル制御を加える例を示した図である。 プロセスレシピにしたがった、特定の時間に更なるイオン化をプラズマに提供するために、DC−DC変換器によって生成されたパルスにリップル制御を加える例を示した図である。 プロセスレシピにしたがった、特定の時間に更なるイオン化をプラズマに提供するために、DC−DC変換器によって生成されたパルスにリップル制御を加える例を示した図である。
幾つかの実施形態にしたがった、プラズマ処理システムに電力を伝えるときに追加の電力を提供する又は電力を増加させるために複数のDC−DC変換器(例えば、変換器配列)を使用する例を示した図である。 幾つかの実施形態にしたがった、プラズマ処理システムに電力を伝えるときに追加の電力を提供する又は電力を増加させるために複数のDC−DC変換器(例えば、変換器配列)を使用する例を示した図である。
スイッチを通じてプロセスチャンバの下部電極、又は上部電極、又はその両方にパルス電力が提供可能である別の一実施形態を示した図である。
以下の実施形態では、パルス電力をプラズマ処理システムの電極に供給するための方法、デバイス、システム、及びDC/DC電力変換器用のコンピュータプログラムが説明される。
一実施形態では、DC電力源からの高電圧がプラズマ処理システムに印加され、正パルス及び負パルスがその電圧の振幅、極性、立ち上がり時間及び立ち下がり時間、幅及び遅延において独立に制御される、方法が開示される。DCパルスは、以下で開示されるように、RF成分リップルを伴って提供される。
加工用プラズマでは、加工されている超小型電子回路を最終的に画定するために、エッチング対象特徴を含む基板上に制御方式でイオン衝撃を加えることが有用である。これは、極小のホールをスパッタリングでエッチングするために及び成長する膜を高密度にするために使用されるのが通例である陽イオン、並びにエッチング対象ホールに進入して正電荷の蓄積を中性化することができる陰イオンの、両方に関係している。プラズマ自体、並びにその中に含まれている陽イオン及び陰イオンは、時間スケールが異なるので、プロセスの持続時間にわたって及びプロセス自体での両方で、イオンの加速に使用される電圧の振幅を制御することが有用である。また、プロセス次第では、電圧がパルスの形で印加される時間及び次のパルスまでの遅延を独立に制御することが有用である。陽イオンと陰イオンとでは、役割がそれぞれ異なるので、開示された実施形態では、正パルスと負パルスとで振幅、パルス幅、及び遅延を独立に制御可能であることに大きな利点がある。
高周波数(RF)発生器と通例呼ばれている反復形式の発生器を使用する代わりに、直流−直流(DC−DC)変換器が、立ち上がり(及び立ち下がり)時間を1マイクロ秒未満の領域でパルス制御することを可能にするために使用される。パルス式DC−DC変換器は、一実施形態では、DC電圧を受けてそれを高周波数(RF)に変換し、共振回路を通じて高電圧に増幅し、次いでダイオードネットワークを通じて、DCに整流しなおす、電圧変換システムを含む。このシステムは、高速パルス式であることができ、イオンを加速するために現在使用されている旧来の高周波数発生器で見舞われるインピーダンスの複雑性(例えば、複雑な整合回路網を必要とする)を有さない。
一実施形態では、DC電圧の立ち上がり時間と、ダイオードネットワークに関係付けられたリップルとが関係しているゆえに、更に、リップル制御機能が提供される。リップル制御機能は、DCパルスの上昇傾向(即ち、正ONサイクル)中にRFリップルの振幅を増加又は減少させるために、プラズマ処理システムのコントローラによって調節できる。一実施形態では、RFリップルは、DC−DC変換器のRF発生器によって設定可能であり、これは、RF成分の周波数を設定する。周波数は、例えば、2MHz、13.56MHz、27MHz、60MHz、80MHz若しくはそれよりも高い周波数、又はその他の何らかの有用な周波数であることができる。一実施形態では、DCパルス周波数は、1MHz未満に設定され、特定の実施形態では、約400KHzに設定される。DCパルスの低めの周波数は、エッチング対象材料に向けてイオンを方向付けるために必要とされる電圧を提供し、RF周波数成分の高周波数は、エッチングチャンバの処理空間の中でプラズマをイオン化する(例えば、プラズマを発生させる)働きをする。
前述のように、RF成分のRFリップルは、DC−DC変換器の整流回路の設定を調節することによって振幅を制御可能であるので、プラズマに更なるイオン化を加え、それによって整合回路網の問題を軽減することが可能である。後述のように、リップル制御は、各正パルス中又は選択された正パルス中におけるRF周波数成分の制御を大幅に向上させる。例えば、リップル制御は、プラズマのイオン化を増加させるために、正パルスの開始時におけるリップルの振幅を拡大させる働きをすることができる。リップルは、一部の実施形態では、正パルスの位相ごとに異なる振幅を定めるように成形可能であり、これは、所望の処理レシピに基づいて制御及び設定することができる。
本実施形態は、これらの具体的詳細の一部又は全部を伴わずに実施されてよいことが明らかである。また、本実施形態を不必要に不明瞭にしないために、周知のプロセス工程は詳細に説明されていない。
図1Aは、一実施形態にしたがった、プラズマチャンバ120にパルスRF電力を提供するために用いられるDC−DC変換器100の一例を示している。前述のように、DC−DC変換器100は、コントローラ110によってデジタル方式で制御され、これは、システムが立ち上がり時間及び立ち下がり時間をマイクロ秒未満の領域でパルシングさせることを可能にする。また、プロセス次第では、DC−DC変換器100は、電圧がパルスの形で印加されるときの時間及び次のパルスまでの遅延時間の独立制御が可能である。したがって、生成されるパルシングパターンは、正確にカスタム設計が可能であり、プラズマチャンバ120の電極への迅速な印加及び供給を可能にする。
本書で説明されるように、パルシングパターンは、数々の形態、形状、及び/又は形式にプログラミング可能である。例えば、パルシングパターンは、正パルスとその後に続く負パルスとで定められてよく、次いで、電力供給が完了するまで繰り返されてよい。この例では、パルシングパターンは、50%のデューティサイクルを有していてよい。しかしながら、パルシングパターンは、デジタル形式で迅速に制御可能であるので、任意の構成を有することができ、したがって、正パルスと負パルスとが互い違いに続く必要はない。後述のように、間にオフ期間を挟んで正パルスのみを続けて生成するようにパルシングパターンがデジタル方式でプログラミングされる幾つかの実施形態が提示されてもよい。
その他の実施形態では、正パルスは、負パルスとは独立に制御可能である。例えば、プログラミングは、正パルス及びその後に続くオフ期間と、負パルス及びその後に続くオフ期間と、これらの繰り返しとを有するパルスパターンを定めることができる。パルシングパターンは、プロセスレシピに基づいて動的に制御可能であることがわかり、旧来のRF発生器の場合のように1つのRF信号の発振に固定されることはない。また、パルシングパターンは、特定の電圧振幅、極性、立ち上がり時間及び立ち下がり時間、幅及び遅延等を伴うパルスを生成するようにプログラミング可能である。
図1Aに戻り、DC−DC変換器100は、電力制御部112及びリップル制御部114のためのデジタルプログラミングを提供するコントローラ110につながれる。一実施形態では、電力制御部112は、電圧源102の、及びDC−DC変換器100のその他の回路構成の、プログラミングを設定するように構成される。例えば、プログラミングは、RF成分の正整流及び負整流を可能にするために、整流器回路108を制御することができる。電圧源102は、RF発生器104を駆動するために供給される電圧を提供するように構成される。一実施形態では、DC電圧源102は、DC電圧成分のパルシングパターンを生成するように構成される。
前述のように、このDC電圧成分は、コントローラ110による定め及びプロセスレシピ116による設定にしたがって、所望のパルシングパターンを形成する。一構成では、電圧源102によって生成されるパルシングパターンは、1MHz未満であるように構成される。その他の特定の実施形態では、電圧源102によって生成されるパルシングパターンは、400kHz未満であるように構成される。一実施形態では、RF発生器104は、コントローラ110によって事前に定められた周波数を生成する。周波数設定は、例えば、2MHz、13.56MHz、27MHz、60MHz、又は80MHz以上の設定であることができる。
抵抗成分、誘導成分、及び容量成分によって画定される共振回路106は、所望の品質係数Qを生成するようにチューニング可能であり、この品質係数Qは、電圧源102によって生成された電圧信号を乗算する働きをする。図に示されるように、DC−DC変換器100の一部として、整流器回路108が更に提供される。整流器回路108は、例えばダイオードブリッジ及びその関連の配線のように、複数のダイオードで構成され、これは、RF発生器104によって生成されたRF信号を整流するように構成される。整流器回路108によって出力されている結果は、高周波数(RF)成分を含む増幅DC電圧信号である。一部の実施形態では、電圧源102は、バイポーラ電圧源であってよい。
高周波数成分は、DC−DC変換器100のRF発生器104によって提供されるRF周波数を整流して得られるRFリップルである。この信号は、導電性の伝送線路128を通じてプラズマチャンバ120の下部電極122につながれる。図に示されるように、プラズマチャンバ120は、上部電極126を含み、この上部電極は、接地されている。この構成は、エッチングプロセスに通例使用される容量結合プラズマ(CCP)チャンバを簡略化して例示したものである。最適な構成では、最適な電力伝送を可能にするために、パルスDC供給の電流及び電圧がプラズマのインピーダンスに一致させられるだろう。同じ手順は、DCパルス上のRFリップルの最適な伝送にも当てはまるだろう。一実施形態では、CCPチャンバは、基板124、及び基板124の材料又は層をエッチングするために使用できる。例えば、CCPチャンバの中でエッチングされてよい材料として、誘電体材料が挙げられる。
上記のように、CCPチャンバを通電することによって生成される加工用プラズマは、加工されている超小型電子回路を内包している基板上へのイオン衝撃を制御するのに有用である。これは、極小のホールをスパッタリングでエッチングするために及び成長する膜を高密度にするために使用される陽イオン、並びにエッチング対象ホールに進入して正電荷の蓄積を中性化することができる陰イオンの、両方に関係している。プラズマ自体、並びにその中に含まれている陽イオン及び陰イオンは、時間スケールが異なるので、プロセスの持続時間にわたって及びプロセス自体での両方で、イオンの加速に使用される電圧(即ち、増幅DC電圧信号)の振幅を制御することが有用である。
プログラミング可能であることは、(正パルスであれ負パルスであれ、)電圧がパルスの形で印加される時間及び次のパルスまでの遅延の独立制御を可能にする。更に、陽イオンと陰イオンとでは、役割がそれぞれ異なるので、開示された実施形態では、正パルスと負パルスとで振幅、パルス幅、及び遅延を独立に制御可能であることに大きな利点がある。
図1Bは、一実施形態にしたがった、バイポーラDC−DC変換器100’によって実施される機能動作の一例を示している。別の一実施形態では、非バイポーラDC−DC変換器100が、機能を実行するために使用されてもよい。図に示されるように、コントローラ110によってデジタル方式で制御される電力制御部112によって、電圧入力180が提供される。前述のように、CCPプラズマチャンバ120の中で特定のエッチングプロセスを実施するための設定、プログラミング、パルシングパターン、及び関連のパラメータは、プロセスレシピ116によって定めることができる。電圧入力180は、上述されたパルスDC電圧に関係付けられた電圧である。例えば、もし、プロセスレシピ116によって、電圧入力180が20Vであることが望ましいと決定されたならば、パルシングパターン及び該パルシングパターンの個々の関連のパルス特性に加えて、その20Vが、電圧制御部112によって設定できる。
電圧入力180は、特定のプロセスレシピ116のためのRF周波数を生成するRF発生器104を駆動するために、RF発生部182に提供される。上記のように、RF周波数は、プロセスレシピ116に基づいて定めることができる。例えば、RF周波数は、2MHz、13.56MHz、27MHz、60MHz、80MHz、又はそれよりも高い設定であってよい。一部の実施形態では、RF周波数は、2MHzから80MHzまでの間の特定の周波数であってよく、通例使用される特定のメガヘルツ数の周波数に縛られる必要はない。一部の実施形態では、RF周波数は、例えばチューニングを提供するために、通例使用される周波数から僅かに異なっていてよい。
RF発生部182は、次いで、RF信号を電圧乗算器184に提供し、該乗算器184は、電圧入力180の振幅を品質係数Qで乗算するように構成される。一実施形態では、例として、もし、品質係数Qが100に設定される場合、入力電圧は、100で乗算される。繰り返し述べるが、この乗数値は、一例に過ぎず、プロセスレシピ116と、電圧乗算を提供するようにチューニングされる回路構成とに依存する。RF発生部186の中のRF発生器104によって生成されたRF成分を整流するために、ダイオードブリッジ186が使用される。ダイオードブリッジは、RF信号の整流を提供するために相互に接続された複数のダイオードによって形成できる。
ダイオードブリッジの具体的構成は、周知のように、様々なレベルの整流及び制御を提供するようにあつらえることができる。出力188は、したがって、RF成分を含む増幅DC電圧信号であり、これは、乗算電圧入力によって且つパルシングパターンにしたがって定められた電圧振幅で提示されるRFリップルを定める。例示を目的として、図1Bは、DC電圧信号の形状、パルス、パルス幅、振幅、立ち上がり時間及び全時間の制御、オン時間及びオフ時間等を定めるための、デジタル方式でプログラミング可能なパルシングパターンを示している。増幅DC電圧信号は、したがって、電圧制御部112によって定められてプロセスレシピ116によって設定される特定のパルシングパターンを有する。RFリップルを定めるRF成分は、増幅DC電圧信号によって定められる電圧レベルで提供される。
プラズマチャンバ120の下部電極に接続されているDC−DC変換器の出力は、したがって、バイポーラDC−DC変換器100’によって又は非バイポーラDC−DC変換器100によって生成されたパルス電力を受信する。上記のように、DC−DC変換器のデジタル制御は、プラズマチャンバ120に送られる特定のパルシングパターンの正確な制御を可能にする。一実施形態では、プラズマチャンバは、CCPチャンバであり、半導体ウエハ124の誘電体材料などの材料をエッチングするように構成される。図に示されるように、半導体ウエハ124は、下部電極122につながれた基板サポート上に置かれてよい。
図1Cは、プラズマチャンバ120などの負荷を通電するために使用されてよいDC−DC変換器100の構成の一例を示している。本書で提供される回路構成は、例として挙げられているに過ぎず、DC−DC変換器100のコンポーネントを定めるために、様々な回路コンポーネントが使用されてよいことが理解されるべきである。上記を念頭に置くと、電圧源及びRF発生器102、104は、電界効果トランジスタ(FET)202、204を含んでいてよい。これらのFETは、ゲートドライバ212及び電圧源210によって駆動されてよい。図に示されるように、電圧源210及びゲートドライバ212を制御するために、電圧制御部112が使用できる。電圧源/RF発生器の出力は、したがって、RFコネクタ214を通じて共振回路206に接続される。
共振回路206は、L1、L2、L3などのインダクタ、及びC1、C2、C3などのコンデンサなどの、複数の回路コンポーネントによって画定される。図には示されていないが、共振回路206は、所望の品質係数「Q」の設定値を定めるためにインダクタ及びコンデンサとともに調節可能である抵抗素子も含んでいてよい。一実装形態では、インダクタL2及びL3は、誘導コイルによって画定されてよい。例えば、共振回路の左側と、共振回路の右側との間のRF電力は、誘導コイルL2とL3との間につなぐことができる。その他の実施形態では、誘導コイルは使用されず、共振回路は、DC電圧入力を乗算して乗算DC電圧信号を生成する所望のQ設定値を定めるために、単純に、RLCコンポーネントを用いる。共振回路206は、RFコネクタ216によって整流器回路208につながれるものとして示されている。一実施形態では、電力制御部112は、例えばRF成分の正整流又は負整流を定めるなどのために、整流器回路208の動作パラメータを制御するようにも構成される。整流器回路は、複数のダイオードと、コンデンサC5とを含む。整流器回路208のこの例示は、ダイオードブリッジとして通例使用される多くのタイプの整流配置の一例に過ぎない。整流回路及びダイオードブリッジは、周知のものであり、したがって、整流器回路208は、例として示されたに過ぎない。
一実施形態では、電力制御部112は、パルシングパターン設定制御部230を含む。パルシングパターン設定部230は、DC−DC変換器100に、具体的には例えば電力源102及び整流器208にデジタル方式で伝えられるパルシングパターンを定めるように構成される。パルシングパターンのために、様々な制御パラメータ220がプログラミング可能であり、コントローラ110を通じてデジタル方式で設定できる。例えば、これらの制御は、電圧振幅222、極性制御224、立ち上がり時間及び立ち下がり時間226、幅及び遅延128、並びにその他のパラメータを含むことができる。これらのパラメータは、DC−DC変換器100に伝えられる、結果として得られたパルシングパターンの正確な制御を可能にする。前述のように、DC−DC変換器100をデジタル方式でプログラミングするための所望のパルシングパターンを伝えて、プロセスチャンバ120の中でエッチングプロセスを最適に実行させるために、プロセスレシピ116が使用される。
前述のように、Q設定240も、システムコントローラ110によって設定可能であり、共振回路206のRLCコンポーネントを調節して所望の品質係数Qを実現するために使用される。上記のように、品質係数Qは、100、又は電圧源102によって提供される電圧入力を乗算するために所望される任意の他の値であることができる。更には、リップル制御部114も、リップルパターン設定242を設定するために、システムコントローラ110によって制御可能である。リップルパターン設定242は、パルスDC電圧とともに処理チャンバ120に提供されるRF成分リップルの調節を可能にするために、整流器回路208に伝えられる。
以下で更に詳しく定められるように、リップルパターン設定242は、増幅DC電圧信号の正パルス中におけるリップルのRFの振幅を調節するための、更なる制御を提供する。例えば、正パルス中におけるプラズマのイオン化を促すためには、正パルスの初期部分中におけるRF成分内のRFリップルの振幅を増加させることが望ましいだろう。例えば、もし、より高振幅のリップルが、パルシングパターンの正DCパルスの始まりで提供される場合は、更なるプラズマイオン化が提供され、それによって、極小のホール及び特徴をスパッタリングでエッチングするために及び成長する膜を高密度にするために使用される陽イオンの生成が促されると考えられる。負パルス中は、陰イオンを提供することが可能であり、これらの陰イオンは、陽イオンの蓄積を中性化するために、ホール又は特徴に進入するだろう。リップルパターン設定242の更なる例が、以下で更に詳しく説明される。
DC−DC変換器のデジタル制御に関して具体的な説明がなされているが、コントローラ110は、プラズマチャンバ120を実行させるためのその他の設備やシステム等のための制御も提供してよい。例えば、コントローラ110は、システム制御部244、プロセスガス制御部246、設定制御部248、及びその他の機能又は設定を可能にするために、命令及び制御を提供することができる。
図2は、一実施形態にしたがった、パルシングパターンを生成するためにコントローラ110に提供されるプロセスレシピ116の一例を示している。この例では、コントローラ110は、50%のデューティサイクルを有するパルシングパターンを生成するために、バイポーラDC−DC変換器100’をデジタル方式でプログラミングする。例えば、パルシングパターン130は、正パルス132と、負パルス134とを含む。パルシングパターンは、プロセスレシピ116によって定められたDC電圧パルシングパターンをたどり、バイポーラDC−DC変換器100’をデジタル方式でプログラミングするためにコントローラ110によって実行される。図に示されるように、正パルス132及び負パルス134は、RFリップルを含み、これは、増幅DC電圧とともに供給されているRF成分である。パルシングパターン130は、次いで、導電性の通信線路128によって、プラズマチャンバ130の下部電極に提供される。上記のように、最適な構成では、最適な電力伝送を可能にするために、パルスDC供給の電流及び電圧がプラズマのインピーダンスに一致させられるだろう。同じ手順は、DCパルス上のRFリップルの最適な伝送にも当てはまるだろう。
図3は、一実施形態にしたがった、プロセスレシピ116がコントローラに伝えられ、正パルス及び負パルスを独立に供給するために別々のDC−DC変換器が使用される一例を示している。図に示されるように、正パルス132を発生させるために及びパルシングパターン140を生成するために、正パルスDC−DC変換器100Aが使用される。図に示されるように、これは、個々の正パルス132間にオフ期間を提供する。同時に、負パルスDC−DC変換器100Bが、正パルス132のオフ期間がある合間に負パルス134を提供するために使用される。このようなやり方では、パルシングパターン140とパルシングパターン142とを同期させて提供できるように、コントローラ110による制御に応じて同期が起きることができ、そのために、バイポーラDC−DC変換器100’又は非バイポーラDC−DC変換器100を使用して生じるだろうパルシングパターンを生成するために、2つの別々のDC−DC変換器が使用される。
一部の実施形態では、正パルスの生成と負パルスの生成とを分けることで、DC−DC変換器の動作を単純にすることができ、コントローラ110は、正のDC−DC変換器110A又は負のDC−DC変換器100Bによっていつパルスが生成されるかを制御するように構成できる。その結果、導電性の通信線路128によってプラズマチャンバ120の下部電極にパルスDC供給が提供される。
図4Aは、一実施形態にしたがった、50%のデューティサイクルを提供するように概ね構成された一例のパルシングパターン400を示している。この例では、正パルス中に連続リップル402が提供され、負パルス中に連続リップル404が提供される。上記のように、パルシングパターンのパルス幅、パルス振幅、立ち上がり時間、立ち下がり時間、及びその他のパラメータが、プロセスレシピ116にしたがって、コントローラ110によってデジタル方式で制御できる。
図4Bは、一実施形態にしたがった、一例のパルシングパターン405を示しており、ここでは、正パルス406と、負パルス408とが、異なる時間に起きるようにプログラミングできる。図に示されるように、正パルス及び負パルスは、期間t1中に起きることができ、正パルス406と負パルス408とを分離するオフ期間が、期間t2中に起きることができる。繰り返し述べるが、正パルス406中及び負パルス408中には、プロセスレシピ116による定めにしたがって、RF成分である連続RFリップルが提供される。
図4Cは、一実施形態にしたがった、正パルスと負パルスとで異なるパルシングパターン410を示している。図に示されるように、正パルス412が、期間t3中に起きる一方で、負パルス414は、期間t4中に起きる。この例では、期間t3は、時間t4未満であり、負パルスの持続時間を正パルスの持続時間よりも長くしている。繰り返し述べるが、プロセスレシピ116によって定められたプログラミングは、正パルス及び負パルスの持続時間を定めることができる。このようにして、処理における必要性に基づいて、期間t3を期間t4よりも長くすることが可能である。
図4Dは、一実施形態にしたがった、別の例のパルシングパターン420を示している。この例では、正パルス422a〜422cが、時間とともに振幅が増加するように提供されることが示されている。負パルス424a〜424dも、相応して時間とともに振幅が増加するように提供される。振幅は、パルスの周期的な増加、又は段階的な増加若しくは減少を形成するように、時間とともに増加して次いで減少することが可能である。例えば、レシピにおける特定の工程中又は位相中に正パルスの振幅を増加させ、次いで他の特定の工程中又は位相中に振幅を減少させることが望ましいだろう。繰り返し述べるが、DC−DC変換器によって提供される制御は、RF成分リップルを伴う提供された電圧パルスに対する正確で且つ迅速なデジタル制御である。これは、正パルスと負パルスとの間で迅速な切り替えを行うことも、コントローラ110によって提供されるデジタルプログラミング入力に応えて正確な振幅スケーリングを即時に提供することもできない標準的な振動RF発生器では物理的に可能でない柔軟性を提供する。
図4Eは、一実施形態にしたがった、別の例のパルシングパターン430を示している。この例示では、DC−DC変換器は、単純に、特定の間隔で正パルス432を提供するようにプログラミングできる。例えば、各正パルスは、プログラミング可能なオフ期間によって隔てられる。正パルス432のパルス幅は、更に大きい又は小さいようにプログラミングすることも可能である。図4Fは、正パルス442間のオフ期間(例えば、遅延)のカスタム設定を可能にしたパルシングパターン440が提供される一例を示している。
図4Gは、特定の時間に正パルス452a〜452cが提供されて1つ以上の負パルス454と連携させられる例のパルシングパターン450を示している。この例は、正パルスと負パルスとが連続して交互に起きる必要性とは無関係に、システムが負パルス又は正パルスの発生をデジタル方式でプログラミングできることを示すために提供されている。これらの例のパルシングパターンは、DC−DC変換器の柔軟性と、処理チャンバ120への電力供給のための、プログラミングされたパルスの迅速な印加とを示すために提供されたものである。
図5Aは、一実施形態にしたがった、リップル制御部114に基づいて調節されている例のパルシングパターン500を示している。この例では、正パルス502は、パルスの開始時には高めの振幅のリップルを含むものとして示され、このリップルは、パルスがアクティブになるのに伴って減衰させることができる。負パルス504にも、同様な制御が提供できる。一部の実施形態では、正パルスは、極小のホール又は特徴をスパッタリングでエッチングするために及び/又は成長する膜を高密度にするために必要とされる陽イオンを提供するために使用されるので、リップル制御部114によって制御されるのは、正パルス502のみである。正パルス502の拡大図506が、図5Bに示されている。例えば、リップル制御部114は、正パルス502の開始時の位相の近くに、増幅されたリップル508を正確に提供することができる。この例では、正パルス502の冒頭の約25%が、増幅されたRF成分リップルを含む。正パルス中の、より長い期間にわたって更なる増幅を提供することが可能であり、プロセスレシピ116による求めに応じて、正パルス502の最大100%にわたる増幅が可能である。前述のように、正パルス部分における、振幅が増したリップルの提供は、プラズマ中のイオン化を促す働きをすることができる。正パルスにおけるリップルの振幅の増加は、プラズマ空間中におけるイオン化を、可能性としては上部電極付近で促すと考えられる。その他の構成では、負パルス中における振幅が増したリップルの提供が、例えば基板の表面の近くなどの下部電極の近くにおけるイオン化を促す働きをするだろう。リップルをどこで変更するかの選択は、更に別の制御つまみを提供し、これは、プロセスエンジニアらが、正確に制御されたプロセスレシピを定めるために使用することができる。
図5Cは、リップル制御部114が、正常なリップル522と、その後に続く増幅されたリップル524とを提供し、次いで再び正常なリップル526に戻るようにプログラミング可能である例を示している。この例示では、リップル制御部114は、正パルス中のどこでリップルが提供可能であるかをプログラミング可能にできることが示されている。図5Dは、パルスの開始時に正常なリップル532が提供され、パルスの終わりに近づくにつれて異なるレベルの増幅されたリップル534及び536が提供可能であることを示している。繰り返し述べるが、プロセスレシピ116は、リップル制御がいつ及びどこでプラズマのイオン化を促すための利点を提供できるかを定めることができる。
図6Aは、一実施形態にしたがった、プラズマチャンバ120に更なる電力を提供するために複数のバイポーラDC−DC変換器100’が使用されてよいシステム600を示している。例えば、回路構成の効率及び電界効果トランジスタ(FET)の電源能力に応じて、バイポーラDC−DC変換器及び非バイポーラDC−DC変換器を、様々なレベルの電力を提供できるようにすることができる。一部の実施形態では、FETは、高周波数のガリウムナイトライド・オン・シリコン(eGaN)であることができる。一部の実施形態では、これらのタイプのFETは、高速切り替えが可能である。切り替えは、一実施形態では、10MHz以上に達することができる。更に前述のように、パルスの上昇(及び降下)時間は、マイクロ秒又はマイクロ秒未満の領域で制御されてよい。EeGaN FETに関する更なる情報については、<参考文献1>を参照するとよい。このアプリケーションノートは、あらゆる目的のために本明細書に組み込まれる。これらのFETは、一例に過ぎず、その他のメーカ、ベンダ、又はサプライヤからの、様々な強度、構成、及び/又は設計のその他のデバイスが使用できる。
<参考文献1>application note AN015, pp. 1-7“Introducing a Family of eGaN FETs for Multi-Megahertz Hard Switching Applications,” by Michael de Rooij, PhD, Johan Strydom, PhD, 2014, by Efficient Power Conversion Corporation
本書で説明される一部の実装形態では、切り替え速度は、好ましくは1MHz以下に、更に好ましくは400KHz以下に設定される。現時点では、これらのタイプのデバイスは、例えば2KV及び1Ampなどの、約2キロワット(KW)の付近の電力を生成することができる。一部の実装形態では、処理チャンバ120などのCCPチャンバへの供給に必要とされる電力の量は、8KWから約10KWの付近であることができる。一部の実施形態では、DC−DC変換器は、例えば最大で50KW又はそれ以上のように、大幅に高い電力を生成するように設計されてよい。また、対象とされるプロセスチャンバ設計及びレシピ要件に応じて、更に高い電力生成能力を伴うようにDC−DC変換器を設計することも可能である。例えば、より高い合算電力出力を生成することができるように、デバイスを組み合わせて配列にしたものでDC−DC変換器が形成されてよい。これらの実施形態は、例えば、2KW、4KW、10KW等をそれぞれ供給する複数のDC−DC変換器の組み合わせが結合器回路602を通じて供給されることを可能にしてよい。電力出力は、次いで、図7を参照にして後述されるように、導体128を通じて下部電極に、又は下部電極及び上部電極の両方に供給できる。結合器回路602は、やはりコントローラ110につながれている各DC−DC変換器によって提供される周波数を同期化するように構成できる。
一部の実施形態では、結合器回路602は、増幅された電力出力を伴う同期化されたパルシングパターンを提供できるように、バイポーラDC−DC変換器の出力間で位相を調節する働きをすることができる。この実装形態例では、基板上に形成された材料に対してCCPエッチング工程を実施するためにプラズマチャンバ120に電力を提供できるように、4つの2KWバイポーラDC−DC変換器を組み合わせることによって8KWの電力が生成される。一部の実施形態では、バイポーラDC−DC変換器100の回路構成における電力生成の増加及び能力の向上に伴って、より少ない数のバイポーラDC−DC変換器100が使用されてよい。バイポーラDC−DC変換器100は、デジタル方式でプログラミング及び制御が可能であるデジタルコンポーネントであるので、制限なく、1枚のプリント回路基板(PCB)上に、個々のバイポーラDC−DC変換器100が設置可能であり、そのサイズは、おおよそ3インチ×6インチ(約7.62センチ×15.24センチ)の範囲(例えば、1枚又は複数枚のカード)であってよい。
PCBのサイズは、設計されたフォームファクタ及び回路構成の高密度化に応じて可変である。例えば、PCBのサイズは、上述の例のサイズよりも小さい又は大きいことが可能である。フォームファクタが小さいと、複数のバイポーラDC−DC変換器100’の複数のPCBカードを組み合わせても、依然として、大きい容積を占めるのが通常である旧来のRF発生器よりも大幅に小さいサイズが得られる。更なる利点として、バイポーラDC−DC変換器100に関係付けられた回路構成は、旧来のRF発生器よりも大幅に効率的である。例えば、DC−DC変換器によって生成される熱は、代表的なRF発生器でよりも少なく、これは、複雑な冷却用インフラや大きい接地面積等の必要性を軽減する。また、DC−DC変換器のプログラミング可能性も、所望のパルシング構成の画定において、旧来のRF発生器が使用されるときは不可能である大幅な単純化及び柔軟性を与える。
図6Bは、正パルスを提供するためにDC−DC変換器100Aが使用され、負パルスを提供するためにDC−DC変換器100Bが使用される、別の例のシステム620を示している。この例は、正パルス及び負パルスへの供給に必要とされる生成電力を増やすために、正パルス及び負パルスのそれぞれのために複数のDC−DC変換器を組み合わせることを示している。結合器回路602と同様に、結合器622及び624が使用される。一部の実施形態では、例えば、負パルスに使用されるDC−DC変換器カードよりも多い枚数のDC−DC変換器カードによって、追加の電力を正パルスに供給することが可能だろう。逆もまた、同様に真である。繰り返し述べるが、システムの構成は、コントローラ110がどのようにDC−DC変換器とやり取りするかを制御するプロセスレシピ116に依存する。上記のように、コントローラは、パルシングパターンを定める電力制御部112とやり取りし、また、上述のように特定のパルス中におけるリップルの振幅を調節するために提供されてよいリップル制御部114ともやり取りする。
図7は、パルス電力がプロセスチャンバ120の下部電極、上部電極、又はその両方に提供可能である別の一実施形態を示している。一部の構成では、スイッチ740を通じて全てのパルス電力を下部電極に提供することが可能であり、上部電極は、スイッチ740を通じて同様に接地される。コントローラ110は、どの電極(即ち、上部電極及び/又は下部電極)が電力を受け取るかを制御できるように、スイッチ740をプログラミングするように構成される。一部の構成では、スイッチ740及びコントローラ110による設定にしたがって、上部電極が設定されている間にパルシングパターン710の正パルス732を伝送線路128を通じて下部電極に提供することができる。負パルス734中は、下部電極が接地されている間にパルス電力を伝送線路728を通じて上部電極に振り分けることができる。更にその他の実施形態では、スイッチ740は、(正及び負の)各パルスから一定の量の電力を上部電極及び下部電極の両方に提供することが可能である。上部電極及び下部電極に送られる電力の割合は、したがって、プロセスの効率を高める必要性に応じて動的に制御される。
スイッチ740は、したがって、伝送線路128及び728を通じて上部電極及び/又は下部電極に電力を出力することができるプログラミング可能なスイッチである。一実施形態では、スイッチ740は、電気コンポーネント及び/又は機械コンポーネントを含むことができる。スイッチ740は、デバイスによっては、上部電極又は下部電極のいずれかの接地を可能にできるように、グランドへの内部接続を有することができる。スイッチ740は、したがって、パルス(正若しくは負)、サイクル、又はプロセス工程、及び/又は監視されるエッチング条件に応じて、上部電極又は下部電極のいずれかを接地させることができる。
概して、パルス式DC生成は、DC電圧を取り上げてそれを高周波数に変換し、次いで、共振回路を通じて高電圧に増幅し、次いでダイオードネットワークを通じてDCに整流しなおす電力変換システムを含む。このシステムは、高速パルス式であることができ、イオンを加速させるために現在使用されている旧来の高周波数発生器で見舞われるインピーダンスの複雑性を有さない。
電圧範囲が可変である変換器を用いた実装形態に関する情報については、<参考文献2>を参照することができる。該論文は、あらゆる目的のために参照によって本明細書に組み込まれる。
<参考文献2>“High-Frequency Resonant SEPIC Converter With A Wide Input And Output Voltage Ranges,” by Jingying Hu, et al., published by IEEE Transactions On Power Electronics, Vol. 27, No. 1, pp. 189-200, Jan. 2012
一部の実装形態では、コントローラは、システムの一部であり、このシステムは、上述された例の一部であってよい。このようなシステムは、1つ若しくは複数の処理ツール、1つ若しくは複数のチャンバ、処理のための1つ若しくは複数のプラットフォーム、及び/又は特定の処理コンポーネント(ウエハ台座やガス流システム等)などの、半導体処理機器を含むことができる。これらのシステムは、半導体ウエハ又は基板の処理の前、最中、及び後におけるそれらの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ110」として言及されてよく、このコントローラは、1つ又は複数のシステムの様々なコンポーネント又は副部品を制御してよい。コントローラは、処理パラメータ及び/又はシステムタイプに応じ、処理ガスの供給、温度の設定(例えば、加熱及び/若しくは冷却)、圧力の設定、真空の設定、電力の設定、高周波数(RF)発生器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体供給の設定、位置及び動作の設定、特定のシステムに接続された若しくはインターフェース接続されたツール及びその他の移送ツール及び/若しくはロードロックに対してウエハを出入りさせるウエハ移送などの、本明細書で開示される任意のプロセスを制御するようにプログラミングされてよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にするなどを行う様々な集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態をとるチップ、デジタル信号プロセッサ(DSP)、特殊用途向け集積回路(ASIC)として定められたチップ、及び/又はプログラム命令(例えばソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含んでいてよい。プログラム命令は、様々な個別設定(又はプログラムファイル)の形でコントローラに伝えられて半導体ウエハに対して若しくは半導体ウエハのために又はシステムに対して特定のプロセスを実行に移すための動作パラメータを定義する命令であってよい。動作パラメータは、一部の実施形態では、1枚以上の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、及び/又はウエハダイの製作における1つ以上の処理工程を実現するためにプロセスエンジニアによって定義されるレシピの一部であってよい。
コントローラは、一部の実装形態では、システムと一体化された、システムにつながれた、それ以外の形でシステムにネットワーク接続された、若しくはこれらの組み合わせである、コンピュータの一部であってよい、又はそのようなコンピュータにつながれてよい。例えば、コントローラは、「クラウド」の中、即ちファブホストコンピュータシステムの全体若しくは一部の中にあってよく、これは、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製造d動作の現進行状況を監視するために、又は過去の製造動作の履歴を調査するために、又は複数の製造動作から傾向若しくは性能基準を調査するために、又は現処理のパラメータを変更するために、又は処理工程を設定して現処理を追跡するために、又は新しいプロセスを開始させるために、システムへの遠隔アクセスを可能にしてよい。一部の例では、遠隔コンピュータ(例えば、サーバ)が、ネットワークを通じてシステムにプロセスレシピを提供することができ、ネットワークは、ローカルネットワーク又はインターネットを含んでいてよい。遠隔コンピュータは、パラメータ及び/若しくは設定の入力又はプログラミングを可能にするユーザインターフェースを含んでいてよく、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝えられる。一部の例では、コントローラは、1つ以上の動作中に実施される各処理工程のためのパラメータを指定するデータの形式で命令を受信する。なお、パラメータは、実施されるプロセスのタイプに、及びコントローラがインターフェース接続されるように又は制御するように構成されたツールのタイプに特有であってよいことが、理解されるべきである。したがって、上述のように、コントローラは、ネットワークによって結ばれて本明細書で説明されるプロセス及び制御などの共通の目的に向かって作業する1つ以上の個別のコントローラを含むなどによって分散されてよい。このような目的のための分散コントローラの一例として、(プラットフォームレベルに又は遠隔コンピュータの一部として)遠隔設置されてチャンバにおけるプロセスを協同で制御する1つ以上の集積回路とやり取りするチャンバ上の1つ以上の集積回路が挙げられる。
代表的なシステムとして、制限なく、プラズマエッチングチャンバ若しくはプラズマエッチングモジュール、堆積チャンバ若しくは堆積モジュール、スピン・リンスチャンバ若しくはスピン・リンスモジュール、金属めっきチャンバ若しくは金属めっきモジュール、洗浄チャンバ若しくは洗浄モジュール、ベベルエッジエッチングチャンバ若しくはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバ若しくはPVDモジュール、化学気相成長(CVD)チャンバ若しくはCVDモジュール、原子層堆積(ALD)チャンバ若しくはALDモジュール、原子層エッチング(ALE)チャンバ若しくはALEモジュール、イオン注入チャンバ若しくはイオン注入モジュール、追跡チャンバ若しくは追跡モジュール、並びに半導体ウエハの製作及び/若しくは製造に関係付けられた若しくは使用されるその他の任意の半導体処理システムが挙げられる。
上記のように、ツールによって実施される1つ以上のプロセス工程に応じ、コントローラは、その他のツール回路若しくはツールモジュール、その他のツールコンポーネント、クラスタツール、その他のツールインターフェース、隣接するツール、近隣のツール、工場の随所にあるツール、メインコンピュータ、別のコントローラ、又は半導体製造工場におけるツール場所及び/若しくは装填ポートに対してウエハ入り容器を出し入れする材料輸送に使用されるツールのうちの、1つ以上とやり取りしえる。
上記の実施形態を念頭に置くと、実施形態は、コンピュータシステムに格納されたデータを伴う様々なコンピュータ実行動作を利用できることが理解されるべきである。これらの動作は、物理量の物理的操作を必要とする動作である。本書で説明されて実施形態の一部を構成する動作は、有用な機械動作である。実施形態は、また、これらの動作を実施するための機器又は装置にも関する。装置は、特殊用途コンピュータのように、所要の目的にあわせて特別に構成されてよい。特殊用途コンピュータとして定められたときは、コンピュータは、特殊用途のために動作可能でありつつも、特殊用途の一部ではないその他の処理、プログラム実行、又はルーチンも実施することができる。或いは、動作は、コンピュータメモリ若しくはキャッシュに格納された又はネットワークを通じて得られた1つ以上のコンピュータプログラムによって選択的にアクティブにされる又は構成される汎用コンピュータによって処理されてよい。データは、ネットワークを通じて得られるときは、例えばコンピューティングリソースのクラウドなどの、ネットワーク上のその他のコンピュータによって処理されてよい。
1つ以上の実施形態は、コンピュータ読み取り可能媒体上のコンピュータ読み取り可能コードとしても作成できる。コンピュータ読み取り可能媒体は、コンピュータシステムによって後に読み出せるデータを格納することができる任意のデータストレージ機器である。コンピュータ読み取り可能媒体の例には、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み出し専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、並びにその他の光及び非光データストレージ機器がある。コンピュータ読み取り可能媒体は、コンピュータ読み取り可能コードが分散方式で格納及び実行されるようにネットワーク接続コンピュータシステムに分散された有形のコンピュータ読み取り可能媒体を含むことができる。
方法の動作は、特定の順番で提示されているが、これらの動作間にその他のハウスキーピング動作が実施されてよいこと、又は僅かに異なる時点で動作が生じるように調整されてよいこと、又はオーバーレイ動作の処理が所望の形で実施されさえすれば、処理に関係付けられた様々な時間間隔での処理動作の発生を可能にするシステム内で動作が分散されてよいことが理解されるべきである。
以上の実施形態は、理解を明瞭にする目的で幾らか詳細に説明されてきたが、添付の特許請求の範囲内で特定の変更及び修正が可能であることが明らかである。したがって、本実施形態は、例示的であって限定的ではないと見なされ、本明細書で与えられる詳細に限定されず、添付の特許請求の範囲及びそれらの均等物の範囲内で変更されてよい。

Claims (30)

  1. プラズマ処理システムであって、
    基板サポートに接続された下部電極と、接地された上部電極とを有するチャンバであって、前記上部電極と前記下部電極との間にプラズマ処理空間が画定される、チャンバと、
    DC電圧入力を入力部で受信するように及び高周波数(RF)成分を含む増幅DC電圧信号を出力部で供給するように構成された直流(DC)−直流(DC)変換器であって、前記DC電圧入力は、デジタル方式でプログラミング可能なパルシングパターンにしたがい、前記DC−DC変換器の前記出力部は、前記チャンバの前記下部電極に接続される、DC−DC変換器と、
    前記パルシングパターンを設定するために前記DC−DC変換器にインターフェース接続されたコントローラと、
    を備えるプラズマ処理システム。
  2. 請求項1に記載のプラズマ処理システムであって、
    前記DC−DC変換器は、
    DC電圧源と、
    前記バイポーラDC電圧源によって駆動されるRF発生器であって、一定の周波数のRF成分を発生させるRF発生器と、
    を含む、プラズマ処理システム。
  3. 請求項2に記載のプラズマ処理システムであって、
    前記DC−DC変換器は、
    前記DC入力電圧を乗算するように構成された共振回路と、
    前記RF成分を含む増幅DC電圧信号を生成するために、前記乗算したDC入力電圧及び前記RF成分を受信する整流器回路と、
    を含む、プラズマ処理システム。
  4. 請求項3に記載のプラズマ処理システムであって、更に、
    前記コントローラにインターフェース接続され、前記周波数成分の振幅を変更するために前記整流器回路を動的に調節するように構成されたリップル制御部を備えるプラズマ処理システム。
  5. 請求項4に記載のプラズマ処理システムであって、
    前記整流器回路の前記動的な調節は、前記パルシングパターンの各アクティブパルス時に、より高い振幅又はより低い振幅を設定することを可能にする、プラズマ処理システム。
  6. 請求項5に記載のプラズマ処理システムであって、
    前記アクティブパルスは、前記増幅DC電圧信号の正パルス又は前記増幅DC電圧信号の負パルスのいずれかである、プラズマ処理システム。
  7. 請求項1に記載のプラズマ処理システムであって、
    前記RF成分は、前記増幅DC電圧信号の正パルス又は前記増幅DC電圧信号の負パルスに供給され、前記増幅DC電圧信号のオフパルス中は、RF成分は供給されない、プラズマ処理システム。
  8. 請求項1に記載のプラズマ処理システムであって、
    前記コントローラは、前記パルシングパターンを提供する電力制御部に接続され、前記パルシングパターンは、前記DC電圧入力の電圧振幅、前記DC電圧入力の極性、前記DC電圧入力の立ち上がり時間及び立ち下がり時間、前記DC電圧入力の幅及び遅延、又はこれらのうちの2つ以上の組み合わせ、のうちの1つ以上を設定するために、デジタル方式でプログラミング可能である、プラズマ処理システム。
  9. 請求項1に記載のプラズマ処理システムであって、
    プロセスレシピが、前記パルシングパターンのためのプログラミングを設定するように構成され、前記プログラミングは、デジタルプログラミングによって前記コントローラによって前記DC−DC変換器に適用される、プラズマ処理システム。
  10. 請求項2に記載のプラズマ処理システムであって、
    前記電圧源及び前記RF発生器は、ゲートドライバによって駆動されて前記DC電圧入力の供給を受ける電界効果トランジスタ(FET)を含む、プラズマ処理システム。
  11. 請求項3に記載のプラズマ処理システムであって、
    前記共振回路は、品質係数Qを定める抵抗値とインダクタンス値と容量値とから定められ、前記品質係数Qは、前記増幅DC電圧信号のための増幅を提供する、プラズマ処理システム。
  12. 請求項3に記載のプラズマ処理システムであって、
    前記整流器回路は、ダイオードブリッジの少なくとも一部を画定する、プラズマ処理システム。
  13. 請求項12に記載のプラズマ処理システムであって、
    前記ダイオードブリッジの回路は、リップル制御信号に応えて調節可能であり、前記リップル制御信号は、前記パルシングパターンの正パルス中における前記周波数成分の振幅を調節する働きをする、プラズマ処理システム。
  14. 請求項9に記載のプラズマ処理システムであって、
    前記パルシングパターンのための前記プログラミングは、前記DC電圧入力の周波数及び前記RF成分の周波数を定める、プラズマ処理システム。
  15. 請求項14に記載のプラズマ処理システムであって、
    前記DC電圧入力の前記周波数は、約1MHz未満であり、前記RF成分の前記周波数は、2MHz、13.56MHz、27MHz、60MHz、又は80MHzのいずれかから選択される、プラズマ処理システム。
  16. 請求項14に記載のプラズマ処理システムであって、
    前記DC電圧入力の前記周波数は、400KHz以下であり、前記RF成分の前記周波数は、2MHz、13.56MHz、27MHz、60MHz、又は80MHzのいずれかから選択され、前記チャンバは、前記下部電極と前記上部電極との間に容量結合プラズマ(CCP)源を生成するように構成され、前記CCP源は、前記チャンバの前記基板サポート上に配されたときの基板から材料をエッチングするために使用される、プラズマ処理システム。
  17. 請求項16に記載のプラズマ処理システムであって、
    前記DC−DC変換器は、前記下部電極に接続された複数のDC−DC変換器のうちのいずれかであり、前記複数のDC−DC変換器は、それぞれ、一定の量の電力を生成するように構成され、前記複数のDC−DC変換器は、前記複数のDC−DC変換器からの前記各一定の量の電力の和を生成するために組み合わせられる、プラズマ処理システム。
  18. 請求項16に記載のプラズマ処理システムであって、
    前記パルシングパターンは、(i)正パルスと負パルスとが交互するパターン、(ii)正パルス、オフ期間、及び負パルスのパターン、(iii)正パルス、オフ期間、及び負パルスで、正パルスと負パルスとで振幅が異なるパターン、(iv)正パルス、オフ期間、及び正パルスのパターン、(v)正パルス、調節可能なオフ期間、及び別の正パルスで、これらが繰り返されるパターン、(vi)可変幅の正パルス若しくは負パルスが繰り返されるパターン、(vii)既定のパルス幅及び既定のパルス振幅の正パルスの後にオフ期間が続き、次いで、同じ既定のパルス幅及び既定のパルス振幅の正パルスが繰り返されるパターン、(viii)既定のパルス幅及び既定のパルス振幅の負パルスの後にオフ期間が続き、次いで、同じ既定のパルス幅及び既定のパルス振幅の負パルスが繰り返されるパターン、又は(ix)既定の振幅及び幅を有する正パルスの後に、オフ期間若しくは既定の振幅及び幅を有する負パルスが続くパターン、又は(x)これらのうちの2つ以上を組み合わせたパターンの、いずれかから選択される、プラズマ処理システム。
  19. プラズマ処理システムであって、
    基板サポートに接続された下部電極と、接地された上部電極とを有するチャンバであって、前記上部電極と前記下部電極との間にプラズマ処理空間が画定される、チャンバと、
    正のDC電圧入力を入力部で受信するように及び高周波数(RF)成分を含む正の増幅DC電圧信号を出力部で供給するように構成された第1の直流(DC)−直流(DC)変換器であって、前記正のDC電圧入力は、デジタル方式でプログラミング可能なパルシングパターンにしたがい、前記DC−DC変換器の前記出力部は、前記チャンバの前記下部電極に接続される、第1のDC−DC変換器と、
    負のDC電圧入力を入力部で受信するように及び高周波数(RF)成分を含む負の増幅DC電圧信号を出力部で供給するように構成された第2の直流(DC)−直流(DC)変換器であって、前記負のDC電圧入力は、デジタル方式でプログラミング可能なパルシングパターンにしたがい、前記DC−DC変換器の前記出力部は、前記チャンバの前記下部電極に接続される、第2のDC−DC変換器と、
    前記パルシングパターンを設定するために前記第1及び第2のDC−DC変換器にインターフェース接続されたコントローラであって、前記パルシングパターンの正パルスは、前記第1のDC−DC変換器によって提供され、前記パルシングパターンの負パルスは、前記第2のDC−DC変換器によって提供される、コントローラと、
    を備えるプラズマ処理システム。
  20. 請求項19に記載のプラズマ処理システムであって、
    前記第1の及び第2のDC−DC変換器は、それぞれ、
    DC電圧源と、
    前記DC電圧源によって駆動されるRF発生器であって、一定の周波数のRF成分を発生させるRF発生器と、
    前記正の又は負のDC入力電圧を乗算するように構成された共振回路と、
    前記RF成分を含む前記正又は負の増幅DC電圧信号を生成するために、正又は負の乗算したDC入力電圧及び前記RF成分を受信する整流器回路と、
    を含む、プラズマ処理システム。
  21. 請求項20に記載のプラズマ処理システムであって、更に、
    前記コントローラにインターフェース接続され、前記周波数成分の振幅を変更するために前記第1のDC−DC変換器の前記整流器回路を動的に調節するように構成されたリップル制御部を備えるプラズマ処理システム。
  22. 請求項21に記載のプラズマ処理システムであって、
    前記整流器回路の前記動的な調節は、前記パルシングパターンの各アクティブパルス時に、より高い振幅又はより低い振幅を設定することを可能にする、プラズマ処理システム。
  23. 請求項19に記載のプラズマ処理システムであって、
    前記正及び負のDC電圧入力の前記周波数は、400KHz以下であり、前記RF成分の前記周波数は、2MHz、13.56MHz、27MHz、60MHz、又は80MHzのいずれかから選択され、前記チャンバは、前記下部電極と前記上部電極との間に容量結合プラズマ(CCP)源を生成するように構成され、前記CCP源は、前記チャンバの前記基板サポート上に配されたときの基板から材料をエッチングするために使用される、プラズマ処理システム。
  24. 請求項19に記載のプラズマ処理システムであって、
    前記パルシングパターンは、(i)正パルスと負パルスとが交互するパターン、(ii)正パルス、オフ期間、及び負パルスのパターン、(iii)正パルス、オフ期間、及び負パルスで、正パルスと負パルスとで振幅が異なるパターン、(iv)正パルス、オフ期間、及び正パルスのパターン、(v)正パルス、調節可能なオフ期間、及び別の正パルスで、これらが繰り返されるパターン、(vi)可変幅の正パルス若しくは負パルスが繰り返されるパターン、(vii)既定のパルス幅及び既定のパルス振幅の正パルスの後にオフ期間が続き、次いで、同じ既定のパルス幅及び既定のパルス振幅の正パルスが繰り返されるパターン、(viii)既定のパルス幅及び既定のパルス振幅の負パルスの後にオフ期間が続き、次いで、同じ既定のパルス幅及び既定のパルス振幅の負パルスが繰り返されるパターン、又は(ix)既定の振幅及び幅を有する正パルスの後に、オフ期間若しくは既定の振幅及び幅を有する負パルスが続くパターン、又は(x)これらのうちの2つ以上を組み合わせたパターンの、いずれかから選択される、プラズマ処理システム。
  25. DC−DC変換器によって駆動される容量結合プラズマ(CCP)チャンバを使用して基板を処理するための方法であって、
    基板サポートに接続された下部電極と、接地された上部電極とを有するチャンバであって、前記上部電極と前記下部電極との間にプラズマ処理空間が画定される、チャンバを提供することと、
    デジタルプログラムによって定められるパルシングパターンに基づいて直流(DC)電圧入力を提供することと、
    前記DC入力電圧を使用して高周波数(RF)成分を生成することと、
    前記RF成分を含む前記DC入力電圧を増幅させることと、
    前記チャンバの前記下部電極に供給される、前記RF成分を含む増幅DC電圧信号を生成するために、前記RF成分を整流することと、
    を備え、前記デジタルプログラムによって定められる前記パルシングパターンは、前記DC電圧入力の周波数及び前記RF成分の周波数を定め、前記増幅DC電圧の前記周波数は、正パルス又は負パルス、並びに前記正パルス又は負パルスのパルス幅及び振幅を定める、方法。
  26. 請求項25に記載の方法であって、
    前記DC電圧入力の前記周波数は、400KHz以下であり、前記RF成分の前記周波数は、2MHz、13.56MHz、27MHz、60MHz、又は80MHzのいずれかから選択され、前記CCPチャンバは、前記チャンバの前記基板サポート上に配されたときの基板から材料をエッチングするために使用される、方法。
  27. 請求項25に記載の方法であって、更に、
    前記パルシングパターンの正パルス中における前記RF成分の振幅を動的に調節するためのリップル制御を提供することを備える方法。
  28. 請求項27に記載の方法であって、
    前記振幅の前記動的な調節は、前記パルシングパターンの前記正パルスの、1つ以上の位相中に起きる、方法。
  29. 請求項25に記載の方法であって、
    前記パルシングパターンを定めるデジタルプログラムは、前記DC電圧入力の電圧振幅、前記DC電圧入力の極性、前記DC電圧入力の立ち上がり時間及び立ち下がり時間、前記DC電圧入力の幅及び遅延、又はこれらのうちの2つ以上の組み合わせ、のうちの1つ以上を設定する、方法。
  30. 請求項29に記載の方法であって、
    前記パルシングパターンは、(i)正パルスと負パルスとが交互するパターン、(ii)正パルス、オフ期間、及び負パルスのパターン、(iii)正パルス、オフ期間、及び負パルスで、正パルスと負パルスとで振幅が異なるパターン、(iv)正パルス、オフ期間、及び正パルスのパターン、(v)正パルス、調節可能なオフ期間、及び別の正パルスで、これらが繰り返されるパターン、(vi)可変幅の正パルス若しくは負パルスが繰り返されるパターン、(vii)既定のパルス幅及び既定のパルス振幅の正パルスの後にオフ期間が続き、次いで、同じ既定のパルス幅及び既定のパルス振幅の正パルスが繰り返されるパターン、(viii)既定のパルス幅及び既定のパルス振幅の負パルスの後にオフ期間が続き、次いで、同じ既定のパルス幅及び既定のパルス振幅の負パルスが繰り返されるパターン、又は(ix)既定の振幅及び幅を有する正パルスの後に、オフ期間若しくは既定の振幅及び幅を有する負パルスが続くパターン、又は(x)これらのうちの2つ以上を組み合わせたパターンの、いずれかから選択される、方法。
JP2017017209A 2016-02-29 2017-02-02 直流パルス式プラズマシステム Active JP6960226B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662301591P 2016-02-29 2016-02-29
US62/301,591 2016-02-29
US15/368,486 US9966231B2 (en) 2016-02-29 2016-12-02 Direct current pulsing plasma systems
US15/368,486 2016-12-02

Publications (3)

Publication Number Publication Date
JP2017199649A true JP2017199649A (ja) 2017-11-02
JP2017199649A5 JP2017199649A5 (ja) 2020-03-12
JP6960226B2 JP6960226B2 (ja) 2021-11-05

Family

ID=59678615

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017017209A Active JP6960226B2 (ja) 2016-02-29 2017-02-02 直流パルス式プラズマシステム

Country Status (5)

Country Link
US (1) US9966231B2 (ja)
JP (1) JP6960226B2 (ja)
KR (1) KR20170101779A (ja)
CN (1) CN107134402B (ja)
TW (1) TWI731934B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022542271A (ja) * 2019-07-30 2022-09-30 江蘇魯▲もん▼儀器有限公司 誘導結合プラズマ処理システム

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10264663B1 (en) * 2017-10-18 2019-04-16 Lam Research Corporation Matchless plasma source for semiconductor wafer fabrication
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
PL233868B1 (pl) * 2017-12-29 2019-12-31 Politechnika Lubelska Układ i sposób zasilania reaktora plazmowego ze ślizgającym się wyładowaniem
US10672590B2 (en) 2018-03-14 2020-06-02 Lam Research Corporation Frequency tuning for a matchless plasma source
US10840086B2 (en) 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US10515781B1 (en) * 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7038901B2 (ja) 2018-08-10 2022-03-18 イーグル ハーバー テクノロジーズ,インク. Rfプラズマリアクタ用プラズマシース制御
KR101938574B1 (ko) * 2018-08-24 2019-04-10 주식회사 알에프피티 플라즈마 챔버에 고정밀 및 고속의 전력을 공급하기 위한 디지탈 전력전달장치
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
JP7068140B2 (ja) * 2018-11-05 2022-05-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7481823B2 (ja) * 2018-11-05 2024-05-13 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
JP7382848B2 (ja) * 2020-02-20 2023-11-17 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220392749A1 (en) * 2021-06-02 2022-12-08 Tokyo Electron Limited Plasma Processing Apparatus with Tunable Electrical Characteristic
US11328902B1 (en) * 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN113252965B (zh) * 2021-07-08 2021-09-21 深圳市海创光学有限公司 探测电路、装置及方法
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942307B2 (en) * 2021-10-15 2024-03-26 Tokyo Electron Limited Plasma processing with radio frequency (RF) source and bias signal waveforms
DE202021105937U1 (de) * 2021-10-29 2022-02-04 TRUMPF Hüttinger GmbH + Co. KG Steuerschaltung für Treiber
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008085288A (ja) * 2006-08-31 2008-04-10 Toshiba Corp 基板のプラズマ処理装置及びプラズマ処理方法
JP2009071133A (ja) * 2007-09-14 2009-04-02 Toshiba Corp プラズマ処理装置およびプラズマ処理方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
US6034346A (en) * 1995-05-19 2000-03-07 Hitachi, Ltd. Method and apparatus for plasma processing apparatus
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US8755204B2 (en) * 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
WO2011068025A1 (en) * 2009-12-04 2011-06-09 Semiconductor Energy Laboratory Co., Ltd. Dc converter circuit and power supply circuit
CN102209425B (zh) * 2011-01-08 2012-07-18 大连理工大学 一种射频放电等离子体诊断装置
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9155182B2 (en) * 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
CN203368357U (zh) * 2013-07-15 2013-12-25 深圳市健网科技有限公司 一种大功率模块化等离子体发生器电源

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008085288A (ja) * 2006-08-31 2008-04-10 Toshiba Corp 基板のプラズマ処理装置及びプラズマ処理方法
JP2009071133A (ja) * 2007-09-14 2009-04-02 Toshiba Corp プラズマ処理装置およびプラズマ処理方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022542271A (ja) * 2019-07-30 2022-09-30 江蘇魯▲もん▼儀器有限公司 誘導結合プラズマ処理システム
JP7364288B2 (ja) 2019-07-30 2023-10-18 江蘇魯▲もん▼儀器股▲ふん▼有限公司 誘導結合プラズマ処理システム
US11837439B2 (en) 2019-07-30 2023-12-05 Jiangsu Leuven Instruments Co. Ltd Inductively coupled plasma treatment system

Also Published As

Publication number Publication date
US9966231B2 (en) 2018-05-08
KR20170101779A (ko) 2017-09-06
TW201739160A (zh) 2017-11-01
TWI731934B (zh) 2021-07-01
CN107134402B (zh) 2019-07-05
JP6960226B2 (ja) 2021-11-05
US20170250056A1 (en) 2017-08-31
CN107134402A (zh) 2017-09-05

Similar Documents

Publication Publication Date Title
JP6960226B2 (ja) 直流パルス式プラズマシステム
US11716805B2 (en) Matchless plasma source for semiconductor wafer fabrication
US10256077B2 (en) Sub-pulsing during a state
US10916409B2 (en) Active control of radial etch uniformity
CN110246744B (zh) 通过奇次谐波混合调整离子能量分布函数的系统和方法
JP2021534545A (ja) プラズマ処理のための制御のシステム及び方法
KR102615894B1 (ko) 킬로헤르츠 rf 생성기의 존재시 메가헤르츠 rf 생성기의 전달된 전력의 효율을 상승시키기 위한 시스템들 및 방법들
JP2023040191A (ja) 基板を処理するための周波数同調と整合同調とを重複させずに適用するためのシステムおよび方法
CN109599318B (zh) 多态等离子体晶片处理以增强离子的方向性
CN107294510B (zh) 针对多状态以逐步方式调谐阻抗匹配网络的系统和方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200130

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200130

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210512

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210914

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211011

R150 Certificate of patent or registration of utility model

Ref document number: 6960226

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150