TWI731934B - 電漿處理系統及使用電漿處理系統的基板處理方法 - Google Patents

電漿處理系統及使用電漿處理系統的基板處理方法 Download PDF

Info

Publication number
TWI731934B
TWI731934B TW106105512A TW106105512A TWI731934B TW I731934 B TWI731934 B TW I731934B TW 106105512 A TW106105512 A TW 106105512A TW 106105512 A TW106105512 A TW 106105512A TW I731934 B TWI731934 B TW I731934B
Authority
TW
Taiwan
Prior art keywords
pulse
positive
amplitude
negative
converter
Prior art date
Application number
TW106105512A
Other languages
English (en)
Other versions
TW201739160A (zh
Inventor
羅德里克 W 博斯韋爾
理查 亞倫 古思喬
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201739160A publication Critical patent/TW201739160A/zh
Application granted granted Critical
Publication of TWI731934B publication Critical patent/TWI731934B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J9/00Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/14Arrangements for reducing ripples from dc input or output
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/22Conversion of dc power input into dc power output with intermediate conversion into ac
    • H02M3/24Conversion of dc power input into dc power output with intermediate conversion into ac by static converters
    • H02M3/28Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac
    • H02M3/325Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal
    • H02M3/335Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only
    • H02M3/33507Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of the output voltage or current, e.g. flyback converters
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/0048Circuits or arrangements for reducing losses
    • H02M1/0054Transistor switching losses
    • H02M1/0058Transistor switching losses by employing soft switching techniques, i.e. commutation of transistors when applied voltage is zero or when current flow is zero
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02BCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO BUILDINGS, e.g. HOUSING, HOUSE APPLIANCES OR RELATED END-USER APPLICATIONS
    • Y02B70/00Technologies for an efficient end-user side electric power management and consumption
    • Y02B70/10Technologies improving the efficiency by using switched-mode power supplies [SMPS], i.e. efficient power electronics conversion e.g. power factor correction or reduction of losses in power supplies or efficient standby modes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Dc-Dc Converters (AREA)

Abstract

提供一種電漿處理系統,其包含一腔室,該腔室具有耦接至一基板支座 的一下電極及接地的一上電極。該電漿處理系統具有在該上電極與該下電極之間定義的一電漿處理體積。一直流(DC)/直流(DC)轉換器係加以提供以在輸入處接收一DC電壓輸入及在輸出處供應一放大的DC電壓訊號,該放大的DC電壓訊號包含一射頻(RF)分量。該DC電壓輸入遵循數位可編程的一脈衝模式。該DC/DC轉換器的輸出係連接至該腔室的該下電極。一控制器係與該DC/DC轉換器加以介接以設定該脈衝模式。在一示例中,該DC/DC轉換器使用雙極或非雙極DC電壓供應部的其中一者,而一RF產生器係藉由一DC電壓供應部加以驅動。該RF產生器係配置成產生定義該RF分量的頻率漣波。

Description

電漿處理系統及使用電漿處理系統的基板處理方法
本申請案主張於西元2016年2月29日申請之美國暫時專利申請案第62/301,591號的優先權,該暫時專利申請案的標題為“Direct Current Pulsing Plasma Systems”,其全部內容於此藉由參照納入本案揭示內容。
本實施例關於電漿處理系統,且更具體而言,關於使用直流(DC)脈衝輸送作為電源的電漿處理系統。
電漿長期以來已用於處理基板(例如半導體晶圓、平板等)以形成電子產品(例如積體電路、平板顯示器等)。半導體晶圓係通常放置在蝕刻腔室內,以光阻遮罩層選擇性地蝕刻底層材料。蝕刻製程移除未由光阻覆蓋的底層材料(金屬及/或介電質)。蝕刻製程及其他處理操作係針對每一半導體晶圓重複多次,直到形成最終產品電路或元件。
通常,電漿蝕刻工具係用以執行蝕刻製程。電漿蝕刻工具係由包含基板支座及在基板支座上之電漿容積的腔室加以界定。射頻(RF)功率係通常提供至腔室的一個以上電極。取決於蝕刻製程,電極配置可採取各種形式。通常,用以蝕刻介電質材料的電漿蝕刻工具係稱為電容式耦合電漿 (CCP)工具,而用以蝕刻導電材料的電漿蝕刻工具係稱為電感式耦合電漿(ICP)工具。無論工具類型為何,CCP工具及ICP工具兩者需要至少一個RF電源。RF電源係通常配置成產生RF訊號,該RF訊號係經由匹配電路及傳輸線傳播至電漿蝕刻工具的電極。
隨著對於較高深寬比蝕刻及較小尺寸的需求持續增加,製程工程師已發現將提供至電漿蝕刻工具之電極的RF功率脈衝輸送的某些優點。雖然RF電源的脈衝輸送已顯示對所得的製程有所改進,但脈衝輸送RF電源確實增加複雜性及成本。舉例而言,脈衝輸送RF功率需要脈衝輸送序列、時序、電壓位準、反射等的精確控制,且此種控制需要持續地加以監控。此外,經由匹配電路及傳輸線供應RF功率需要實施可觀的硬體,例如:大分立電容器和電感器,及包含RF返迴路徑的複雜傳輸線。
這是本發明實施例產生的背景。
提供用於將功率供應至電漿處理系統的方法、裝置、系統及電腦程式,其中正及負脈衝可在電壓振幅、極性、上升和衰減、寬度和延遲等中獨立地加以控制。在一實施方式中,脈衝DC功率系統實現一種功率轉換系統,該功率轉換系統採用DC電壓,將其轉換成射頻且藉由諧振電路將其放大至高電壓,接著經由二極體的網路將其整流返回至DC。此系統可快速地脈衝輸送且不具有目前用以加速離子之傳統射頻產生器的阻抗複雜性。應理解本實施例可以多種方式加以實現,諸如在電腦可讀媒體上的方法、設備、系統、裝置或電腦程式。幾個實施例係在下面加以描述。
在一實施例中,一種電漿處理系統係加以提供,該電漿處理系統包含一腔室,該腔室具有耦接至一基板支座的一下電極及接地的一上電極。該電漿處理系統具有在該上電極與該下電極之間定義的一電漿處理體積。一直流(DC)/直流(DC)轉換器係加以提供以在輸入處接收一DC電壓輸入及在輸出處供應一放大的DC電壓訊號,該放大的DC電壓訊號包含一射頻(RF)分量。該DC電壓輸入遵循數位可編程的一脈衝模式。該DC/DC轉換器的輸出係連接至該腔室的該下電極。一控制器係與該DC/DC轉換器加以介接以設定該脈衝模式。
在一些實施例中,該DC/DC轉換器包含一DC電壓供應部及由該DC電壓供應部驅動的一RF產生器。該RF產生器產生該RF分量的頻率。
在一些實施例中,該DC/DC轉換器包含一諧振電路及一整流器電路,該諧振電路係配置成對該DC電壓輸入進行倍增,該整流器電路接收該倍增的DC電壓輸入及該RF分量以產生包含該RF分量之該放大的DC電壓訊號。
在一些實施例中,一漣波控制部係與該控制器加以介接。該漣波控制部係配置成動態地調整該整流器電路以改變該頻率分量的振幅。
在一些實施例中,該整流器電路的動態調整在該脈衝模式的每一有效脈衝期間允許較高或較低振幅的設定。
在一些實施例中,該有效脈衝係該放大的DC電壓訊號之一正脈衝或該放大的DC電壓訊號之一負脈衝的其中一者。
在一些實施例中,該RF分量係供應至該放大的DC電壓訊號之一正脈衝或該放大的DC電壓訊號之一負脈衝,且其中,沒有RF分量係在該放大的DC電壓訊號的間歇脈衝期間加以供應。
在一些實施例中,該控制器係耦接至提供該脈衝模式的一功率控制部,其中,該脈衝模式係數位可編程以設定以下其中一者以上:該DC電壓輸入的電壓振幅、該DC電壓輸入的極性、該DC電壓輸入的上升和衰減時間、該DC電壓輸入的寬度和延遲、或其兩者以上之組合。
在一些實施例中,一製程配方係配置成設定用於該脈衝模式的一編程,且該編程係藉由該控制器藉由數位編程應用至該DC/DC轉換器。
在一些實施例中,該電壓供應部及RF產生器包含複數場效電晶體(FET),該等場效電晶體係藉由一閘極驅動器加以驅動且供應該DC電壓輸入。
在一些實施例中,該諧振電路係自定義一品質因數Q的電阻數值、電感數值及電容數值加以定義,該品質因數Q針對該放大的DC電壓訊號提供一放大倍數。
在另一實施例中,揭露一種使用由DC/DC轉換器驅動之電容式耦合電漿(CCP)腔室處理基板的方法。該方法包含設置該腔室,該腔室具有耦接至一基板支座的一下電極及接地的一上電極。一電漿處理體積係在該上電極與該下電極之間加以定義。該方法亦包含基於一脈衝模式提供一直流(DC)電壓輸入,其中該脈衝模式係由一數位程式加以定義。接著,使用該DC電壓輸入產生一射頻(RF)分量,及放大包含該RF分量的該DC電壓輸入。該方法接著整流該RF分量以產生包含該RF分量之一放大的DC電壓訊號。包含該RF分量之該放大的DC電壓訊號係供應至該腔室的該下電極。由該數位程式設定的該脈衝模式定義該放大的DC電壓訊號之頻率及該RF分量之頻率。該放大的DC電壓訊號之頻率定義正或負脈衝、及該等正或負脈衝的脈衝寬度及振幅。
在一些實施例中,該DC電壓輸入的頻率係400KHz以下,而該RF分量的頻率係選自2MHz、13.56MHz、27MHz、60MHz、80MHz、及更高的其中一者。該CCP腔室係當一基板係在該腔室的該基板支座上加以配置時用於自該基板蝕刻一材料。
在一些實施例中,該方法亦包含設置一漣波控制部,以在該脈衝模式的一正脈衝期間動態地調整該RF分量的振幅。
在一些實施例中,該振幅的動態調整發生在該脈衝模式之該正脈衝的一個以上相位期間。
在一些實施例中,定義該脈衝模式的該數位程式設定以下其中一者以上:該DC電壓輸入的電壓振幅、該DC電壓輸入的極性、該DC電壓輸入的上升和衰減時間、該DC電壓輸入的寬度和延遲、或其兩者以上之組合。
其他實施態樣將從以下詳細說明,特別是結合隨附圖式將更容易理解。
100:DC/DC轉換器
100’:雙極DC/DC轉換器
100A:DC/DC轉換器
100B:DC/DC轉換器
102:電壓供應部
104:RF產生器
106:諧振電路
108:整流器電路
110:控制器
112:功率控制部
114:漣波控制部
116:製程配方
120:電漿腔室
122:下電極
124:基板
126:上電極
128:傳輸線
130:脈衝模式
132:正脈衝
134:負脈衝
140:脈衝模式
142:脈衝模式
180:電壓輸入
182:RF產生部
184:電壓倍增器
186:二極體電橋
188:輸出
202:場效電晶體
204:場效電晶體
206:諧振電路
208:整流器電路
210:電壓供應部
212:閘極驅動器
214:RF連接器
216:RF連接器
220:控制參數
222:電壓振幅
224:極性控制
226:上升和衰減時間
228:寬度及延遲
230:脈衝模式設定
240:Q設定
242:漣波圖案設定
244:系統控制部
246:處理氣體控制部
248:設定控制部
400:脈衝模式
402:漣波
404:漣波
405:脈衝模式
406:正脈衝
408:負脈衝
410:脈衝模式
412:正脈衝
414:負脈衝
420:脈衝模式
422a:正脈衝
422b:正脈衝
422c:正脈衝
422d:正脈衝
424a:負脈衝
424b:負脈衝
424c:負脈衝
430:脈衝模式
432:正脈衝
440:脈衝模式
442:正脈衝
450:脈衝模式
452a:正脈衝
452b:正脈衝
452c:正脈衝
454:負脈衝
500:脈衝模式
502:正脈衝
504:負脈衝
506:放大圖
508:放大的漣波
522:正常的漣波
524:放大的漣波
526:正常的漣波
532:正常的漣波
534:放大的漣波
536:放大的漣波
600:系統
602:組合器電路
620:系統
622:組合器電路
624:組合器電路
710:脈衝模式
728:傳輸線
732:正脈衝
734:負脈衝
740:開關
該等實施例可藉由參照結合附圖的以下敘述最能理解。
圖1A根據一實施例說明用於將脈衝的RF功率提供至電漿腔室之DC/DC轉換器的示例。
圖1B根據一實施例說明由雙極DC/DC轉換器執行之功能操作的示例。
圖1C根據一實施例說明DC/DC轉換器的一示例配置,其可用以對負載(諸如電漿腔室)加以供電。
圖2根據一實施例說明提供至控制器以產生脈衝模式之製程配方的示例。
圖3根據一實施例說明製程配方係傳送至控制器且獨立的DC/DC轉換器係用以獨立地供應正及負脈衝的例子。
圖4A-4G根據一些實施例說明一些示例脈衝模式,不受限制地,圖4A-4G顯示使用DC/DC轉換器數位地提供脈衝之靈活性。
圖5A-5D根據製程配方說明針對藉由DC/DC轉換器產生的脈衝提供漣波控制的示例,以在特定的時間點對電漿提供額外的離子化。
圖6A-6B根據一些實施例說明使用多個DC/DC轉換器(例如轉換器陣列)的例子,以當傳遞功率時將額外或增加的功率提供至電漿處理系統。
圖7說明另一實施例,其中脈衝的功率可藉由開關提供至處理腔室的下電極、或上電極、或兩者。
以下實施例描述DC/DC功率轉換器的方法、裝置、系統及電腦程式,用於將脈衝的功率供應至電漿處理系統的電極。
在一實施例中,揭示一種方法,其中來自DC電源的高電壓係施加至電漿處理系統,其中正及負的脈衝係在電壓振幅、極性、上升和衰減時間、寬度和延遲上獨立地加以控制。如下所揭示,DC脈衝係與RF分量漣波一起提供。
在處理電漿中,在包含受蝕刻之特徵部的基板之上進行控制的離子轟擊以最終定義被處理的微電子電路係有用的。這是與正離子及負離子兩者有關,該等正離子係通常用以濺射蝕刻非常小的孔洞及將生長的膜緻密化, 該等負離子可進入該等被蝕刻的孔洞及中和正電荷積聚。由於電漿本身及包含於其中的正及負離子具有不同的時間尺度,所以針對在製程的持續時間及在製程本身之內兩者,控制用以加速離子之電壓的振幅係有用的。此外,依據該製程,獨立地控制施加電壓作為脈衝的時間及直到下一個脈衝的時間延遲係有用的。由於正及負離子的角色係不同的,在本文揭示的實施例中有以下極佳的優點:允許獨立地控制正及負脈衝的振幅、脈衝寬度及延遲。
取代使用重複形式的產生器(通常標記為射頻(RF)產生器),直流轉直流(DC/DC)轉換器係用以允許在次微秒範圍內之上升(及下降)時間的脈衝控制。在一實施例中,脈衝的DC/DC轉換器包含功率轉換系統,該功率轉換系統接收DC電壓,將其轉換成射頻(RF),及藉由諧振電路將其放大至高電壓。接著,藉由二極體的網路,將其整流返回成DC。此系統可快速地脈衝輸送且不具有目前用以加速離子之傳統RF產生器的阻抗複雜性(例如其需要複雜的匹配網路)。
在一實施例中,因為DC電壓的上升時間及與二極體網路相關聯的漣波係有關的,所以漣波控制功能係額外地加以提供。漣波控制功能可藉由電漿處理系統的控制器加以調整,以在DC脈衝的上升型式(即,正的開啟循環)期間增加或減少RF漣波的振幅。在一實施例中,RF漣波可藉由設定RF分量頻率之DC/DC轉換器的RF產生器加以設定。該頻率可為例如2MHz、13.56MHz、27MHz、60MHz、80MHz以上、或一些其他有用的頻率。在一實施例中,DC脈衝頻率係設成小於1MHz,且在特定的實施例中係設成為400KHz。DC脈衝的較低頻率提供將離子定向地朝被蝕刻之材料吸引所需的電壓,而RF頻率分量的高頻率用以在蝕刻腔室的處理容積中離子化電漿(例如產生電漿)。
如上所述,因為RF分量的RF漣波可藉由調整DC/DC轉換器之整流器電路的設定而在振幅上加以控制,所以對電漿增加額外的離子化係可能的,從而減少匹配網路的問題。如下所述,漣波控制對於在每個或選擇的正脈衝期間控制RF頻率分量提供顯著的改善。舉例而言,漣波控制可用以在正脈衝的開端放大漣波的振幅以增加電漿的離子化。漣波的形狀在一些實施例中可設計成在正脈衝的不同階段定義不同的振幅,其可基於期望的製程配方加以控制及設定。
顯然地,本實施例可不具有某些或全部這些具體細節而實施。另一方面,為了不要不必要地模糊本發明實施例,未詳細說明眾所周知的製程操作。
圖1A根據一實施例說明用於將脈衝的RF功率提供至電漿腔室120之DC/DC轉換器100的示例。如上所述,DC/DC轉換器100係藉由控制器110數位地加以控制,其允許系統在次微秒範圍內的脈衝上升及下降時間。此外,依據該製程,DC/DC轉換器100係能夠獨立地控制電壓施加作為脈衝的時間以及直到下一個脈衝的延遲時間。因此,所產生的脈衝模式可因而精確地加以客製化設計且允許快速地施加及傳遞至電漿腔室120的電極。
如本文所述,脈衝模式可以多種形式、形狀、及/或格式加以編程。舉例而言,脈衝模式可藉由隨後有負脈衝的正脈衝加以定義,且接著重複直到完成功率傳遞。在此示例中,脈衝模式可具有50%的工作週期。然而,因為脈衝模式可以數位形式迅速地加以控制,所以脈衝模式可具有任何配置,不需相互跟隨的正脈衝及負脈衝。如下所述,呈現一些實施例,其中脈衝模式係數位地加以編程以僅產生正脈衝,及在連續的正脈衝之間的間歇期間。
在其他實施例中,正脈衝可自負脈衝獨立地加以控制。舉例而言,編程可定義一脈衝模式,其具有正脈衝接著間歇期間,接下來是接著間歇期間的負脈衝,然後重複。可理解脈衝模式可基於製程配方動態地加以控制,且係不像傳統RF產生器的情況未固定於RF訊號的振盪。此外,脈衝模式可加以編程以產生具有特定電壓振幅、極性、上升及衰減時間、寬度及延遲等的脈衝。
返回至圖1A,其顯示DC/DC轉換器100係耦接至控制器110,該控制器110針對功率控制部112及漣波控制部114提供數位編程。在一實施例中,功率控制部112係配置成設定DC/DC轉換器100之電壓供應部102及其他電路的編程。例如:編程可控制整流器電路108以允許RF分量之正及負的整流。電壓供應部102係配置成提供電壓,該電壓係加以供應以驅動RF產生器104。在一實施例中,DC電壓供應部102係配置成產生DC電壓分量的脈衝模式。
如上所述,此DC電壓分量定義期望的脈衝模式,如由控制器110加以定義及由製程配方116加以設定。在一配置中,由電壓供應部102產生的脈衝模式係配置成小於1MHz。在其他特定的實施例中,由電壓供應部102產生的脈衝模式係配置成小於400kHz。在一實施例中,RF產生器104產生由控制器110預先定義的頻率。該頻率設定可為例如2MHz、13.56MHz、27MHz、60MHz、或80MHz以上。
由電阻元件、電感元件及電容元件定義的諧振電路106可加以調諧以產生期望的品質因數(Q),該品質因數用以乘上由電壓供應部102產生的電壓訊號。如圖所示,整流器電路108係進一步提供作為DC/DC轉換器100的一部分。整流器電路108係由複數二極體(例如二極體電橋及相關配線)加以配置,該整流器電路108係配置成整流由RF產生器104產生的RF訊號。由整流器電路 108輸出的結果係包含射頻(RF)分量之放大的DC電壓訊號。在一些實施例中,電壓供應部102可為雙極電壓供應部。
射頻分量係RF漣波,其係由DC/DC轉換器100之RF產生器104提供的RF頻率之整流版本。此訊號係經由導電傳輸線128耦接至電漿腔室120的下電極122。如圖所示,電漿腔室120包含接地的上電極126。此配置係通常用於蝕刻製程之電容式耦合電漿(CCP)腔室的簡化說明。在一最佳配置中,脈衝DC供應部的電流及電壓將匹配電漿的阻抗以允許最佳的功率傳輸。相同的程序將適用於DC脈衝上之RF漣波的最佳傳輸。在一實施例中,CCP腔室可用以蝕刻基板124及基板124的材料或層。舉例而言,可在CCP腔室中加以蝕刻的材料可包含介電材料。
如上所述,藉由對CCP腔室供電而產生的處理電漿對於在包含被處理之微電子電路的基板之上控制離子轟擊係有用的。這是與正離子及負離子兩者有關,該等正離子係用以濺射蝕刻非常小的孔洞及將生長的膜緻密化,該等負離子可進入該等被蝕刻的孔洞及中和正電荷積聚。電漿本身及包含於其中的正及負離子具有不同的時間尺度,所以針對在製程的持續時間及在製程本身之內兩者,控制用以加速離子之電壓(即放大的DC電壓訊號)的振幅係有用的。
可編程性進一步提供施加電壓作為脈衝的時間及直到下一個脈衝的時間延遲(無論正或負脈衝)之獨立控制。此外,由於正及負離子的角色係不同的,能夠獨立地控制正及負脈衝的振幅、脈衝寬度及延遲具有極佳的優點。
圖1B根據一實施例說明由雙極DC/DC轉換器100’執行之功能操作的示例。在另一實施例中,非雙極DC/DC轉換器100亦可用以執行功能。如圖所示,電壓輸入180係由功率控制部112加以提供,該功率控制部112係由控制器 110數位地加以控制。如上所述,用於在CCP電漿腔室120中執行特定蝕刻製程的設定、編程、脈衝模式及相關的參數可由製程配方116加以定義。電壓輸入180係與上述脈衝輸送之DC電壓相關聯的電壓。舉例而言,若製程配方116判定電壓輸入180應為20V,則在除了脈衝模式及該脈衝模式之各個脈衝的相關特性之外,該20V可由功率控制部112加以設定。
電壓輸入180係提供至RF產生部182,以驅動產生用於特定製程配方116之RF頻率的RF產生器104。如上所述,RF頻率可基於製程配方加以定義。舉例而言,RF頻率可為2MHz、13.56MHz、27MHz、60MHz、80MHz或更高。在一些實施例中,RF頻率可為在2MHz和80MHz之間的特定頻率,且不需被綁定為通常使用之特定數值的百萬赫茲頻率。在一些實施例中,RF頻率可自常用的頻率稍微變化以例如提供調諧。
該RF產生部182接著將RF訊號提供至電壓倍增器184,該電壓倍增器184係配置成將電壓輸入180的振幅乘以品質因數Q。在一實施例中且作為示例,若品質因數Q係設成100,則電壓輸入係乘以100。再次,此倍增數值係僅為一個示例,且將取決於製程配方116及被調諧以提供電壓倍增的電路。二極體電橋186係用以將在RF產生部182中由RF產生器104產生的RF分量加以整流。該二極體電橋可由複數二極體加以定義,該複數二極體係加以互連以針對RF訊號提供整流。
二極體電橋的具體配置可加以定制以提供不同位準的整流及控制,如已知的情況。因此,輸出188係包含RF分量之放大的DC電壓訊號,該RF分量定義一RF漣波,其係根據脈衝模式及呈現在由倍增電壓輸入定義的電壓振幅處。為了說明的目的,圖1B顯示可數位編程的脈衝模式,以定義DC電壓訊號 的形狀、脈衝、脈衝寬度、振幅、上升時間及全時間的控制、開啟及關閉時間等。放大的DC電壓訊號因而具有由功率控制部112定義及由製程配方116設定的特定脈衝模式。該定義RF漣波的RF分量係在由放大的DC電壓訊號定義之電壓位準下加以提供。
連接至電漿腔室120的下電極之DC/DC轉換器的輸出因此接收由雙極DC/DC轉換器100’或由非雙極DC/DC轉換器100產生的脈衝功率。如上所述,DC/DC轉換器的數位控制允許傳送至電漿腔室120之特定脈衝模式的精確控制。在一實施例中,電漿腔室係CCP腔室,其係配置成蝕刻材料,諸如半導體基板124的介電材料。如圖所示,半導體基板124可在耦合至下電極122的基板支座上加以配置。
圖1C說明DC/DC轉換器100的一示例配置,其可用以對負載(諸如電漿腔室120)加以供電。本文提供的電路係僅作為示例,且應理解不同的電路元件可用以定義DC/DC轉換器100的元件。考慮到上述情況,電壓供應部及RF產生器102及104可包含場效電晶體(FET)202及204。這些FET可藉由閘極驅動器212及電壓供應部210加以驅動。如圖所示,功率控制部112可用以控制電壓供應部210及閘極驅動器212。因此,電壓供應部/RF產生器的輸出係藉由RF連接器214連接至諧振電路206。
諧振電路206係由複數電路元件(諸如電感器L1、L2和L3及諸如電容器C1、C2和C3)加以定義。雖然未顯示,但諧振電路206亦可包含電阻元件,該等電阻元件可與電感器及電容器一起調節以定義期望之品質因數「Q」的設定。在一實施方式中,電感器L2及L3可由感應線圈加以定義。舉例而言,在諧振電路左側與諧振電路右側之間的RF功率可在感應線圈L2及L3之間加以耦合。在其 他實施例中,感應線圈係未加以使用且諧振電路僅使用RLC元件,以定義乘上DC電壓輸入的期望Q設定以產生放大的DC電壓訊號。諧振電路206係顯示藉由RF連接器216耦接至整流器電路208。在一實施例中,功率控制部112係亦配置成控制整流器電路208的操作參數,以例如定義RF分量之正或負的整流。整流器電路包含複數二極體及電容器C5。整流器電路208的此說明係僅為通常用作二極體電橋之整流裝置之許多類型的一個例子。整流器電路及二極體電橋係眾所周知的,且因此整流器電路208係僅以舉例的方式加以顯示。
在一實施例中,功率控制部112包含脈衝模式設定230。該脈衝模式設定230係配置成定義脈衝模式,該脈衝模式係數位地傳送至DC/DC轉換器100,且更具體而言,例如傳送至電壓供應部102及整流器電路208。各種控制參數220可針對脈衝模式加以編程且可藉由控制器110數位地加以設定。舉例而言,這些控制可包含電壓振幅222、極性控制224、上升和衰減時間226、寬度及延遲228、及其他參數。這些參數允許傳送至DC/DC轉換器100之所得脈衝模式的精確控制。如上所述,製程配方116係用以傳送期望的脈衝模式,用於數位編程DC/DC轉換器100,以在電漿腔室120中最佳地執行蝕刻製程。
如上所述,Q設定240亦可藉由系統控制器110加以設定,且係用以調整諧振電路206的RLC元件,以實現期望的品質因數Q。如上所述,品質因數Q可為100或期望乘以由電壓供應部102提供之電壓輸入的任何其他數值。漣波控制部114係進一步由系統控制器110可控制,以設定漣波圖案設定242。漣波圖案設定242係傳送至整流器電路208,以允許以脈衝DC電壓提供至電漿腔室120之RF分量漣波的調整。
如下面更詳細地定義,漣波圖案設定242提供額外的控制,用於在放大之DC電壓訊號的正脈衝期間針對漣波調整RF的振幅。例如,可能期望在正脈衝的初始部分期間增加RF分量中之RF漣波的振幅,以便在正脈衝期間增加電漿的離子化。舉例而言,若較高振幅的漣波係在脈衝模式的正DC脈衝之初始處加以提供,則吾人相信額外的電漿離子化可加以提供,以提高正離子的產生,該等正離子用以濺射蝕刻非常小的孔洞及特徵部且將生長的膜緻密化。在負脈衝期間,可能提供負離子,其可進入孔洞或特徵部以中和任何正電荷聚積。漣波圖案設定242的額外示例將在下面更詳細地描述。
雖然具體描述係關於DC/DC轉換器的數位控制加以提供,但控制器110亦可針對運行電漿腔室120的其他設施、系統等提供控制。舉例而言,控制器110可提供指令及控制以允許控制系統控制部244、處理氣體控制部246、設定控制部248、及其他功能或設定。
圖2根據一實施例說明提供至控制器110以產生脈衝模式之製程配方116的示例。在此示例中,控制器110數位編程雙極DC/DC轉換器100’,以產生具有50%工作週期的脈衝模式。舉例而言,脈衝模式130包含正脈衝132及負脈衝134。該脈衝模式遵循由製程配方116定義且由控制器110加以執行的DC電壓脈衝模式,以數位編程雙極DC/DC轉換器100’。如圖所示,正脈衝132及負脈衝134包含RF漣波,該RF漣波係與放大的DC電壓一起供應的RF分量。該脈衝模式130係接著藉由導電傳輸線128提供至電漿腔室120的下電極。如上所述,在一最佳配置中,脈衝DC供應部的電流及電壓將匹配電漿的阻抗以允許最佳的功率傳輸。相同的程序將適用於DC脈衝上之RF漣波的最佳傳輸。
圖3根據一實施例說明製程配方116係傳送至控制器且不同的DC/DC轉換器係用以獨立地供應正及負脈衝的例子。如圖所示,正脈衝DC/DC轉換器100A係用以產生正脈衝132及產生脈衝模式140。如圖所示,此提供在每一獨立的正脈衝132之間的間歇期間。同時,負脈衝DC/DC轉換器100B係用以在正脈衝132的間歇期間所在之間隔處提供負脈衝134。以此方式,當由控制器110控制時同步可加以發生,以同步地提供脈衝模式140及脈衝模式142,其中,兩個不同的DC/DC轉換器係用以產生可使用雙極DC/DC轉換器100’或非雙極DC/DC轉換器100產生的脈衝模式。
在一些實施例中,將正脈衝產生及負脈衝產生分割可簡化DC/DC轉換器操作,且控制器110可加以配置以控制何時由正或負DC/DC轉換器100A及100B產生脈衝。脈衝DC供應部的產出係由導電傳輸線128提供至電漿腔室120的下電極。
圖4A根據一實施例說明大約配置成提供50%工作週期之脈衝模式400的示例。在此示例中,連續的漣波402係在正脈衝期間加以提供,而連續的漣波404係在負脈衝期間加以提供。如上所述,脈衝模式的脈衝寬度、脈衝振幅、上升時間、下降時間及其他參數可根據製程配方116而藉由控制器110以數位形式加以控制。
圖4B根據一實施例說明脈衝模式405的示例,其中正脈衝406及負脈衝408可加以編程以在不同時間點發生。如圖所示,正脈衝及負脈衝可在時間段t1期間發生,而間歇期間可在時間段t2期間發生,該時間段t2將正脈衝406及負脈衝408分開。再次,連續的RF漣波(其係RF分量)係在由製程配方116定義的正脈衝406及負脈衝408期間加以提供。
圖4C根據一實施例說明隨正及負脈衝變化的脈衝模式410。如圖所示,正脈衝412將在時間段t3期間發生,而負脈衝414將在時間段t4期間發生。在此示例中,時間t3係小於時間t4,此提供比正脈衝持續時間長的負脈衝持續時間。再次,由製程配方116定義的編程可定義正及負脈衝的持續時間。因此,基於處理需求,時間t3比時間t4長係可能的。
圖4D根據一實施例說明脈衝模式420的另一示例。在此示例中,其顯示正脈衝422a-422d係隨時間推移以逐漸增加的振幅加以提供。負脈衝424a-424c係隨時間推移以相對應之逐漸增加的振幅加以提供。振幅隨時間推移逐漸增加且接著隨時間推移降低係可能的,以定義脈衝的循環遞增或增加或降低梯度。舉例而言,可能期望在特定配方步驟或階段期間增加正脈衝的振幅,且接著針對其他特定步驟或階段降低振幅。再次,由DC/DC轉換器提供的控制係所提供的電壓脈衝及RF分量漣波之精確及快速的數位控制。這提供物理上不可能藉由標準的振盪RF產生器達成的靈活性,標準的振盪RF產生器無法在正及負脈衝之間提供快速切換,也無法提供響應由控制器110提供之數位編程輸入的即時處理之精確振幅縮放。
圖4E根據一實施例說明脈衝模式430的另一示例。在此圖示中,顯示DC/DC轉換器可加以編程以簡單地在特定間隔提供正脈衝432。舉例而言,正脈衝的每一者係由可為可編程的間歇期間加以分開。正脈衝432的脈衝寬度亦可加以編程為較大或較小。圖4F說明提供脈衝模式440的一個示例,其允許在正脈衝442之間之間歇期間的客製化設定(例如延遲)。
圖4G說明脈衝模式450的示例,其中正脈衝452a-452c可在特定的時間點加以提供,且可搭配一個以上負脈衝454。此示例係提供以說明系統可數 位地編程發生之負脈衝或正脈衝,而不管在正及負脈衝之間是否需具有連續的交替。這些示例脈衝模式已加以提供以說明DC/DC轉換器的靈活性,及針對將功率傳遞至電漿腔室120之編程的脈衝之快速應用。
圖5A根據一實施例說明已基於漣波控制部114加以調整之脈衝模式500的示例。在此圖示中,正脈衝502係顯示成在脈衝的初始處包含較高的振幅漣波,當該脈衝持續有效時,振幅可加以減少。類似的控制可提供至負脈衝504。在一些實施例中,只有正脈衝502係藉由漣波控制部114加以控制,因為正脈衝係用以提供濺射蝕刻非常小的孔洞或特徵部及/或將生長的膜緻密化所需的正離子。正脈衝502的放大圖506係在圖5B中加以顯示。舉例而言,漣波控制部114可在正脈衝502的初始階段附近精確地提供放大的漣波508。在此示例中,正脈衝502之大約前25%包含RF分量之放大的漣波。可能在正脈衝期間提供額外的放大一段較長的時間,且亦可能將高達100%的正脈衝502加以放大,如製程配方116所期望。如上所述,在正脈衝部分中提供增加的振幅漣波可用以增加電漿中的離子化。吾人相信在正脈衝中增加漣波的振幅將增加可能接近上電極之電漿體積中的離子化。在其他配置中,在負脈衝期間提供漣波中增加的振幅可用以增加較靠近下電極(例如較靠近基板表面)的離子化。修改漣波位置之選擇提供又另一控制旋鈕(control knob),該控制旋鈕可由製程工程師用以定義精確控制的製程配方。
圖5C說明一個示例,其中漣波控制部114可加以編程以提供正常的漣波522,接著放大的漣波524,及接著返回至正常的漣波526。在此圖示中,顯示漣波控制部114可允許在正脈衝中漣波所在之處可加以提供的可編程性。圖5D顯示一個示例,其中正常的漣波532係在脈衝的初始處加以提供,且 朝脈衝的末端可提供不同位準之放大的漣波534及536。再次,製程配方116可定義漣波控制部可提供效益以增加電漿離子化的時間及地點。
圖6A根據一實施例說明系統600,其中多個雙極DC/DC轉換器100’可用以將額外的功率提供至電漿腔室120。舉例而言,依據電路的效率及場效電晶體(FET)的功率能力,雙極和非雙極DC/DC轉換器可提供不同位準的功率。在一些實施例中,FET可為高頻率的矽上氮化鎵(eGaN)。在一些實施例中,這些類型的FET係能夠高速切換。在一實施例中,該切換可達到10MHz以上。如上所述,脈衝上升(及下降)時間可在微秒及次微秒的範圍內加以控制。對於eGaN FET的額外訊息,可參照Efficient Power Conversion Corporation之Michael de Rooij博士、Johan Strydom博士於2014年所著之應用筆記AN015第1-7頁,標題為“Introducing a Family of eGaN FETs for Multi-Megahertz Hard Switching Applications”,其全部內容於此藉由參照及為了所有目的納入本案揭示內容。這些FET係僅為一個例子,且來自其他供應商、販賣者或供應者之不同強度、結構及/或設計建造的其他裝置可加以使用。
在本文描述的一些實施方式中,切換速度係較佳設成為1MHz以下,且更佳在400KHz以下。目前,這些類型的元件係能夠產生在約2千瓦(KW)附近的功率,例如2KV及1Amp。在一些實施方式中,供應CCP腔室(諸如電漿腔室120)所需之功率的量可為在8KW至約10KW附近。在一些實施例中,DC/DC轉換器可被設計成產生高得多的功率,例如高達50KW以上。依據目標處理腔室設計及配方需求,亦可能設計具有較高功率產生能力的DC/DC轉換器。例如,DC/DC轉換器可自組合元件的陣列加以定義,以產生較高總和的功率輸出。這些實施例可例如藉由組合器電路602允許待供應之多個DC/DC轉換器(每一者供應 2KW、4KW、10KW等)的組合。功率輸出可接著藉由導電傳輸線128供應至下電極或供應至下及上電極兩者,如下面參照圖7所述。組合器電路602可配置成同步藉由DC/DC轉換器之每一者提供的頻率,該等DC/DC轉換器係亦耦合至控制器110。
在一些實施例中,組合器電路602可用於調整在雙極DC/DC轉換器100’的輸出之間的相位,以提供具有放大之功率輸出之同步的脈衝模式。在此示例實施方式中,8KW的功率係藉由組合四個2KW的雙極DC/DC轉換器100’而加以產生,以將功率提供至電漿腔室120以在基板上形成的材料上執行CCP蝕刻操作。在一些實施例中,隨著在雙極DC/DC轉換器100’之電路中的功率產生增加及能力改善,可使用較少的雙極DC/DC轉換器100’。不受限制地,因為雙極DC/DC轉換器100’係可數位編程及控制的數位元件,一個獨立的雙極DC/DC轉換器100’可在印刷電路板(PCB)上加以設置,該印刷電路板的尺寸可在大約3英寸乘6英寸(例如一片或兩片以上)的範圍內。
PCB的尺寸可依據設計的形狀因素及電路的緻密度加以變化。舉例而言,PCB的尺寸可比上述示例尺寸小或大。給定小的形狀因素,將多個雙極DC/DC轉換器100’的多個PCB卡片組合仍比通常佔較大體積的傳統RF產生器提供顯著較小的尺寸。作為另一個優點,與雙極DC/DC轉換器100’相關的電路係比傳統的RF產生器顯著地更有效率。舉例而言,DC/DC轉換器比傳統的RF產生器產生較少的熱,其減少對複雜的冷卻基礎設施、較大覆蓋區(footprint)等的需求。DC/DC轉換器之可編程的本質亦提供顯著的簡化及靈活性以定義期望的脈衝配置,其當使用傳統的RF產生器時係不可能的。
圖6B說明系統620的另一示例,其中DC/DC轉換器100A係用以提供正脈衝,而DC/DC轉換器100B係用以提供負脈衝。此示例顯示用於正及負脈衝之每一者之多個DC/DC轉換器的組合,以增加供應正及負脈衝所需之產生的功率。組合器電路622及624係類似地用作組合器電路602。在一些實施例中,使用額外的功率供應正脈衝係可能的,例如:相對於用於負脈衝之DC/DC轉換器卡片的數目,使用較多DC/DC轉換器卡片。相反的情況亦是如此。再次,系統的配置將取決於製程配方116,該製程配方116控制控制器110如何與DC/DC轉換器連通。如上所述,控制器連通定義脈衝模式的功率控制部112,及亦連通漣波控制部114,該漣波控制部114可提供以在特定脈衝期間調整漣波的振幅,如上所述。
圖7說明另一實施例,其中脈衝的功率可提供至電漿腔室120的下電極、上電極、或兩者。在一些配置中,可能藉由開關740將所有脈衝的功率提供至下電極,而上電極係藉由開關740類似地接地。控制器110係配置成編程開關740,以控制哪個電極(即上及/或下電極)接收功率。在一些配置中,脈衝模式710的正脈衝732可如開關740及控制器110所設置經由傳輸線128提供至下電極,而上電極係接地的。在負脈衝734期間,脈衝的功率可經由傳輸線728轉向至上電極,而下電極係接地的。在又其他實施例中,開關740可能從每個脈衝(正及負)提供一功率量至上及下電極兩者。傳遞至上及下電極之功率的比例因而依需要動態地加以控制以改善製程效率。
因此,開關740係可編程的開關,該可編程的開關可經由傳輸線128及728輸出功率至上電極及/或下電極。在一實施例中,開關740可包含電性元件及/或機械元件。在一些裝置中,開關740可使內部連接件接地,以允許上或下 電極接地。根據脈衝(正或負)、循環、或製程步驟、及/或監測的蝕刻條件,開關740可因而使上或下電極接地。
廣義地說,脈衝DC產生包含功率轉換系統,該功率轉換系統接收DC電壓,將其轉換成射頻且藉由諧振電路將其放大至高電壓,接著經由二極體的網路將其整流返回至DC。此系統可快速地脈衝輸送且不具有目前用以加速離子之傳統射頻產生器的阻抗複雜性。
關於使用具有變化的電壓範圍之轉換器的實施方式之資訊,可參考Jingying Hu等人於2012年1月發表於IEEE Transactions On Power Electronics,Vol.27,No.1,pp.189-200的“High-Frequency Resonant SEPIC Converter With A Wide Input And Output Voltage Ranges”,其全部內容於此藉由參照及為了所有目的納入本案揭示內容。
在一些實施方式中,控制器為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定的處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板的處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器110」,其可控制該一個以上系統的各種元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制本文揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,控制器可定義為電子設備,具有各種積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一個以上微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一個以上處理步驟。
在一些實施方式中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。例如:控制器可為在「雲端」或晶圓廠主機電腦系統的整體或一部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監控製造操作的當前進度,檢查過往製造操作的歷史,檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數,以設定目前操作之後的處理步驟,或啟動新的製程。在一些例子中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些示例中,控制器接收數據形式的指令,該數據指定於一或多個操作期間將被執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上所述,控制器可為分散式的,諸如藉由包含一個以上分散的控制器,其由網路連在一起且朝共同的目的(諸如此處 描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一個以上積體電路,連通位於遠端(諸如在平台級或作為遠程電腦之一部分)的一個以上積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及可關聯或用於半導體晶圓的製造及/或生產中的任何其他半導體處理系統。
如上所述,依據將由工具執行的一個以上製程步驟,控制器可與下述通訊:一個以上其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
在考慮上述實施例後,應理解該等實施例可使用包含儲存於電腦系統中的資料之各種可利用電腦實現的操作。這些操作係那些需要物理量之物理操縱的操作。任何此處描述之形成該等實施例之部分的操作係有用的機械操作。該等實施例亦關於用於執行這些操作的裝置或設備。該設備可針對所需之目的而特別加以建構,諸如特殊用途電腦。當被界定成特殊用途電腦時,該電腦亦可執行非為特殊用途之部分的其他處理、程式執行或常用程式,但仍然能夠針對特殊用途而加以操作。或者,該等操作可藉由通用電腦加以處理,該通用電腦係藉由儲存在電腦記憶體、快取記憶體中或透過網路獲得的一個以上電腦程式選 擇性地加以啟動或配置。當資料係透過網路而獲得時,該資料可藉由在網路上的其他電腦(例如雲端計算資源)加以處理。
一個以上實施例亦可被製作成電腦可讀媒體上的電腦可讀碼。該電腦可讀媒體係任何可儲存資料的資料儲存裝置,該資料之後可藉由電腦系統加以讀取。電腦可讀媒體的示例包含硬碟、網路附接儲存器(NAS)、唯讀記憶體、隨機存取記憶體、CD-ROM、CD-R、CD-RW、磁帶及其他光學和非光學資料儲存裝置。該電腦可讀媒體可包含分散在網路耦合電腦系統的電腦可讀實體媒體,使得電腦可讀碼係以分散的方式加以儲存及執行。
雖然該等方法操作係以特定順序加以敘述,但應理解其他內務處理作業可在操作之間加以執行,或操作可加以調整使得該等操作發生在略微不同的時間點,或可分散於允許處理操作發生在與處理相關聯之各種間隔內的系統中,只要重疊操作的處理係以期望的方式加以執行。
雖然上述實施例為了清楚理解的目的已以一些細節加以描述,但顯然地,某些改變與修改可在隨附申請專利範圍的範疇內加以實施。因此,本發明實施例係被視為說明性而非限制性的,且該等實施例係非限制於此處提供的細節,而是可在隨附申請專利範圍的範疇及等同物之內加以修改。
100:DC/DC轉換器
102:電壓供應部
104:RF產生器
106:諧振電路
108:整流器電路
110:控制器
112:功率控制部
114:漣波控制部
116:製程配方
120:電漿腔室
122:下電極
124:基板
126:上電極
128:傳輸線

Claims (30)

  1. 一種電漿處理系統,包含:一腔室,具有耦接至一基板支座的一下電極及接地的一上電極,一電漿處理體積係在該上電極與該下電極之間加以定義;一直流(DC)/直流(DC)轉換器,配置成在輸入處接收一DC電壓輸入及在輸出處供應一放大的DC電壓訊號,該放大的DC電壓訊號包含一射頻(RF)分量,該DC電壓輸入遵循一脈衝模式,該脈衝模式係數位可編程,該DC/DC轉換器的輸出係連接至該腔室的該下電極;以及一控制器,與該DC/DC轉換器介接以設定該脈衝模式。
  2. 如申請專利範圍第1項之電漿處理系統,其中,該DC/DC轉換器包含:一DC電壓供應部,及一RF產生器,該RF產生器係藉由該DC電壓供應部加以驅動,該RF產生器產生該RF分量的頻率。
  3. 如申請專利範圍第2項之電漿處理系統,其中,該DC/DC轉換器包含:一諧振電路,其係配置成對該DC電壓輸入進行倍增;及一整流器電路,其接收該倍增的DC電壓輸入及該RF分量以產生包含該RF分量之該放大的DC電壓訊號。
  4. 如申請專利範圍第3項之電漿處理系統,更包含: 一漣波控制部,與該控制器加以介接,該漣波控制部係配置成動態地調整該整流器電路以改變該RF分量的振幅。
  5. 如申請專利範圍第4項之電漿處理系統,其中,該整流器電路的動態調整在該脈衝模式的每一有效脈衝期間允許較高或較低振幅的設定。
  6. 如申請專利範圍第5項之電漿處理系統,其中,該有效脈衝係該放大的DC電壓訊號之一正脈衝及該放大的DC電壓訊號之一負脈衝的其中一者。
  7. 如申請專利範圍第1項之電漿處理系統,其中,該RF分量係供應至該放大的DC電壓訊號之一正脈衝或該放大的DC電壓訊號之一負脈衝,且其中,沒有RF分量係在該放大的DC電壓訊號的間歇脈衝期間加以供應。
  8. 如申請專利範圍第1項之電漿處理系統,其中,該控制器係耦接至提供該脈衝模式的一功率控制部,其中,該脈衝模式係數位可編程以設定以下其中一者以上:該DC電壓輸入的電壓振幅、該DC電壓輸入的極性、該DC電壓輸入的上升和衰減時間、該DC電壓輸入的寬度和延遲、或其兩者以上之組合。
  9. 如申請專利範圍第1項之電漿處理系統,其中,一製程配方係配置成設定用於該脈衝模式的一編程,該編程係藉由該控制器藉由數位編程應用至該DC/DC轉換器。
  10. 如申請專利範圍第2項之電漿處理系統,其中,該DC電壓供應部及RF產生器包含複數場效電晶體(FET),該等場效電晶體係藉由一閘極驅動器加以驅動且供應該DC電壓輸入。
  11. 如申請專利範圍第3項之電漿處理系統,其中,該諧振電路係自定義一品質因數Q的電阻數值、電感數值及電容數值加以定義,該品質因數Q針對該放大的DC電壓訊號提供一放大倍數。
  12. 如申請專利範圍第3項之電漿處理系統,其中,該整流器電路定義一二極體電橋的至少一部分。
  13. 如申請專利範圍第12項之電漿處理系統,其中,該二極體電橋的電路係可調整的以響應一漣波控制訊號,該漣波控制訊號在該脈衝模式的一正脈衝期間用以調整該RF分量的振幅。
  14. 如申請專利範圍第9項之電漿處理系統,其中,用於該脈衝模式的該編程定義該DC電壓輸入的頻率及該RF分量的頻率。
  15. 如申請專利範圍第14項之電漿處理系統,其中,該DC電壓輸入的頻率係小於1MHz,而該RF分量的頻率係選自2MHz、13.56MHz、27MHz、60MHz、及80MHz的其中一者。
  16. 如申請專利範圍第14項之電漿處理系統,其中,該DC電壓輸入的頻率係400KHz以下,而該RF分量的頻率係選自2MHz、13.56MHz、27 MHz、60MHz、及80MHz的其中一者,其中該腔室係配置成在該下電極與該上電極之間產生電容式耦合電漿(CCP)源,該CCP源係當一基板係在該腔室的該基板支座上加以配置時用於自該基板蝕刻一材料。
  17. 如申請專利範圍第16項之電漿處理系統,其中,該DC/DC轉換器係連接至該下電極之複數DC/DC轉換器的其中一者,其中該複數DC/DC轉換器的每一者係配置成產生一功率量,且該複數DC/DC轉換器係加以組合以自該複數DC/DC轉換器產生該功率量的總和。
  18. 如申請專利範圍第16項之電漿處理系統,其中,該脈衝模式係選自下列其中一者:(i)一交替的正及負脈衝;(ii)一正脈衝、一間歇期間、及一負脈衝;(iii)一正脈衝、一間歇期間、及一負脈衝,其中該正脈衝及該負脈衝的振幅變化;(iv)一正脈衝、一間歇期間、及一正脈衝;(v)一正脈衝、一可調整的間歇期間、及另一正脈衝,且接著重複;(vi)重複之一變化寬度的正或負脈衝;(vii)具有一預定脈衝振幅的一預定正脈衝寬度,接著一間歇期間,且接著重複具有該預定脈衝振幅的該預定正脈衝寬度;(viii)具有一預定脈衝振幅的一預定負脈衝寬度,接著一間歇期間,且接著重複具有該預定脈衝振幅的該預定負脈衝寬度;及(ix)具有一預定振幅及寬度的一正脈衝,接著一間歇期間或具有一預定振幅及寬度的一負脈衝,或(x)其兩個以上的組合。
  19. 一種電漿處理系統,包含: 一腔室,具有耦接至一基板支座的一下電極及接地的一上電極,一電漿處理體積係在該上電極與該下電極之間加以定義;一第一直流(DC)/直流(DC)轉換器,配置成在輸入處接收一正DC電壓輸入及在輸出處供應一正、放大的DC電壓訊號,該正、放大的DC電壓訊號包含一射頻(RF)分量,該正DC電壓輸入遵循數位可編程的一脈衝模式,該第一DC/DC轉換器的輸出係連接至該腔室的該下電極;一第二直流(DC)/直流(DC)轉換器,配置成在輸入處接收一負DC電壓輸入及在輸出處供應一負、放大的DC電壓訊號,該負、放大的DC電壓訊號包含一射頻(RF)分量,該負DC電壓輸入遵循數位可編程的一脈衝模式,該第二DC/DC轉換器的輸出係連接至該腔室的該下電極;一控制器,與該第一及第二DC/DC轉換器介接以設定脈衝模式,其中,脈衝模式的正脈衝係由該第一DC/DC轉換器加以提供,而脈衝模式的負脈衝係由該第二DC/DC轉換器加以提供。
  20. 如申請專利範圍第19項之電漿處理系統,其中,該第一及第二DC/DC轉換器的每一者包含:一DC電壓供應部,及一RF產生器,該RF產生器係藉由該DC電壓供應部加以驅動,該RF產生器產生該RF分量的頻率;一諧振電路,其係配置成對該正或負DC電壓輸入進行倍增;及一整流器電路,其接收該倍增的正或負DC電壓輸入及該RF分量以產生包含該RF分量之該放大的正或負DC電壓訊號。
  21. 如申請專利範圍第20項之電漿處理系統,更包含:一漣波控制部,與該控制器加以介接,該漣波控制部係配置成動態地調整該第一DC/DC轉換器的該整流器電路以改變該RF分量的振幅。
  22. 如申請專利範圍第21項之電漿處理系統,其中,該整流器電路的動態調整在脈衝模式的每一有效脈衝期間允許較高或較低振幅的設定。
  23. 如申請專利範圍第19項之電漿處理系統,其中,該正及負DC電壓輸入的頻率係400KHz以下,而該RF分量的頻率係選自2MHz、13.56MHz、27MHz、60MHz、及80MHz的其中一者,其中該腔室係配置成在該下電極與該上電極之間產生電容式耦合電漿(CCP)源,該CCP源係當一基板係在該腔室的該基板支座上加以配置時用於自該基板蝕刻一材料。
  24. 如申請專利範圍第19項之電漿處理系統,其中,脈衝模式係選自下列其中一者:(i)一交替的正及負脈衝;(ii)一正脈衝、一間歇期間、及一負脈衝;(iii)一正脈衝、一間歇期間、及一負脈衝,其中該正脈衝及該負脈衝的振幅變化;(iv)一正脈衝、一間歇期間、及一正脈衝;(v)一正脈衝、一可調整的間歇期間、及另一正脈衝,且接著重複;(vi)重複之一變化寬度的正或負脈衝;(vii)具有一預定脈衝振幅的一預定正脈衝寬度,接著一間歇期間,且接著重複具有該預定脈衝振幅的該預定正脈衝寬度;(viii)具有一預定脈衝振幅的一預定負脈衝寬度, 接著一間歇期間,且接著重複具有該預定脈衝振幅的該預定負脈衝寬度;或(ix)具有一預定振幅及寬度的一正脈衝,接著一間歇期間或具有一預定振幅及寬度的一負脈衝,及(x)其兩個以上的組合。
  25. 一種使用由DC/DC轉換器驅動之電容式耦合電漿(CCP)腔室處理基板的方法,包含:設置該腔室,該腔室具有耦接至一基板支座的一下電極及接地的一上電極,一電漿處理體積係在該上電極與該下電極之間加以定義;基於一脈衝模式提供一直流(DC)電壓輸入,該脈衝模式係由一數位程式加以定義;使用該DC電壓輸入產生一射頻(RF)分量;放大包含該RF分量的該DC電壓輸入;及整流該RF分量以產生包含該RF分量之一放大的DC電壓訊號,包含該RF分量之該放大的DC電壓訊號係供應至該腔室的該下電極;其中,由該數位程式定義的該脈衝模式定義該放大的DC電壓訊號之頻率及該RF分量之頻率,該放大的DC電壓訊號之頻率定義正或負脈衝、及該等正或負脈衝的脈衝寬度及振幅。
  26. 如申請專利範圍第25項之使用由DC/DC轉換器驅動之電容式耦合電漿(CCP)腔室處理基板的方法,其中,該DC電壓輸入的頻率係400KHz以下,而該RF分量的頻率係選自2MHz、13.56MHz、27MHz、60 MHz、及80MHz的其中一者,該CCP腔室係當一基板係在該腔室的該基板支座上加以配置時用於自該基板蝕刻一材料。
  27. 如申請專利範圍第25項之使用由DC/DC轉換器驅動之電容式耦合電漿(CCP)腔室處理基板的方法,更包含:設置一漣波控制部,以在該脈衝模式的一正脈衝期間動態地調整該RF分量的振幅。
  28. 如申請專利範圍第27項之使用由DC/DC轉換器驅動之電容式耦合電漿(CCP)腔室處理基板的方法,其中,該振幅的動態調整發生在該脈衝模式之該正脈衝的一個以上相位期間。
  29. 如申請專利範圍第25項之使用由DC/DC轉換器驅動之電容式耦合電漿(CCP)腔室處理基板的方法,其中,定義該脈衝模式的該數位程式設定該DC電壓輸入的電壓振幅、該DC電壓輸入的極性、該DC電壓輸入的上升和衰減時間、該DC電壓輸入的寬度和延遲、或其兩者以上之組合的其中一者以上。
  30. 如申請專利範圍第29項之使用由DC/DC轉換器驅動之電容式耦合電漿(CCP)腔室處理基板的方法,其中,該脈衝模式係選自下列其中一者:(i)一交替的正及負脈衝;(ii)一正脈衝、一間歇期間、及一負脈衝;(iii)一正脈衝、一間歇期間、及一負脈衝,其中該正脈衝及該負脈衝的振幅變化;(iv)一正脈衝、一間歇期間、及一正脈衝;(v)一正脈衝、一可調整的間歇期間、及另一正脈衝,且接著重複;(vi)重複之 一變化寬度的正或負脈衝;(vii)具有一預定脈衝振幅的一預定正脈衝寬度,接著一間歇期間,且接著重複具有該預定脈衝振幅的該預定正脈衝寬度;(viii)具有一預定脈衝振幅的一預定負脈衝寬度,接著一間歇期間,且接著重複具有該預定脈衝振幅的該預定負脈衝寬度;或(ix)具有一預定振幅及寬度的一正脈衝,接著一間歇期間或具有一預定振幅及寬度的一負脈衝,及(x)其兩個以上的組合。
TW106105512A 2016-02-29 2017-02-20 電漿處理系統及使用電漿處理系統的基板處理方法 TWI731934B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662301591P 2016-02-29 2016-02-29
US62/301,591 2016-02-29
US15/368,486 US9966231B2 (en) 2016-02-29 2016-12-02 Direct current pulsing plasma systems
US15/368,486 2016-12-02

Publications (2)

Publication Number Publication Date
TW201739160A TW201739160A (zh) 2017-11-01
TWI731934B true TWI731934B (zh) 2021-07-01

Family

ID=59678615

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106105512A TWI731934B (zh) 2016-02-29 2017-02-20 電漿處理系統及使用電漿處理系統的基板處理方法

Country Status (5)

Country Link
US (1) US9966231B2 (zh)
JP (1) JP6960226B2 (zh)
KR (1) KR20170101779A (zh)
CN (1) CN107134402B (zh)
TW (1) TWI731934B (zh)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
KR102295988B1 (ko) 2014-10-17 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
KR102689380B1 (ko) 2016-01-26 2024-07-26 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
CN116110846A (zh) 2016-01-26 2023-05-12 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10264663B1 (en) * 2017-10-18 2019-04-16 Lam Research Corporation Matchless plasma source for semiconductor wafer fabrication
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
PL233868B1 (pl) * 2017-12-29 2019-12-31 Politechnika Lubelska Układ i sposób zasilania reaktora plazmowego ze ślizgającym się wyładowaniem
US10672590B2 (en) * 2018-03-14 2020-06-02 Lam Research Corporation Frequency tuning for a matchless plasma source
US10840086B2 (en) 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US10515781B1 (en) * 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10896808B2 (en) * 2018-07-25 2021-01-19 Lam Research Corporation Maintenance mode power supply system
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
CN112805920A (zh) 2018-08-10 2021-05-14 鹰港科技有限公司 用于rf等离子体反应器的等离子体鞘控制
KR101938574B1 (ko) * 2018-08-24 2019-04-10 주식회사 알에프피티 플라즈마 챔버에 고정밀 및 고속의 전력을 공급하기 위한 디지탈 전력전달장치
WO2020050932A1 (en) 2018-09-04 2020-03-12 Applied Materials, Inc. Formulations for advanced polishing pads
JP7481823B2 (ja) 2018-11-05 2024-05-13 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
JP7068140B2 (ja) * 2018-11-05 2022-05-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
CN110416053B (zh) 2019-07-30 2021-03-16 江苏鲁汶仪器有限公司 一种电感耦合等离子体处理系统
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
CN114930488A (zh) 2019-12-24 2022-08-19 鹰港科技有限公司 用于等离子体系统的纳秒脉冲发生器rf隔离
JP7382848B2 (ja) * 2020-02-20 2023-11-17 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US20220392749A1 (en) * 2021-06-02 2022-12-08 Tokyo Electron Limited Plasma Processing Apparatus with Tunable Electrical Characteristic
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11328902B1 (en) * 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN113252965B (zh) * 2021-07-08 2021-09-21 深圳市海创光学有限公司 探测电路、装置及方法
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942307B2 (en) * 2021-10-15 2024-03-26 Tokyo Electron Limited Plasma processing with radio frequency (RF) source and bias signal waveforms
DE202021105937U1 (de) * 2021-10-29 2022-02-04 TRUMPF Hüttinger GmbH + Co. KG Steuerschaltung für Treiber
CN114034944B (zh) * 2021-11-15 2024-07-19 南方电网科学研究院有限责任公司 一种电荷测试装置及方法
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW339497B (en) * 1995-05-19 1998-09-01 Hitachi Ltd Method of processing plasma and processor of plasma
TW200506388A (en) * 2003-08-14 2005-02-16 Advanced Energy Ind Inc Sensor array for measuring plasma characteristics in plasma processing environments
US20110133706A1 (en) * 2009-12-04 2011-06-09 Semiconductor Energy Laboratory Co., Ltd. Dc converter circuit and power supply circuit
CN102209425A (zh) * 2011-01-08 2011-10-05 大连理工大学 一种射频放电等离子体诊断装置
CN203368357U (zh) * 2013-07-15 2013-12-25 深圳市健网科技有限公司 一种大功率模块化等离子体发生器电源
US8755204B2 (en) * 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JP4714166B2 (ja) * 2006-08-31 2011-06-29 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP4607930B2 (ja) * 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9155182B2 (en) * 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW339497B (en) * 1995-05-19 1998-09-01 Hitachi Ltd Method of processing plasma and processor of plasma
TW200506388A (en) * 2003-08-14 2005-02-16 Advanced Energy Ind Inc Sensor array for measuring plasma characteristics in plasma processing environments
US8755204B2 (en) * 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US20110133706A1 (en) * 2009-12-04 2011-06-09 Semiconductor Energy Laboratory Co., Ltd. Dc converter circuit and power supply circuit
CN102209425A (zh) * 2011-01-08 2011-10-05 大连理工大学 一种射频放电等离子体诊断装置
CN203368357U (zh) * 2013-07-15 2013-12-25 深圳市健网科技有限公司 一种大功率模块化等离子体发生器电源

Also Published As

Publication number Publication date
CN107134402A (zh) 2017-09-05
JP2017199649A (ja) 2017-11-02
US9966231B2 (en) 2018-05-08
US20170250056A1 (en) 2017-08-31
TW201739160A (zh) 2017-11-01
CN107134402B (zh) 2019-07-05
JP6960226B2 (ja) 2021-11-05
KR20170101779A (ko) 2017-09-06

Similar Documents

Publication Publication Date Title
TWI731934B (zh) 電漿處理系統及使用電漿處理系統的基板處理方法
US10638593B2 (en) Matchless plasma source for semiconductor wafer fabrication
TWI733844B (zh) 射頻(rf)產生器
US9595423B2 (en) Frequency tuning for dual level radio frequency (RF) pulsing
TWI716428B (zh) 用於反向脈衝的系統及方法
JP2021534545A (ja) プラズマ処理のための制御のシステム及び方法
KR20210008921A (ko) 기판 프로세싱 시스템을 위한 직접 구동 rf 회로
TW201722213A (zh) 具有約鋸齒波脈衝的rf功率傳輸
KR102615894B1 (ko) 킬로헤르츠 rf 생성기의 존재시 메가헤르츠 rf 생성기의 전달된 전력의 효율을 상승시키기 위한 시스템들 및 방법들
CN109599318B (zh) 多态等离子体晶片处理以增强离子的方向性
JP2023040191A (ja) 基板を処理するための周波数同調と整合同調とを重複させずに適用するためのシステムおよび方法
WO2023286715A1 (ja) プラズマ処理方法およびプラズマ処理装置
TW202034371A (zh) 使朝向電漿腔室之電極的功率輸送最佳化之系統及方法