JP2017120847A - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP2017120847A
JP2017120847A JP2015257260A JP2015257260A JP2017120847A JP 2017120847 A JP2017120847 A JP 2017120847A JP 2015257260 A JP2015257260 A JP 2015257260A JP 2015257260 A JP2015257260 A JP 2015257260A JP 2017120847 A JP2017120847 A JP 2017120847A
Authority
JP
Japan
Prior art keywords
plasma processing
processing apparatus
frequency power
plasma
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015257260A
Other languages
English (en)
Other versions
JP6595335B2 (ja
Inventor
哲雄 川那辺
Tetsuo Kawanabe
哲雄 川那辺
匠 丹藤
Takumi Tando
匠 丹藤
勉 手束
Tsutomu Tetsuka
勉 手束
尚輝 安井
Hisateru Yasui
尚輝 安井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2015257260A priority Critical patent/JP6595335B2/ja
Priority to US15/273,812 priority patent/US10217613B2/en
Publication of JP2017120847A publication Critical patent/JP2017120847A/ja
Application granted granted Critical
Publication of JP6595335B2 publication Critical patent/JP6595335B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32348Dielectric barrier discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】半導体ウエハの面内方向におけるプラズマ処理の均一性を向上させてプラズマ処理の歩留まりを向上させる。
【解決手段】真空容器25内に第1のガスを供給する第1のガス供給部15と、ウエハ17が載置されるステージ19と、第1のプラズマ11を生成するための電磁波を供給する電磁波供給部14と、ステージ19の外周部に設けられたサセプタ4と、サセプタ4に接続された第2の高周波電源7と、サセプタ4の内部に第2のガスを供給する第2のガス供給部6と、を有するプラズマ処理装置である。サセプタ4の内部には、第2の高周波電源7に接続する高周波電極3と、高周波電極3と対向して配置された第1の接地電極2aとが設けられ、第2の高周波電源7から高周波電力が供給され、かつ第2のガス供給部6から上記第2のガスが供給されてサセプタ4の内部で第2のプラズマを生成する。
【選択図】図1

Description

本発明は、エッチング、アッシング、CVD(Chemical Vapor Deposition)等、真空容器内の処理室に形成したプラズマを用いて上記処理室内に配置された半導体ウエハ等の基板状の試料を処理するプラズマ処理装置に関する。
半導体デバイスの量産におけるプラズマエッチング工程の歩留まりを改善するためには、半導体ウエハ(以降、単にウエハともいう)の面内で均一な加工をすることが必要である。特に、トランジスタを形成するエッチング工程で要求される微細寸法(CD:Critical Dimension)の面内の加工均一性は年々厳しくなっている。
エッチング時にウエハ上でのラジカル密度の分布が不均一である場合、エッチングパターンに対してラジカルが不均一に入射し、結果としてCD均一性を悪化させる要因となる。したがって、CD均一性を確保するためには、ウエハ上のラジカル密度が均一となるプラズマエッチング装置が重要である。
一般的なプラズマエッチング装置では、プラズマで生成されたイオンやラジカルが装置内部で拡散しながらウエハ上に供給される。しかし、ラジカルは、ウエハに輸送される過程で気相反応やエッチング装置のチャンバー内壁において失活するために、ウエハに入射するラジカルフラックスの分布は不均一になりやすい。
このラジカルフラックスの分布を均一化する方法としては、エッチング装置の内部に、ラジカルを追加供給する手段を設置することが有効であると考えられる。例えば特開平9−162169号公報(特許文献1)には、比較的高圧環境に設定された第1のプラズマ発生室が比較的低圧環境に設定された第2のプラズマ発生室の外周部に設置された構成が示されている。
また、特開2013−84653号公報(特許文献2)には、ウエハ外周部に高周波電力を投入するための第二の高周波電源および第二のガスを供給するためのガス導入機構を有し、ウエハの外周周辺にプラズマを発生させる構成が示されている。
特開平9−162169号公報 特開2013−84653号公報
一般的なプラズマエッチング装置を用いて面内均一なCDを得ようとしても、実際にはウエハの外周近傍(例えばウエハの外周端から30mm程度の領域)でCDが変化してしまう例が多く見られる。これは、ウエハに入射するラジカルがウエハの外周近傍において低下する凸分布となっているためと想定される。つまり、ウエハの外周近傍におけるラジカル密度を効率よく制御する手段が必要である。
本課題に対して特許文献1および2を適用した場合を考える。まず、特許文献1では、ラジカル源がウエハ外周部から離れた位置に配置された構成が示されている。ウエハ外周部に位置する第1のプラズマ発生室で生成したラジカルは、ウエハが載置される第2のプラズマ発生室に供給される。
供給されたラジカルは第2のプラズマ発生室の内部で拡散するため、ウエハ外周の近傍に局所的にラジカルを供給することが困難となる。また、生成したラジカルは、ウエハに到達する過程で構造物や排気の影響を受けて減少するため、ウエハにラジカルを効率良く安定して供給することが困難である。つまり、ラジカルをウエハ外周部に効率よく供給するためには、ウエハ外周に極力近い構成部品からラジカルを供給することが有効であるが、上記特許文献1ではこの観点での考慮はされていない。
また、上記特許文献2の構成では、ウエハ外周のサセプタ近傍にプラズマを発生させることにより、ウエハ外周にラジカルを効率的に供給できる可能性がある。しかし、プラズマ生成によりウエハ外周近傍においてラジカル密度が増加するとともにイオン密度も増加することになる。
これにより、ラジカル密度をウエハ上で均一にできても、イオン密度がウエハ外周部で増大することで、ウエハ外周部で被処理膜の下地膜が多く削られることやエッチングマスクのパターンの肩落ち(変形)が生じる等の影響が危惧され、ウエハ面内で均一な加工形状を得ることが困難となる。
本発明の目的は、半導体ウエハの面内方向におけるプラズマ処理の均一性を向上させてプラズマ処理の歩留まりを向上させることができる技術を提供することにある。
本発明の上記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、以下のとおりである。
本発明に係るプラズマ処理装置は、半導体ウエハにプラズマ処理が行われる真空容器と、上記真空容器に接続され、上記真空容器内に第1のプラズマを生成する第1のガスを供給する第1のガス供給部と、上記半導体ウエハが載置されるステージと、上記第1のプラズマを生成する電磁波を供給する電磁波供給部と、上記ステージに接続され、上記半導体ウエハに入射するイオンのエネルギーを調整するための第1の高周波電源と、を有する。さらに、上記ステージの外周部に設けられ、開口部が形成されたウエハ保持体と、上記ウエハ保持体に接続された第2の高周波電源と、上記ウエハ保持体の内部に第2のガスを供給する第2のガス供給部と、上記真空容器内を排気して減圧する真空排気部と、を有する。さらに、上記ウエハ保持体の内部には、誘電体によって覆われ、上記第2の高周波電源に接続された高周波電極と、誘電体によって覆われ、上記高周波電極と対向して配置された第1の接地電極と、が設けられ、上記第2の高周波電源から高周波電力が供給され、かつ上記第2のガス供給部から上記第2のガスが供給されて上記ウエハ保持体の内部で第2のプラズマを生成するものである。
本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば、以下のとおりである。
ウエハの外周部に供給されるラジカルの量を増やして、ウエハの面内方向におけるラジカルの量の分布の均一性を向上させ、その結果、ウエハのプラズマ処理の歩留まりを向上させることができる。
本発明の実施の形態のプラズマ処理装置の構造の一例を示す概念図である。 図1に示すプラズマ処理装置におけるサセプタの内部の構造を拡大して示す部分拡大断面図である。 図1に示すプラズマ処理装置において第2のガスの流量がウエハ上のラジカルフラックスに与える影響の一例を示すデータ図である。 本発明の実施の形態の第1変形例のプラズマ処理装置におけるサセプタの内部の構造を示す部分拡大断面図である。 本発明の実施の形態の第2変形例のプラズマ処理装置におけるサセプタの内部の構造を示す部分拡大断面図である。
以下の実施の形態では特に必要なとき以外は同一または同様な部分の説明を原則として繰り返さない。
さらに、以下の実施の形態では便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明などの関係にある。
また、以下の実施の形態において、要素の数など(個数、数値、量、範囲などを含む)に言及する場合、特に明示した場合および原理的に明らかに特定の数に限定される場合などを除き、その特定の数に限定されるものではなく、特定の数以上でも以下でも良いものとする。
また、以下の実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。
また、以下の実施の形態において、構成要素等について、「Aからなる」、「Aよりなる」、「Aを有する」、「Aを含む」と言うときは、特にその要素のみである旨明示した場合等を除き、それ以外の要素を排除するものでないことは言うまでもない。同様に、以下の実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲等についても同様である。
以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の機能を有する部材には同一の符号を付し、その繰り返しの説明は省略する。また、図面をわかりやすくするために平面図であってもハッチングを付す場合がある。
図1は本発明の実施の形態のプラズマ処理装置の構造の一例を示す概念図、図2は図1に示すプラズマ処理装置におけるサセプタの内部の構造を拡大して示す部分拡大断面図、図3は図1に示すプラズマ処理装置において第2のガスの流量がウエハ上のラジカルフラックスに与える影響の一例を示すデータ図である。
本実施の形態では、プラズマ処理装置の一例として、放電方式として電子サイクロトロン共鳴(ECR:Electron Cyclotron Resonance) を用いたプラズマ処理装置を取り上げて説明する。ECRとは電子が磁力線を回る回転周波数とマイクロ波周波数との共鳴を利用してプラズマを形成する方式である。
図1に示すプラズマ処理装置の構成について説明すると、減圧可能であり、かつ内部の真空処理室18で半導体ウエハ17にプラズマ処理が行われる真空容器25と、真空容器25に接続され、かつ真空容器25内に第1のプラズマ11を生成するための第1のガスを供給する第1のガス供給部15と、半導体ウエハ17が載置されるステージ19と、を有している。さらに、プラズマ処理装置は、第1のプラズマ11を生成するための電磁波を供給する電磁波供給部14と、ステージ19に接続され、ウエハ17に入射するイオンエネルギーを調整するための高周波電力を供給する第1の高周波電源10と、ステージ19の外周部に設けられ、かつ上方に向けて開口部4aが形成されたウエハ保持体であるサセプタ4と、サセプタ4に接続された第2の高周波電源7と、サセプタ4の内部に第2のガスを供給する第2のガス供給部6と、を有している。また、プラズマ処理装置には、真空容器25の真空処理室18内を排気して減圧する真空排気部9が設けられている。
なお、サセプタ4は、サセプタリングとも呼ばれ、その内部には、誘電体によって覆われ、かつ第2の高周波電源7に接続された高周波電極3と、誘電体によって覆われ、かつ高周波電極3と対向して配置された第1の接地電極2aと、が設けられている。
そして、プラズマ処理装置では、第2の高周波電源7から高周波電力が供給され、かつ第2のガス供給部6から第2のガスが供給されてサセプタ4の内部で第2のプラズマ1を生成する。
ここで、真空処理室18に導入する第1のプラズマ生成用の第1のガスは、第1のガス供給部15から、シャワープレート12を介して導入される。図1中、矢印23は第1のガス流れを示す。第1のガスの種類や組成は、ウエハ17上に形成した被処理材料の種類や目的の加工形状により異なる。本実施の形態では、被処理材としてシリコン酸化物を用い、エッチングガスとしてフルオロカーボン系を含んだガスを用いたプラズマエッチング処理装置の場合について説明する。
真空処理室18内の圧力は、真空排気部9によって調整される。なお、真空排気部9には、例えば、ドライポンプやターボ分子ポンプに圧力制御用バルブが接続された構成を用いる。真空処理室18内の圧力は、圧力制御用バルブの開度を制御することによって、放電に好適な所望の値に制御することができる。異方性を持ってイオンをウエハ17に入射させるために、エッチング処理では一般的に0.1〜100Pa程度の圧力が用いられる。
また、第1のプラズマ11を生成するための電磁波は、電磁波供給部14から供給され、電磁波を透過する材料によって形成された誘電体窓13を介して真空処理室18に導入される。例えば、電磁波は2.45GHzの周波数のマイクロ波であり、誘電体窓13は石英のようなマイクロ波を透過する材料から成る。そして、電磁コイル16は真空処理室18内でECRに必要な磁場を発生させる。例えば、2.45GHzのマイクロ波においてECRに必要な磁束密度は875Gである。ECRに必要な磁場の近傍ではマイクロ波が電子を効率的に加速して、高エネルギーの電子が得られる。そして、高エネルギーの電子はエッチングガスの分子を電離し、これによって、第1のプラズマ11が得られる。
ウエハ17を支持するステージ19は、ウエハ載置電極8と、ウエハ17の外周部付近に沿って配置されるサセプタ4と、サセプタ4を支持するカバーリング5と、から構成されている。そして、ウエハ載置電極8には、第1の整合器21を介して第1の高周波電源10が接続されている。すなわち、第1の高周波電源10には第1の整合器21が接続されている。なお、ウエハ17に入射するイオンのエネルギーを制御するために、第1の高周波電源10の出力を調整する。第1の高周波電源10の周波数は所望のイオンのエネルギー分布が得られれば、いかなる値を用いてもよい。本実施の形態では、例えば400kHzの高周波が印加される。
また、第1のプラズマ11によって生成される荷電粒子は、電磁コイル16により形成される磁力線に拘束されながら輸送される。したがって、ウエハ17上へのイオンフラックス分布は、例えば電磁コイル16により形成される磁場を制御することにより、制御可能である。一方で、電気的に中性なラジカルは磁場の影響を受けず、主として拡散およびガス流れにより輸送される。ラジカルはウエハ17に輸送されるまでの間に拡散して真空処理室18の内壁への付着や表面反応によって失活し、ウエハ17に入射するラジカルフラックスは凸分布となることが多い。つまり、ウエハ17に入射するラジカルフラックスの分布は、ウエハ17の中心部に比較して外周部の方が少ない。
そこで、エッチングの加工形状をウエハ面内で均一にするためには、ウエハ17の外周部で不足するラジカルを増加させる必要がある。そのため、第2のガス供給部6から供給される第2のガスをウエハ17の外周に設置されるサセプタ4内に供給し、サセプタ4の内部において、高周波電極3と第1の接地電極2aとの間で第2のガスをプラズマ化してラジカルを生成し、真空処理室18に供給する。図1中、矢印24は第2のガス流れを示す。第2のガスの種類は、ウエハ外周部において増加させたいラジカルの種類に応じて適宜選択する。本実施の形態では第1のガスと同様にフルオロカーボン系のガスを導入する。
次に、図2の拡大図を用いてサセプタ4の内部に生成する第2のプラズマ1について説明する。サセプタ4の内部には、第2の高周波電源7に第2の整合器22を介して接続された高周波電極3と、高周波電極3と対向して配置された第1の接地電極2aと、が設置されている。すなわち、第2の高周波電源7には第2の整合器22が接続されている。そして、サセプタ4は、例えば耐プラズマ性の高い石英等の誘電体からなる。つまり、高周波電極3および第1の接地電極2aは、両者とも誘電体であるサセプタ4によって覆われている。ここで、高周波電極3と第1の接地電極2aは、それぞれウエハ17の外周部に沿ってリング状に形成されている。したがって、高周波電極3と第1の接地電極2aとを覆うサセプタ4もウエハ17の外周部に沿ってリング状に形成されている。
そして、第1の高周波電源10と第2の高周波電源7のそれぞれの電力がお互いの電源に流れることを防ぐために、第1の整合器21には第2の高周波電源7の周波数成分をカット(除去)するフィルタが含まれ、さらに、第2の整合器22には第1の高周波電源10の周波数成分をカット(除去)するフィルタが含まれている。すなわち、第1の整合器21に第2の高周波電源7の周波数成分をカットするフィルタが含まれ、かつ第2の整合器22に第1の高周波電源10の周波数成分をカットするフィルタが含まれていることにより、第1の高周波電源10と第2の高周波電源7のそれぞれの電力がお互いの電源に流れることを防止できる。フィルタは、カット(除去)したい周波数に応じて、適宜ローパスやハイパスフィルタ等の好適なフィルタを使用する。
本実施の形態のプラズマ処理装置では、第2の高周波電源7から高周波電力を供給し、高周波電極3と第1の接地電極2aとの間で第2のプラズマ1を生成する。高周波電極3には例えば、数十kHz〜数百MHzの周波数で数十〜数百Wの高周波電力を供給する。効率的に図2の放電空間Dに電圧を印加するために、第1の接地電極2aや高周波電極3の間における石英の直径方向の厚みd1、d2は、機械強度を損なわず、かつ、絶縁破壊しない程度に出来る限り薄くする必要がある。石英の厚みd1、d2は例えば数mmである。本実施の形態の場合は、高周波電極3と第1の接地電極2aの表面がサセプタ4の内部に埋設され、誘電体によって覆われた構成となっている。高周波電極3および第1の接地電極2aの表面を誘電体で覆う理由は、第1の接地電極2aや高周波電極3の表面が第2のプラズマ1にさらされた場合に、第1の接地電極2aと高周波電極3の表面がイオンによりスパッタリングされ、電極の構成元素の金属粒子が真空処理室18内に拡散することを避けるためである。例えば半導体デバイスの量産工程の1つであるトランジスタ形成工程において不要な金属粒子がウエハ17に入射した場合、トランジスタの漏れ電流増加等の性能低下に繋がり、歩留まりが低下する要因となる。本実施の形態では、第1の接地電極2aと高周波電極3がサセプタ4の内部に埋設される例を示したが、第1の接地電極2aと高周波電極3が第2のプラズマ1に直接さらされないように別体の誘電体部材でそれぞれの表面が保護される構成であってもよい。
また、サセプタ4とその下部に設置しているカバーリング5内には第2のガス供給部6に接続されたガス流路20が設けられている。そして、リング状のサセプタ4にはその円周方向に沿って幅d3のスリット状のガス出口である開口部4aが形成されている。この開口部4aは、その幅d3が、第2のプラズマ1が形成される放電空間の幅Dよりも狭くなっている(d3<D)。これは、第2のガスの出口である開口部4aの幅を狭くしてコンダクタンスを小さくすることにより、第2のプラズマ1の放電空間における圧力を真空処理室18の圧力よりも高くし、放電開始時に好適な圧力に調整するためである。すなわち、サセプタ4における開口部4aの幅d3が、第2のプラズマ1が形成される放電空間の幅Dよりも狭い(d3<D)ことにより、第2のプラズマ1の放電空間における圧力を真空処理室18の圧力よりも高くして、放電開始時に好適な圧力に調整することができる。例えば、高周波電極3と第1の接地電極2aとの間の距離が2cmであり、かつ、第2の高周波電源7の周波数が4MHzであるとする。この時、最小の放電開始電圧が得られる好適な圧力は数十Paとなる( Kadhim et al., International Journal of Application or Innovation in Engineering & Management, vol. 3, 454 (2014))。さらに、サセプタ4のガス出口である開口部4aが、第2のプラズマ1が形成される放電空間の幅Dよりも狭いことによって、第2のプラズマ1で生成されたイオンの真空処理室18への流出を防ぐことができ、ウエハ外周におけるイオン密度の上昇を抑えることができる。
次に、サセプタ4の開口部4aから供給されるラジカルがウエハ上のラジカルフラックス分布に与える影響を調べるために、第2のガスの流量がウエハ上のラジカルフラックスに与える影響を計算した。計算では説明の簡略化のために、第1のプラズマ11でのラジカル生成量を固定し、さらに第1のガスの流量は200sccmとし、真空処理室18の圧力は1.0Paとした。
また、第2のプラズマ1でのラジカル生成量は第2のガスの流量に比例すると仮定した。ラジカルの移流拡散の方程式を解き、ウエハ上のラジカルフラックス分布を求めた結果を図3に示す。ウエハ上でのラジカルフラックスの分布形状を比較しやすくするために、ウエハ中心(径方向位置0mmの箇所)でのラジカルフラックスで規格化した。図3中の実線が第2のガスの流量を0sccmとしたときの結果であり、ラジカルフラックス分布は凸分布(ウエハ中心部でラジカルの量が多く、ウエハ外周部でラジカルの量が少ないラジカルの分布状態)である。第2のガスの流量を20sccmに増加した場合、ウエハ中心部(径方向0mmの位置)に比べて相対的にウエハ外周部(径方向150mmの位置)のラジカルフラックスが増加し、ラジカルフラックスのウエハ17の径方向の分布を比較的均一化できることがわかる。さらに、第2のガスの流量を40sccmとしたときには、ウエハ外周部でのラジカルフラックスがさらに増加し、ラジカルフラックス分布を凹形状にまでできる。以上により、サセプタ4からラジカルを供給することにより、上記凸分布であるウエハ上のラジカルフラックス分布を均一化できることがわかる。
本実施の形態のプラズマ処理装置によれば、サセプタ4の内部に高周波電極3と第1の接地電極2aとを設け、高周波電極3に第2の高周波電源7から電力を供給するとともに、第2のガスを供給することでサセプタ4内に第2のプラズマ1を生成することができる。その際、第2の高周波電源の電力や第2のガスの流量により、第2のプラズマ1で生成されるラジカルの生成量や真空処理室18へのラジカル供給量を制御して、ウエハ外周部へのラジカル供給量を制御することができる。
これにより、ウエハ17に入射するラジカルフラックス分布の外周部のプロファイルを効率よく制御することができ、エッチング後のウエハ面内の加工形状を均一化することが可能となる。
すなわち、ウエハ17の外周部に供給されるラジカルの量を増やして、ウエハ17の面内方向におけるラジカルの量の分布の均一性を向上させ、その結果、ウエハ17のプラズマ処理の歩留まりを向上させることができる。
言い換えると、ウエハ17の面内方向におけるプラズマ処理の均一性を向上させてプラズマ処理の歩留まりを向上させることができる。
次に、本実施の形態の変形例について説明する。
上記実施の形態では、リング状のサセプタ4の第2のガスの出口である開口部4aが周方向に沿ってスリット状に形成されている場合を説明したが、それぞれ個別の複数のガス孔(開口部4a)を周方向に配置した構成であってもよい。すなわち、複数の個別の開口部4aをリング状のサセプタ4の周方向に沿って形成してもよい。この場合、第2のガス供給部6は必ずしも一系統でガスを供給する必要はなく、各ガス孔(各開口部4a)に対して独立して第2のガスを供給するガス供給部であってもよい。このような構成とすることで、各ガス孔(各開口部4a)に対してガス流量を独立して制御することができるため、ウエハ17の径方向のラジカルフラックス分布のみならず、ウエハ17の周方向のラジカルフラックス分布も制御することができる。
次に、本実施の形態の第1変形例と第2変形例について説明する。図4は本発明の実施の形態の第1変形例のプラズマ処理装置におけるサセプタの内部の構造を示す部分拡大断面図、図5は本発明の実施の形態の第2変形例のプラズマ処理装置におけるサセプタの内部の構造を示す部分拡大断面図である。
図4に示す第1変形例は、図2で説明した構造において、第1の高周波電源10が接続されたステージ19のウエハ載置電極8と、高周波電極3との間に第2の接地電極2bを設置した構造である。つまり、第1の高周波電源10が接続されたウエハ載置電極8と、第2の高周波電源7が接続された高周波電極3との間に、第2の接地電極2bが配置されている。
第2の接地電極2bは、第1の高周波電源10の高周波電力と、第2の高周波電源7の高周波電力とが互いに干渉することを避けるための静電シールドとなる。すなわち、第2の接地電極2bを間に介在させることで、第1の高周波電源10の高周波電力と、第2の高周波電源7の高周波電力とがお互いに干渉することを防止できる。
次に、図5に示す第2変形例について説明する。図5に示す第2変形例は、プラズマ処理装置への実装を容易にするための装置構造を示すものである。図2で説明した構成において、ウエハ載置電極8には、第1の高周波電源10が第1の整合器21を介して接続されており、さらに第2の高周波電源7も第2の整合器22を介して接続されている。そして、第1の接地電極2aは、カバーリング5に埋設されている。カバーリング5は耐プラズマ性の高い石英等で構成されている。第1の高周波電源10は、主としてウエハ17に入射するイオンエネルギーを制御する目的で使用される。
一方で、第2の高周波電源7は、主としてサセプタ4の内部で第2のプラズマ1を生成する目的で使用される。図5に示すプラズマ処理装置は、サセプタ4の内部に高周波電極3が埋設されている図2で示した構成よりも、サセプタ4やカバーリング5の構造を簡単にできるため、装置における部材の実装を容易に行うことができる。
また、本実施の形態においては第1のプラズマ11を生成するための放電方式としてECRを用いた例を示したが、誘導結合型プラズマ(ICP:Inductively Coupled Plasma)などの他の放電方式を用いてもよい。
また、本実施の形態では、図2、図4および図5を用いてサセプタ4やカバーリング5の内部構造の様々な形態を説明したが、本実施の形態のプラズマ処理装置は、それらの組み合わせを用いた形態であってもよい。
さらに、本実施の形態では、サセプタ4とカバーリング5を別々の部品として扱ったが、それらが一体となった構成であってもよい。もしくはサセプタ4とカバーリング5は複数の部品を組み合わせて構成されたものでもよい。
その他、実施の形態に記載された内容の一部を以下に記載する。
[項1]
減圧可能な真空容器と、上記真空容器に接続されるプラズマ生成用のガスを供給する第1のガス供給部と、真空排気部と、上記真空容器に接続される第1のプラズマ生成用の電磁波を供給する電磁波供給部と、ウエハを載置するステージと、上記ステージに接続される第1の高周波電源と、から構成されるプラズマ処理装置であって、
上記ステージに第2の高周波電源が接続され、
上記ステージの外周部にサセプタが設置され、
上記サセプタには、第2のガスが通りかつ第2のガス供給部が接続されたガス流路と、上記第2の高周波電源に接続され、かつ誘電体に覆われた高周波電極と、誘電体に覆われた接地電極とが設けられ、
上記第2の高周波電源から電力を供給し、上記サセプタの上記第2のガスが通過する上記ガス流路にて第2のプラズマを生成し、上記サセプタからウエハ外周部にラジカルを供給する、プラズマ処理装置。
[項2]
減圧可能な真空容器と、上記真空容器に接続されるプラズマ生成用のガスを供給する第1のガス供給部と、真空排気部と、上記真空容器に接続される第1のプラズマ生成用の電磁波を供給する電磁波供給部と、ウエハを載置するステージと、上記ステージに接続される第1の高周波電源と、から構成されるプラズマ処理装置であって、
上記ステージに第2の高周波電源が接続され、
上記ステージの外周部にサセプタが設置され、
上記サセプタには、第2のガスが通りかつ第2のガス供給部が接続されたガス流路と、誘電体に覆われた接地電極とが設けられ、
上記第1の高周波電源と上記第2の高周波電源とから電力を供給し、上記サセプタの上記第2のガスが通過する上記ガス流路にて第2のプラズマを生成し、上記サセプタから上記ウエハの外周部にラジカルを供給する、プラズマ処理装置。
以上、本発明者によってなされた発明を発明の実施の形態に基づき具体的に説明したが、本発明は前記発明の実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることは言うまでもない。
なお、本発明は上記した実施の形態に限定されるものではなく、様々な変形例が含まれる。例えば、上記した実施の形態は本発明を分かりやすく説明するために詳細に説明したものであり、必ずしも説明した全ての構成を備えるものに限定されるものではない。
また、ある実施の形態の構成の一部を他の実施の形態の構成に置き換えることが可能であり、また、ある実施の形態の構成に他の実施の形態の構成を加えることも可能である。また、各実施の形態の構成の一部について、他の構成の追加、削除、置換をすることが可能である。なお、図面に記載した各部材や相対的なサイズは、本発明を分かりやすく説明するため簡素化・理想化しており、実装上はより複雑な形状となる。
上記実施の形態では、プラズマ処理装置の一例として、プラズマエッチング処理装置の場合について説明したが、上記プラズマ処理装置は、半導体ウエハ等の基板状の試料に対してアッシングまたはCVD(Chemical Vapor Deposition)処理を行う他のプラズマ処理装置であってもよい。
1 第2のプラズマ
3 高周波電極
4 サセプタ(ウエハ保持体)
4a 開口部
6 第2のガス供給部
7 第2の高周波電源
8 ウエハ載置電極
9 真空排気部
10 第1の高周波電源
11 第1のプラズマ
14 電磁波供給部
15 第1のガス供給部
17 ウエハ
19 ステージ
25 真空容器

Claims (10)

  1. 内部で半導体ウエハにプラズマ処理が行われる真空容器と、
    前記真空容器に接続され、前記真空容器内に第1のプラズマを生成する第1のガスを供給する第1のガス供給部と、
    前記第1のプラズマを生成する電磁波を供給する電磁波供給部と、
    前記半導体ウエハが載置されるステージと、
    前記ステージに接続された第1の高周波電源と、
    前記ステージの外周部に設けられ、開口部が形成されたウエハ保持体と、
    前記ウエハ保持体に接続された第2の高周波電源と、
    前記ウエハ保持体の内部に第2のガスを供給する第2のガス供給部と、
    前記真空容器内を排気して減圧する真空排気部と、
    を有し、
    前記ウエハ保持体の内部には、
    誘電体によって覆われ、前記第2の高周波電源に接続された高周波電極と、
    誘電体によって覆われ、前記高周波電極と対向して配置された第1の接地電極と、
    が設けられ、
    前記第2の高周波電源から高周波電力が供給され、かつ前記第2のガス供給部から前記第2のガスが供給されて前記ウエハ保持体の内部で第2のプラズマを生成する、プラズマ処理装置。
  2. 請求項1に記載のプラズマ処理装置において、
    前記高周波電極および前記第1の接地電極は、リング状である、プラズマ処理装置。
  3. 請求項1に記載のプラズマ処理装置において、
    前記ウエハ保持体は、石英によって形成されている、プラズマ処理装置。
  4. 請求項1に記載のプラズマ処理装置において、
    前記ステージには、前記半導体ウエハに入射するイオンエネルギーを調整する高周波電力を供給する前記第1の高周波電源が接続され、前記第1の高周波電源に第1の整合器が接続され、前記第2の高周波電源に第2の整合器が接続されている、プラズマ処理装置。
  5. 請求項4に記載のプラズマ処理装置において、
    前記第1の整合器には、前記第2の高周波電源の周波数成分を除去するフィルタが含まれ、
    前記第2の整合器には、前記第1の高周波電源の周波数成分を除去するフィルタが含まれている、プラズマ処理装置。
  6. 請求項1に記載のプラズマ処理装置において、
    前記ウエハ保持体は、リング状に形成され、
    前記開口部は、スリット状に形成され、かつ前記リング状のウエハ保持体の周方向に沿って形成されている、プラズマ処理装置。
  7. 請求項6に記載のプラズマ処理装置において、
    前記スリット状の開口部の幅は、前記第2のプラズマが生成される放電空間の幅よりも狭い、プラズマ処理装置。
  8. 請求項1に記載のプラズマ処理装置において、
    前記ウエハ保持体は、リング状に形成され、
    前記開口部は、前記リング状のウエハ保持体の周方向に沿って個別に複数形成されている、プラズマ処理装置。
  9. 請求項1に記載のプラズマ処理装置において、
    前記ウエハ保持体の内部に供給される前記第2のガスの流路が、前記ウエハ保持体の周方向に対して複数に分岐して設けられ、
    前記第2のガス供給部から前記複数の第2のガスの流路それぞれに独立して前記第2のガスを供給する、プラズマ処理装置。
  10. 請求項1に記載のプラズマ処理装置において、
    前記ステージには、前記半導体ウエハに入射するイオンエネルギーを調整する高周波電力を供給する前記第1の高周波電源が接続され、
    前記ステージと、前記第2の高周波電源が接続された前記高周波電極との間に、第2の接地電極が設けられている、プラズマ処理装置。
JP2015257260A 2015-12-28 2015-12-28 プラズマ処理装置 Active JP6595335B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2015257260A JP6595335B2 (ja) 2015-12-28 2015-12-28 プラズマ処理装置
US15/273,812 US10217613B2 (en) 2015-12-28 2016-09-23 Plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015257260A JP6595335B2 (ja) 2015-12-28 2015-12-28 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2017120847A true JP2017120847A (ja) 2017-07-06
JP6595335B2 JP6595335B2 (ja) 2019-10-23

Family

ID=59087941

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015257260A Active JP6595335B2 (ja) 2015-12-28 2015-12-28 プラズマ処理装置

Country Status (2)

Country Link
US (1) US10217613B2 (ja)
JP (1) JP6595335B2 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6539113B2 (ja) * 2015-05-28 2019-07-03 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091320A (ja) * 1998-09-10 2000-03-31 Foi:Kk プラズマ処理装置
JP2001081570A (ja) * 1999-09-16 2001-03-27 Nissin Electric Co Ltd 薄膜形成方法及び装置
JP2004022822A (ja) * 2002-06-17 2004-01-22 Shibaura Mechatronics Corp プラズマ処理方法および装置
JP2012049376A (ja) * 2010-08-27 2012-03-08 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2013084653A (ja) * 2011-10-06 2013-05-09 Hitachi High-Technologies Corp プラズマエッチング装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09162169A (ja) 1995-12-05 1997-06-20 Yasuhiro Horiike プラズマ処理方法及びその装置
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
AU2003228226A1 (en) * 2002-03-12 2003-09-29 Tokyo Electron Limited An improved substrate holder for plasma processing
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4566789B2 (ja) * 2005-03-07 2010-10-20 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US20070221332A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus
US20080202689A1 (en) * 2006-12-08 2008-08-28 Tes Co., Ltd. Plasma processing apparatus
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
JP5657262B2 (ja) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101693673B1 (ko) * 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
JP5710318B2 (ja) * 2011-03-03 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置
US20170278730A1 (en) * 2016-03-28 2017-09-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091320A (ja) * 1998-09-10 2000-03-31 Foi:Kk プラズマ処理装置
JP2001081570A (ja) * 1999-09-16 2001-03-27 Nissin Electric Co Ltd 薄膜形成方法及び装置
JP2004022822A (ja) * 2002-06-17 2004-01-22 Shibaura Mechatronics Corp プラズマ処理方法および装置
JP2012049376A (ja) * 2010-08-27 2012-03-08 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2013084653A (ja) * 2011-10-06 2013-05-09 Hitachi High-Technologies Corp プラズマエッチング装置

Also Published As

Publication number Publication date
US20170186587A1 (en) 2017-06-29
US10217613B2 (en) 2019-02-26
JP6595335B2 (ja) 2019-10-23

Similar Documents

Publication Publication Date Title
JP4995907B2 (ja) プラズマを閉じ込めるための装置、プラズマ処理装置及び半導体基板の処理方法
KR101317018B1 (ko) 플라즈마 처리 장치
JP2001257199A (ja) プラズマ処理方法及び装置
US9960014B2 (en) Plasma etching method
KR102016408B1 (ko) 플라스마 처리 장치
JP2016506592A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
CN109559987B (zh) 等离子体处理方法
US11437222B2 (en) Plasma processing apparatus and method of manufacturing semiconductor device using the same
JP2013254723A (ja) プラズマ処理装置
JP7140610B2 (ja) プラズマ処理装置
JP7374362B2 (ja) プラズマ処理方法及びプラズマ処理装置
KR20170012106A (ko) 플라즈마 처리 장치
JP2008027816A (ja) プラズマ処理装置及びプラズマ処理方法
JP7001456B2 (ja) プラズマ処理装置
JP2004356430A (ja) プラズマ処理装置
JP2021077808A (ja) プラズマ処理装置
KR102229990B1 (ko) 플라즈마 처리 장치용 부재 및 플라즈마 처리 장치
JP6595335B2 (ja) プラズマ処理装置
JP6785377B2 (ja) プラズマ処理装置
KR20200051505A (ko) 배치대 및 기판 처리 장치
EP3016134A1 (en) Method of etching organic film
US6432730B2 (en) Plasma processing method and apparatus
JP2023004431A (ja) プラズマ処理装置
JP2002280198A (ja) プラズマ処理装置及び方法
JP2014150187A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190903

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190830

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190926

R150 Certificate of patent or registration of utility model

Ref document number: 6595335

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350