JP2014195044A5 - - Google Patents

Download PDF

Info

Publication number
JP2014195044A5
JP2014195044A5 JP2014010932A JP2014010932A JP2014195044A5 JP 2014195044 A5 JP2014195044 A5 JP 2014195044A5 JP 2014010932 A JP2014010932 A JP 2014010932A JP 2014010932 A JP2014010932 A JP 2014010932A JP 2014195044 A5 JP2014195044 A5 JP 2014195044A5
Authority
JP
Japan
Prior art keywords
current
model
voltage
magnitude
product
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014010932A
Other languages
English (en)
Other versions
JP6293497B2 (ja
JP2014195044A (ja
Filing date
Publication date
Priority claimed from US13/756,390 external-priority patent/US9502216B2/en
Application filed filed Critical
Publication of JP2014195044A publication Critical patent/JP2014195044A/ja
Publication of JP2014195044A5 publication Critical patent/JP2014195044A5/ja
Application granted granted Critical
Publication of JP6293497B2 publication Critical patent/JP6293497B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

ウェハバイアスを決定するための方法およびプラズマシステム
本発明の実施形態は、プラズマシステムに関連付けられるウェハバイアスを決定するためのモデリングの使用に関する。
プラズマベースのシステムでは、ウェハに対して様々な操作(例えば、エッチング、洗浄、堆積など)を行うために、プラズマチャンバ内部でプラズマが発生される。プラズマは、様々な操作の性能を制御するために監視および制御される。例えば、プラズマは、プラズマの電圧を監視することによって監視され、プラズマチャンバに供給される高周波(RF)電力の量を制御することによって制御される。
しかし、操作の性能を監視および制御するために電圧を使用することは、満足の行く結果をもたらさないことがある。さらに、電圧の監視は、費用がかかり、かつ時間のかかる操作であることがある。
この文脈で、本開示で述べる実施形態が提供される。
本開示の実施形態は、プラズマシステムに関連付けられるウェハバイアスを決定するためにモデリングを使用するための装置、方法、およびコンピュータプログラムを提供する。本発明の実施形態は、多くの様式で、例えば、プロセスとして、装置として、システムとして、ハードウェアの一部として、またはコンピュータ可読媒体上での方法として実施することができることを理解すべきである。いくつかの実施形態を以下に述べる。
様々な実施形態において、モデルのモデルノードでウェハバイアスが決定される。モデルは、高周波(RF)伝送線路、インピーダンスマッチング回路、または静電チャック(ESC)のモデルでよい。モデルのモデルノードは、入力、出力、またはモデル内部の点でよい。モデルノードでの複素電圧および電流を決定するためにRF発生器の出力からモデルノードに複素電圧および電流を伝播することによって、モデルノードでのウェハバイアスが決定される。RF発生器の出力での複素電圧および電流が、事前設定された公式に従って較正された電圧および電流プローブを用いて測定される。いくつかの実施形態では、モデルノードでのウェハバイアスは、係数とモデルノードでの電圧の大きさとの積、係数とモデルノードでの電流の大きさとの積、係数とモデルノードでの電力の大きさの平方根との積、および定数の和である。
いくつかの実施形態では、ウェハバイアスを決定するための方法が述べられる。方法は、発生器出力複素電圧および電流(V&I)を識別するために、発生器の出力を検出するステップを含む。発生器は、インピーダンスマッチング回路に結合され、インピーダンスマッチング回路は、高周波(RF)伝送線路を介してプラズマチャンバの静電チャック(ESC)に結合される。方法は、さらに、発生器出力複素V&Iから、インピーダンスマッチング回路のモデルの出力とESCのモデルとの間の経路に沿った点で、投影された複素V&Iを決定するステップを含む。投影された複素V&Iの決定の操作は、経路の少なくとも一部に関するモデルを使用して行われる。経路の少なくとも一部に関するモデルは、経路に沿った物理的構成要素を特徴付ける。方法は、投影された複素V&Iを、ESCモデルでのウェハバイアス値にマップするための関数への入力として、投影された複素V&Iを適用するステップを含む。
様々な実施形態において、ウェハバイアスを決定するための方法が述べられる。方法は、1つまたは複数の発生器の1つまたは複数の出力で測定された1つまたは複数の発生器出力複素電圧および電流を受信するステップを含む。1つまたは複数の発生器は、インピーダンスマッチング回路に結合され、インピーダンスマッチング回路は、高周波(RF)伝送線路を介してプラズマチャンバの静電チャック(ESC)に結合される。方法は、さらに、1つまたは複数の複素電圧および電流から、インピーダンスマッチング回路のモデルとESCのモデルとの間の経路に沿った点で、投影された複素電圧および電流を決定するステップを含む。モデルは、経路に沿った物理的構成要素を特徴付ける。方法は、投影された複素電圧および電流を関数への入力として使用することによって、その点でのウェハバイアスを計算するステップを含む。
いくつかの実施形態では、ウェハバイアスを決定するための方法が述べられる。方法は、RF発生器がインピーダンスマッチング回路を介してプラズマチャンバに結合されるときに、高周波(RF)発生器の出力で測定された第1の複素電圧および電流を識別するステップを含む。インピーダンスマッチング回路は、RF発生器の出力に結合された入力と、RF伝送線路に結合された出力とを有する。方法は、さらに、インピーダンスマッチング回路で定義された電気構成要素に基づいてインピーダンスマッチングモデルを生成するステップを含む。インピーダンスマッチングモデルは、入力と出力を有する。インピーダンスマッチングモデルの入力は、第1の複素電圧および電流を受信する。また、インピーダンスマッチングモデルは、1つまたは複数の要素を有する。方法は、第2の複素電圧および電流を決定するために、インピーダンスマッチングモデルの入力から、1つまたは複数の要素を介して、インピーダンスマッチングモデルの出力に第1の複素電圧および電流を伝播するステップを含む。第2の複素電圧および電流は、インピーダンスマッチングモデルの出力におけるものである。方法は、第2の複素電圧および電流における電圧の大きさと、第2の複素電圧および電流における電流の大きさと、第2の複素電圧および電流における電力の大きさとに基づいて、ウェハバイアスを決定するステップを含む。
いくつかの実施形態では、ウェハバイアスを決定するためのプラズマシステムが述べられる。プラズマシステムは、1つまたは複数のRF信号を発生するための1つまたは複数の高周波(RF)発生器を含む。1つまたは複数のRF発生器は、1つまたは複数の電圧および電流プローブに関連付けられる。1つまたは複数の電圧および電流プローブは、1つまたは複数の複素電圧および電流を、1つまたは複数のRF発生器の対応する1つまたは複数の出力で測定するように構成される。プラズマシステムは、さらに、1つまたは複数のRF発生器に結合されたインピーダンスマッチング回路を含む。また、プラズマシステムは、RF伝送線路を介してインピーダンスマッチング回路に結合されたプラズマチャンバも含む。プラズマチャンバは、RF伝送線路に結合された静電チャック(ESC)を含む。プラズマシステムは、1つまたは複数のRF発生器に結合された処理装置を含む。処理装置は、1つまたは複数の複素電圧および電流を受信し、1つまたは複数の複素電圧および電流から、インピーダンスマッチング回路のモデルとESCのモデルとの間の経路に沿った点で、投影された複素電圧および電流を決定するように構成される。モデルは、経路に沿った物理的構成要素を特徴付ける。処理装置は、投影された複素電圧および電流を関数への入力として使用することによって、その点でのウェハバイアスを計算するように構成される。
上述した実施形態のいくつかの利点は、電圧プローブをある点(例えば、RF伝送線路上のノード、インピーダンスマッチング回路の出力、ESC上の点など)に結合させる必要なくウェハバイアスを決定することを含む。いくつかのシステムでは、電圧プローブが、点での電圧を測定し、測定された電圧が、ESCでのバイアスを決定するために使用される。電圧プローブを入手するのには高い費用がかかる。さらに、電圧プローブが使用されるとき、測定された電圧が信号であるか、それともノイズであるかを判断するモジュールがプラズマシステム内部に実装される。測定された電圧が信号であると判断すると、ESCでのバイアスを補償するために、プラズマシステムのプラズマチャンバに送達されるRF電力を制御するために電圧が使用される。他方、電圧がノイズであると判断すると、RF電力を制御するために電圧は使用されない。そのようなモジュールによる決定は、費用および時間がかかる。それに対し、電圧プローブを点に結合させる必要なくウェハバイアスが決定される。電圧プローブを使用しないことは、電圧プローブに関連付けられるコスト、ならびにモジュールに関連する時間および労力を節約する。また、電圧プローブは、誤動作することがあり、または基板の製造中、処理中、洗浄中などには動作することができないことがある。電圧および電流プローブは、事前設定された公式に適合し、電圧プローブよりも正確である。また、電圧および電流プローブによって測定された複素電圧および電流に基づいて、ウェハバイアスが決定される。測定されて使用される複素電圧および電流は、電圧プローブによって測定された電圧に基づいて決定されるESCバイアスよりも高い精度のウェハバイアスを提供する。
他の態様は、添付図面に関連して述べる以下の詳細な説明から明らかになろう。
本発明の実施形態は、添付図面に関連して述べる以下の説明を参照すれば、最良に理解することができる。
本開示で述べる一実施形態による、インピーダンスマッチングモデルの出力、高周波(RF)伝送モデルの一部分の出力、および静電チャック(ESC)モデルの出力で変数を決定するためのシステムのブロック図である。
本開示で述べる一実施形態による、RF伝送モデルの一部分の出力で複素電圧および電流を決定するための方法の流れ図である。
本開示で述べる一実施形態による、インピーダンスマッチング回路を例示するために使用されるシステムのブロック図である。
本開示で述べる一実施形態による、インピーダンスマッチングモデルの回路図である。
本開示で述べる一実施形態による、RF伝送線路を例示するために使用されるシステムの図である。
本開示で述べる一実施形態による、RF伝送線路の回路モデルを例示するために使用されるシステムのブロック図である。
本開示で述べる一実施形態による、RF伝送線路のトンネルおよびストラップモデルを例示するために使用される電気回路の図である。
本開示で述べる一実施形態による、トンネルおよびストラップモデルを例示するために使用される電気回路の図である。
本開示で述べる一実施形態による、シリンダおよびESCモデルを例示するために使用される電気回路の図である。
本開示で述べる一実施形態による、変数を決定するために使用されるフィルタを含むプラズマシステムのブロック図である。
本開示で述べる一実施形態による、変数の精度を改良するためのフィルタのモデルを例示するために使用されるシステムの図である。
本開示で述べる一実施形態による、フィルタのモデルを例示するために使用されるシステムの図である。
本開示で述べる一実施形態による、図1のシステムのRF発生器の出力で変数を測定するために電流および電圧プローブを使用するためのシステムのブロック図である。
本開示で述べる一実施形態による、電圧および電流プローブと通信デバイスとがRF発生器の外部に位置されるシステムのブロック図である。
本開示で述べる一実施形態による、図1のシステムを使用して決定される変数の値が使用されるシステムのブロック図である。
本開示で述べる一実施形態による、プローブを使用することによって図1のシステム内部のノードで測定された変数と、xMHzRF発生器がオンであるときに図2の方法を使用して決定された変数との相関を例示するグラフの図である。
本開示で述べる一実施形態による、プローブを使用することによって図1のシステム内部のノードで測定された変数と、yMHzRF発生器がオンであるときに図2の方法を使用して決定された変数との相関を例示するグラフの図である。
本開示で述べる一実施形態による、プローブを使用することによって図1のシステム内部のノードで測定された変数と、zMHzRF発生器がオンであるときに図2の方法を使用して決定された変数との相関を例示するグラフの図である。
本開示で述べる一実施形態による、インピーダンスマッチングモデル、RF伝送モデル、またはESCモデルのモデルノードでウェハバイアスを決定するための方法の流れ図である。
本開示で述べる一実施形態による、ウェハバイアスを発生するために使用されるウェハバイアス発生器を例示する状態図である。
本開示で述べる一実施形態による、インピーダンスマッチングモデルとESCモデルとの間の経路に沿った点でウェハバイアスを決定するための方法の流れ図である。
本開示で述べる一実施形態による、モデルのノードでウェハバイアスを決定するためのシステムのブロック図である。
本開示で述べる一実施形態による、図1のシステムのモデルノードでウェハバイアスを決定するための方法の流れ図である。
本開示で述べる一実施形態による、電圧プローブを使用することによってではなく、図13、図15、または図17の方法を使用することによってウェハバイアスを決定する利点を例示するために使用されるシステムのブロック図である。
本開示で述べる一実施形態による、yMHzRF発生器とzMHzRF発生器がオンであるときの、電圧プローブを使用することによって図1のプラズマシステムのノードで測定された変数と、図2、図13、図15、または図17の方法を使用して決定された対応するモデルノード出力での変数との相関を例示するグラフの実施形態を示す図である。
本開示で述べる一実施形態による、xMHzRF発生器とzMHzRF発生器がオンであるときの、電圧プローブを使用することによって図1のプラズマシステムのノードで測定された変数と、図2、図13、図15、または図17の方法を使用して決定された対応するモデルノード出力での変数との相関を例示するグラフの実施形態を示す図である。
本開示で述べる一実施形態による、xMHzRF発生器とyMHzRF発生器がオンであるときの、電圧プローブを使用することによって図1のプラズマシステムのノードで測定された変数と、図2、図13、図15、または図17の方法を使用して決定された対応するモデルノード出力での変数との相関を例示するグラフの実施形態を示す図である。
本開示で述べる一実施形態による、xMHzRF発生器がオンであるときの、センサツールを使用して測定された有線ウェハバイアスと、図13、図15、または図17の方法を使用して決定されたモデルウェハバイアスと、モデルバイアスの誤差との相関を例示するために使用されるグラフの図である。
本開示で述べる一実施形態による、yMHzRF発生器がオンであるときの、センサツールを使用して測定された有線ウェハバイアスと、図13、図15、または図17の方法を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関を例示するために使用されるグラフの図である。
本開示で述べる一実施形態による、zMHzRF発生器がオンであるときの、センサツールを使用して測定された有線ウェハバイアスと、図13、図15、または図17の方法を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関を例示するために使用されるグラフの図である。
本開示で述べる一実施形態による、xMHzRF発生器とyMHzRF発生器がオンであるときの、センサツールを使用して測定された有線ウェハバイアスと、図13、図15、または図17の方法を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関を例示するために使用されるグラフの図である。
本開示で述べる一実施形態による、xMHzRF発生器とzMHzRF発生器がオンであるときの、センサツールを使用して測定された有線ウェハバイアスと、図13、図15、または図17の方法を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関を例示するために使用されるグラフの図である。
本開示で述べる一実施形態による、yMHzRF発生器とzMHzRF発生器がオンであるときの、センサツールを使用して測定された有線ウェハバイアスと、図13、図15、または図17の方法を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関を例示するために使用されるグラフの図である。
本開示で述べる一実施形態による、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器がオンであるときの、センサツールを使用して測定された有線ウェハバイアスと、図13、図15、または図17の方法を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関を例示するために使用されるグラフの図である。
本開示で述べる一実施形態による、図1のシステムのホストシステムのブロック図である。
以下の実施形態は、プラズマシステムに関連付けられたウェハバイアスを決定するためにモデルを使用するためのシステムおよび方法を説明する。これら特定の詳細のいくつかまたはすべてを伴わずに本発明の実施形態を実施することもできることは明らかであろう。なお、本発明の実施形態を不要に曖昧にしないように、よく知られているプロセス操作は詳細には説明していない。
図1は、インピーダンスマッチングモデル104の出力、RF伝送モデル161(これは、RF伝送線路113のモデルである)の一部分173の出力(例えばモデルノードN1m)、および静電チャック(ESC)モデル125の出力(例えばモデルノードN6m)での変数を決定するためのシステム126の一実施形態のブロック図である。変数の例は、複素電圧、複素電流、複素電圧および電流、複素電力、ウェハバイアスなどを含む。RF伝送線路113は、出力(例えばノードN2)を有する。電圧および電流(VI)プローブ110は、xMHzRF発生器の出力(例えばノードN3)で複素電圧および電流Vx、Ix、およびφx(例えば第1の複素電圧および電流)を測定する。Vxは、電圧の大きさを表し、Ixは、電流の大きさを表し、φxは、VxとIxの間の位相を表すことに留意すべきである。インピーダンスマッチングモデル104は、出力(例えばモデルノードN4m)を有する。
さらに、電圧および電流プローブ111は、yMHzRF発生器の出力(例えばノードN5)で複素電圧および電流Vy、Iy、およびφyを測定する。Vyは、電圧の大きさを表し、Iyは、電流の大きさを表し、φyは、VyとIyの間の位相を表すことに留意すべきである。
いくつかの実施形態では、ノードは、デバイスの入力、デバイスの出力、またはデバイス内部の点である。本明細書で使用する際、デバイスは、以下に述べるものである。
xMHzの例は、2MHz、27MHz、および60MHzを含む。yMHzの例は、2MHz、27MHz、および60MHzを含む。xMHzは、yMHzとは異なる。例えば、xMHzが2MHzであるとき、yMHzは27MHzまたは60MHzである。xMHzが27MHzであるとき、yMHzは60MHzである。
各電圧および電流プローブ110および111の一例は、事前設定された公式と適合する電圧および電流プローブを含む。事前設定された公式の一例は、センサに関する標準を作成する協会による標準を含む。事前設定された公式の別の例は、NIST(米国国立標準技術研究所)標準を含む。例示として、電圧および電流プローブ110または111は、NIST標準に従って較正される。この例示では、NIST標準に適合するように電圧および電流プローブ110または111を較正するために、電圧および電流プローブ110または111は、開回路、短絡回路、または既知の負荷と結合される。NIST標準に基づいて電圧および電流プローブ110を較正するために、電圧および電流プローブ110または111をまず開回路に結合させ、次いで短絡回路に結合させ、次いで既知の負荷に結合させることができる。NIST標準に従って電圧および電流プローブ110または111を較正するために、電圧および電流プローブ110または111を既知の負荷、開回路、および短絡回路に任意の順序で結合させることができる。既知の負荷の例は、50オーム負荷、100オーム負荷、200オーム負荷、静的負荷、直流(DC)負荷、抵抗などを含む。例示として、各電圧および電流プローブ110および111は、NISTトレーサブルな標準に従って較正される。
電圧および電流プローブ110は、xMHzRF発生器の出力(例えばノードN3)に結合される。xMHzRF発生器の出力(例えばノードN3)は、ケーブル150を介してインピーダンスマッチング回路114の入力153に結合される。さらに、電圧および電流プローブ111は、yMHzRF発生器の出力(例えばノードN5)に結合される。yMHzRF発生器の出力(例えばノードN5)は、ケーブル152を介してインピーダンスマッチング回路114の別の入力155に結合される。
インピーダンスマッチング回路114の出力(例えばノードN4)は、RF伝送線路113の入力に結合される。RF伝送線路113は、一部分169および別の部分195を含む。部分169の入力は、RF伝送線路113の入力である。部分169の出力(例えばノードN1)は、部分195の入力に結合される。部分195の出力(例えばノードN2)は、プラズマチャンバ175に結合される。部分195の出力は、RF伝送線路113の出力である。部分169の一例は、RFシリンダとRFストラップを含む。RFシリンダは、RFストラップに結合される。部分195の一例は、プラズマチャンバ175を支持するためのRFロッドおよび/または支持体(例えばシリンダ)を含む。
プラズマチャンバ175は、静電チャック(ESC)177と、上側電極179と、他の部分(図示せず)とを含み、上記他の部分は、例えば、上側電極179を取り囲む上側誘電体リング、上側誘電体リングを取り囲む上側電極延長部、ESC177の下側電極を取り囲む下側誘電体リング、下側誘電体リングを取り囲む下側電極延長部、上側プラズマ排除区域(PEZ)リング、下側PEZリングなどである。上側電極179は、ESC177と向かい合わせに、ESC177に面して位置される。ワークピース131(例えば半導体ウェハなど)が、ESC177の上面183に支持される。上面183は、ESC177の出力N6を含む。ワークピース131は、出力N6に配置される。製造中、ワークピース131に対して様々なプロセス(例えば、化学気相成長、洗浄、堆積、スパッタリング、エッチング、イオン注入、レジストストリップなど)が行われる。集積回路(例えば、特定用途向け集積回路(ASIC)、プログラマブル論理デバイス(PLD)など)がワークピース131上に作成され、これらの集積回路は、多様な電子機器(例えば、携帯電話、タブレット、スマートフォン、コンピュータ、ラップトップ、ネットワーク機器など)で使用される。下側電極と上側電極179はそれぞれ金属(例えば、アルミニウム、アルミニウム合金、銅など)からなる。
一実施形態では、上側電極179は、中央ガス供給部(図示せず)に結合された穴を含む。中央ガス供給部は、ガス供給源(図示せず)から1つまたは複数のプロセスガスを受け取る。プロセスガスの例は、O2など酸素含有ガスを含む。プロセスガスの他の例は、フッ素含有ガス(例えば、四フッ化炭素(CF4)、六フッ化硫黄(SF6)、ヘキサフルオロエタン(C26)など)を含む。上側電極179は接地される。ESC177は、インピーダンスマッチング回路114を介してxMHzRF発生器およびyMHzRF発生器に結合される。
プロセスガスが上側電極179とESC177との間に供給されるとき、ならびにxMHzRF発生器および/またはyMHzRF発生器がインピーダンスマッチング回路114およびRF伝送線路113を介してESC177にRF信号を供給するとき、プロセスガスが点火されて、プラズマチャンバ175内部でプラズマを発生する。
xMHzRF発生器がRF信号を発生して、ノードN3、インピーダンスマッチング回路114、およびRF伝送線路113を介してESC177に提供するとき、ならびにyMHz発生器がRF信号を発生して、ノードN5、インピーダンスマッチング回路114、およびRF伝送線路113を介してESC177に提供するとき、電圧および電流プローブ110は、ノードN3で複素電圧および電流を測定し、電圧および電流プローブ111は、ノードN5で複素電圧および電流を測定する。
電圧および電流プローブ110および111によって測定された複素電圧および電流は、対応する電圧および電流プローブ110および111から、対応する通信デバイス185および189を介して、ホストシステム130の記憶ハードウェアユニット(HU)162に提供されて記憶される。例えば、電圧および電流プローブ110によって測定された複素電圧および電流は、通信デバイス185およびケーブル191を介してホストシステム130に提供され、電圧および電流プローブ111によって測定された複素電圧および電流は、通信デバイス189およびケーブル193を介してホストシステム130に提供される。通信デバイスの例は、データをEthernet(登録商標)パケットに変換し、またEthernet(登録商標)パケットをデータに変換するEthernet(登録商標)デバイス、EtherCAT(Ethernet(登録商標) for Control Automation Technology)デバイス、データをシリアル転送するシリアルインターフェースデバイス、データをパラレル転送するパラレルインターフェースデバイス、ユニバーサルシリアルバス(USB)インターフェースデバイスなどを含む。
ホストシステム130の例は、コンピュータ、例えばデスクトップ、ラップトップ、タブレットなどを含む。例示として、ホストシステム130は、処理装置と、記憶HU162とを含む。本明細書で使用する際、処理装置は、中央処理装置(CPU)、マイクロプロセッサ、特定用途向け集積回路(ASIC)、プログラマブル論理デバイス(PLD)などでよい。記憶HUの例は、読み出し専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、またはそれらの組合せを含む。記憶HUは、フラッシュメモリ、記憶ディスクの冗長アレイ(RAID)、ハードディスクなどでよい。
インピーダンスマッチングモデル104は、記憶HU162に記憶される。インピーダンスマッチングモデル104は、インピーダンスマッチング回路114の特性と同様の特性(例えば、静電容量、インダクタンス、複素電力、複素電圧および電流など)を有する。例えば、インピーダンスマッチングモデル104は、インピーダンスマッチング回路114内部と同数のコンデンサおよび/またはインダクタを有し、それらのコンデンサおよび/またはインダクタは、インピーダンスマッチング回路114内部と同じ様式(例えば、直列、並列など)で互いに接続される。例示を提供するために、インピーダンスマッチング回路114が、インダクタに直列に結合されたコンデンサを含むとき、インピーダンスマッチングモデル104も、インダクタに直列に結合されたコンデンサを含む。
一例として、インピーダンスマッチング回路114は、1つまたは複数の電気構成要素を含み、インピーダンスマッチングモデル104は、インピーダンスマッチング回路114の設計(例えばコンピュータ生成モデル)を含む。コンピュータ生成モデルは、ユーザから入力ハードウェアユニットを介して受信された入力信号に基づいて、処理装置によって生成することができる。入力信号は、モデルに含まれている電気構成要素(例えば、コンデンサ、インダクタなど)の種類、および電気構成要素を互いに結合させる様式(例えば、直列、並列など)に関する信号を含む。別の例として、インピーダンスマッチング回路114は、ハードウェア電気構成要素と、電気構成要素間のハードウェア接続とを含み、インピーダンスマッチングモデル104は、ハードウェア電気構成要素とハードウェア接続のソフトウェア表現を含む。さらに別の例として、インピーダンスマッチングモデル104は、ソフトウェアプログラムを使用して設計され、インピーダンスマッチング回路114は、プリント回路板に形成される。本明細書で使用する際、電気構成要素は、抵抗、コンデンサ、インダクタ、抵抗間の接続、インダクタ間の接続、コンデンサ間の接続、および/または抵抗、インダクタ、およびコンデンサの組合せの間の接続を含むことがある。
同様に、ケーブルモデル163とケーブル150は同様の特性を有し、ケーブルモデル165とケーブル152は同様の特性を有する。一例として、ケーブルモデル163のインダクタンスは、ケーブル150のインダクタンスと同じである。別の例として、ケーブルモデル163は、ケーブル150のコンピュータ生成モデルであり、ケーブルモデル165は、ケーブル152のコンピュータ生成モデルである。
同様に、RF伝送モデル161とRF伝送線路113は同様の特性を有する。例えば、RF伝送モデル161は、RF伝送線路113内部と同数の抵抗、コンデンサ、および/またはインダクタを有し、それらの抵抗、コンデンサおよび/または、インダクタは、RF伝送線路113内部と同じ様式(例えば、直列、並列など)で互いに接続される。さらなる例示として、RF伝送線路113が、インダクタに並列に結合されたコンデンサを含むとき、RF伝送モデル161も、インダクタに並列に結合されたコンデンサを含む。さらに別の例として、RF伝送線路113は、1つまたは複数の電気構成要素を含み、RF伝送モデル161は、RF伝送線路113の設計(例えばコンピュータ生成モデル)を含む。
いくつかの実施形態では、RF伝送モデル161は、要素(例えば、コンデンサ、インダクタ、抵抗、それらの組合せなど)の特性(例えば、静電容量、抵抗値、インダクタンス、それらの組合せなど)の計算と、要素間の接続(例えば、直列、並列など)の決定とを含むコンピュータ生成インピーダンス変換である。
電圧および電流プローブ110からケーブル191を介して受信された複素電圧および電流と、インピーダンスマッチングモデル104内部の要素(例えば、インダクタ、コンデンサなど)の特性(例えば、静電容量、インダクタンスなど)とに基づいて、ホストシステム130の処理装置は、インピーダンスマッチングモデル104の出力(例えばモデルノードN4m)での複素電圧および電流V、I、およびφ(例えば第2の複素電圧および電流)を計算する。モデルノードN4mでの複素電圧および電流は、ホストシステム130の記憶HU162および/または別の記憶HU(例えば、コンパクトディスク、フラッシュメモリなど)に記憶される。複素V、I、およびφは、電圧の大きさV、電流の大きさI、および電圧と電流の間の位相φを含む。
インピーダンスマッチングモデル104の出力は、記憶ハードウェアユニット162に記憶されているRF伝送モデル161の入力に結合される。また、インピーダンスマッチングモデル104は、ノードN3で測定された複素電圧および電流を受信するために使用される入力(例えばノードN3m)を有する。
RF伝送モデル161は、部分173と、別の部分197と、出力N2mとを含み、出力N2mは、ESCモデル125を介してモデルノードN6mに結合される。ESCモデル125は、ESC177のモデルである。例えば、ESCモデル125は、ESC177の特性と同様の特性を有する。例えば、ESCモデル125は、ESC177のものと同じインダクタンス、静電容量、抵抗、またはそれらの組合せを有する。
部分173の入力は、RF伝送モデル161の入力である。部分173の出力は、部分197の入力に結合される。部分17は、部分169の特性と同様の特性を有し、部分197は、部分195の特性と同様の特性を有する。
モデルノードN4mで測定された複素電圧および電流に基づいて、ホストシステム130の処理装置は、RF伝送モデル161の部分173の出力(例えばモデルノードN1m)での複素電圧および電流V、I、およびφ(例えば第3の複素電圧および電流)を計算する。モデルノードN1mでの複素電圧および電流は、ホストシステム130の記憶HU162および/または別の記憶HU(例えば、コンパクトディスク、フラッシュメモリなど)に記憶される。
いくつかの実施形態では、第3の複素電圧および電流を決定する代わりに、またはそれに加えて、ホストシステム130の処理装置は、インピーダンスマッチングモデル104の出力での複素電圧および電流と、RF伝送モデル161の入力と部分173内部の点との間の要素の特性とに基づいて、部分173内部の点(例えばノードなど)で、複素電圧および電流(例えば中間複素電圧および電流V、I、およびφ)を計算する。
様々な実施形態において、第3の複素電圧および電流を決定する代わりに、またはそれに加えて、ホストシステム130の処理装置は、インピーダンスマッチングモデル104の出力での複素電圧および電流と、RF伝送モデル161の入力と部分197内部の点との間の要素の特性とに基づいて、部分197内部の点(例えばノードなど)で、複素電圧および電流(例えば中間複素電圧および電流V、I、およびφ)を計算する。
いくつかの実施形態では、インピーダンスマッチングモデル104の出力での複素電圧および電流は、xMHzRF発生器の出力での複素電圧および電流、ケーブルモデル163の要素の特性、ならびにインピーダンスマッチングモデル104の特性に基づいて計算されることに留意すべきである。
さらに、2つの発生器がインピーダンスマッチング回路114に結合されて図示されているが、一実施形態では、任意の数のRF発生器(例えば、ただ1つの発生器、3つの発生器など)がインピーダンスマッチング回路を介してプラズマチャンバ175に結合されることに留意すべきである。例えば、2MHz発生器、27MHz発生器、および60MHz発生器を、インピーダンスマッチング回路を介してプラズマチャンバ175に結合させることができる。例えば、上述した実施形態は、ノードN3で測定された複素電圧および電流の使用に関して述べたが、様々な実施形態において、上述した実施形態は、ノードN5で測定された複素電圧および電流を使用することもできる。
図2は、RF伝送モデルの部分173(図1)の出力で複素電圧および電流を決定するための方法102の一実施形態の流れ図である。方法102は、ホストシステム130(図1)の処理装置によって実行される。操作106において、ノードN3で測定された複素電圧および電流(例えば第1の複素電圧および電流)が、記憶HU162(図1)の内部から識別される。例えば、第1の複素電圧および電流が電圧および電流プローブ110(図1)から受信されたことが確認される。別の例として、記憶HU162(図1)の内部に記憶されている電圧および電流プローブ110のアイデンティティに基づいて、第1の複素電圧および電流がそのアイデンティティに関連付けられることが確認される。
さらに、操作107において、インピーダンスマッチング回路114(図1)の電気構成要素に基づいてインピーダンスマッチングモデル104(図1)が生成される。例えば、インピーダンスマッチング回路114の電気構成要素間の接続と、電気構成要素の特性とが、ユーザによって、ホストシステム130に結合された入力ハードウェアユニットを介してホストシステム130の処理装置に提供される。接続および特性の受信後、処理装置は、インピーダンスマッチング回路114の電気構成要素の特性と同じ特性を有する要素を生成し、また、電気構成要素間の接続と同じ接続機構を有する要素間の接続を生成する。
インピーダンスマッチングモデル104の入力(例えばノードN3m)が、第1の複素電圧および電流を受信する。例えば、ホストシステム130の処理装置は、第1の複素電圧および電流に記憶HU162からアクセスし(例えば読み取り)、第1の複素電圧および電流を処理するために、インピーダンスマッチングモデル104の入力に第1の複素電圧および電流を提供する。
操作116において、インピーダンスマッチングモデル104の出力での第2の複素電圧および電流を決定するために、第1の複素電圧および電流が、インピーダンスマッチングモデル104の入力(例えばノードN3m(図1))から、インピーダンスマッチングモデル104(図1)の1つまたは複数の要素を介して、インピーダンスマッチングモデル104の出力(例えばノードN4m(図1))に伝播される。例えば、図3Bを参照すると、2MHzRF発生器がオンである(例えば、動作可能である、電源を投入される、プラズマシステム126のデバイス(例えばインピーダンスマッチング回路104など)に結合される)とき、コンデンサ253の静電容量と、コンデンサC5の静電容量と、入力255で受信された第1の複素電圧および電流とに基づいて、ノード251(例えば中間ノード)での複素電圧および電流Vx1、Ix1、およびφx1(例えば、電圧の大きさVx1、電流の大きさIx1、および複素電圧と電流の間の位相φx1を含む中間複素電圧および電流)が決定される。さらに、複素電圧および電流Vx1、Ix1、およびφx1と、インダクタL3のインダクタンスとに基づいて、ノード257での複素電圧および電流Vx2、Ix2、およびφx2が決定される。複素電圧および電流Vx2、Ix2、およびφx2は、電圧の大きさVx2、電流の大きさIx2、および電圧と電流の間の位相φx2を含む。27MHzRF発生器と60MHzRF発生器がオフである(例えば、動作可能でない、電源を遮断される、インピーダンスマッチング回路104から結合を切り離される)とき、複素電圧および電流V2、I2、およびφ2は、出力259での第2の複素電圧および電流となるように決定され、出力259は、インピーダンスマッチングモデル104(図1)の出力(例えばモデルノードN4m(図1))の一例である。複素電圧および電流V2、I2、およびφ2は、複素電圧および電流Vx2、Ix2、およびφx2と、インダクタL2のインダクタンスとに基づいて決定される。複素電圧および電流V2、I2、およびφ2は、電圧の大きさV2、電流の大きさI2、および電圧と電流の間の位相φ2を含む。
同様に、27MHzRF発生器がオンであり、2MHzおよび60MHzRF発生器がオフであるとき、ノード261で受信された複素電圧および電流と、インダクタLPF2、コンデンサC3、コンデンサC4、およびインダクタL2の特性とに基づいて、出力259での複素電圧および電流V27、I27、およびφ27が決定される。複素電圧および電流V27、I27、およびφ27は、電圧の大きさV27、電流の大きさI27、および電圧と電流の間の位相φ27を含む。ノード261で受信される複素電圧および電流は、ノードN5(図1)で測定された複素電圧および電流と同じである。2MHz発生器と27MHzRF発生器がどちらもオンであり、60MHzRF発生器がオフであるとき、複素電圧および電流V2、I2、φ2、V27、I27、およびφ27が、第2の複素電圧および電流の一例である。さらに、同様に、60MHzRF発生器がオンであり、2MHzおよび27MHzRF発生器がオフであるとき、ノード265で受信された複素電圧および電流と、インダクタLPF1、コンデンサC1、コンデンサC2、インダクタL4、コンデンサ269、およびインダクタL1の特性とに基づいて、出力259での複素電圧および電流V60、I60、およびφ60が決定される。複素電圧および電流V60、I60、およびφ60は、電圧の大きさV60、電流の大きさI60、および電圧と電流の間の位相φ60を含む。2MHz発生器、27MHzRF発生器、および60MHzRF発生器がオンであるとき、複素電圧および電流V2、I2、φ2、V27、I27、φ27、V60、I60、およびφ60が、第2の複素電圧および電流の一例である。
操作117において、RF伝送モデル161(図1)は、RF伝送線路113(図1)の電気構成要素に基づいて生成される。例えば、RF伝送線路113の電気構成要素間の接続と、電気構成要素の特性とが、ユーザによって、ホストシステム130に結合された入力デバイスを介してホストシステム130の処理装置に提供される。接続および特性の受信後、処理装置は、RF伝送線路113の電気構成要素の特性と同じ特性を有する要素を生成し、また、電気構成要素間の接続と同じ要素間の接続を生成する。
操作119において、RF伝送モデルの部分173の出力で第3の複素電圧および電流を決定するために、第2の複素電圧および電流が、RF伝送モデル161の入力から、RF伝送モデルの部分173の1つまたは複数の要素を介して、RF伝送モデルの部分173の出力(例えばモデルノードN1m(図1))に伝播される。例えば、図5Bを参照すると、2MHzRF発生器がオンであり、27MHz発生器と60MHzRF発生器がオフであるとき、インダクタLtunnelのインダクタンスと、コンデンサCtunnelの静電容量と、複素電圧および電流V2、I2、およびφ2(図3B)(これは、第2の複素電圧および電流の一例である)とに基づいて、複素電圧および電流Vx4、Ix4、およびφx4、(例えば、ノード293(例えば中間ノード)での中間複素電圧および電流)が決定される。Ltunnelは、RFトンネルのコンピュータ生成モデルのインダクタンスであり、Ctunnelは、RFトンネルモデルの静電容量であることに留意すべきである。さらに、複素電圧および電流Vx4、Ix4、およびφx4と、インダクタLstrapのインダクタンスとに基づいて、トンネルおよびストラップモデル210の出力297での複素電圧および電流V21、I21、およびφ21が決定される。出力297は、部分173(図1)の出力(例えばモデルノードN1m(図1))の一例である。Lstrapは、RFストラップのコンピュータ生成モデルのインダクタンスであることに留意すべきである。2MHzRF発生器がオンであり、27MHzRF発生器と60MHzRF発生器がオフであるとき、複素電圧および電流V21、I21、およびφ21は、出力297での第3の複素電圧および電流となるように決定される。
同様に、27MHzRF発生器がオンであり、2MHzRF発生器と60MHzRF発生器がオフであるとき、出力259での複素電圧および電流V27、I27、φ27(図3B)と、インダクタLtunnel、コンデンサCtunnel、およびインダクタLstrapの特性とに基づいて、出力297での複素電圧および電流V271、I271、およびφ271が決定される。2MHzRF発生器と27MHzRF発生器がどちらもオンであり、60MHzRF発生器がオフであるとき、複素電圧および電流V21、I21、φ21、V271、I271、およびφ271が、第3の複素電圧および電流の一例である。
さらに、同様に、60MHzRF発生器が電源を投入され、2MHzRF発生器と27MHzRF発生器が電源を遮断されているとき、ノード259で受信された複素電圧および電流V60、I60、φ60(図3B)と、インダクタLtunnel、コンデンサCtunnel、およびインダクタLstrapの特性とに基づいて、出力297での複素電圧および電流V601、I601、およびφ601が決定される。2MHzRF発生器、27MHzRF発生器、および60MHzRF発生器がオンであるとき、複素電圧および電流V21、I21、φ21、V271、I271、φ271、V601、I601、およびφ601が、第3の複素電圧および電流の一例である。操作119の後、方法102は終了する。
図3Aは、インピーダンスマッチング回路122を例示するために使用されるシステム123の一実施形態のブロック図である。インピーダンスマッチング回路122は、インピーダンスマッチング回路114(図1)の一例である。インピーダンスマッチング回路122は、電気構成要素間の直列接続、および/または電気構成要素間の並列接続を含む。
図3Bは、インピーダンスマッチングモデル172の一実施形態の回路図である。インピーダンスマッチングモデル172は、インピーダンスマッチングモデル104(図1)の一例である。図示されるように、インピーダンスマッチングモデル172は、静電容量C1〜C9を有するコンデンサと、インダクタンスLPF1、LPF2、およびL1〜L4を有するインダクタとを含む。図3Bにおけるインダクタおよび/またはコンデンサが互いに結合される様式は一例であることに留意すべきである。例えば、図3Bに示されるインダクタおよび/またはコンデンサは、互いに直列および/または並列様式で結合させることができる。また、いくつかの実施形態では、インピーダンスマッチングモデル172は、図3Bに示されるものとは異なる数のコンデンサ、および/または異なる数のインダクタを含む。
図4は、RF伝送線路181(これは、RF伝送線路113(図1)の一例である)を例示するために使用されるシステム178の一実施形態の図である。RF伝送線路181は、シリンダ148(例えばトンネル)を含む。シリンダ148の中空空間内部に、絶縁体190とRFロッド142が位置する。シリンダ148とRFロッド142の組合せは、RF伝送線路113(図1)の部分169(図1)の一例である。RF伝送線路181は、ボルトB1、B2、B3、およびB4によってインピーダンスマッチング回路114とボルト留めされる。一実施形態では、RF伝送線路181は、任意の数のボルトによってインピーダンスマッチング回路114とボルト留めされる。いくつかの実施形態では、RF伝送線路181をインピーダンスマッチング回路114に取り付けるために、ボルトの代わりに、またはボルトに加えて、任意の他の形態の取付材(例えば、接着剤、ねじなど)が使用される。
RF伝送ロッド142は、インピーダンスマッチング回路114の出力に結合される。また、RFストラップ144(RFスプーンとしても知られている)が、RFロッド142およびRFロッド199と結合され、RFロッド199の一部が、支持体146(例えばシリンダ)内部に位置される。RFロッド199を含む支持体146は、部分195(図1)の一例である。一実施形態では、シリンダ148、RFロッド142、RFストラップ144、支持体146、およびRFロッド199の組合せが、RF伝送線路181(これは、RF伝送線路113(図1)の一例である)を形成する。支持体146は、プラズマチャンバに対する支持を提供する。支持体146は、プラズマチャンバのESC177に取り付けられる。RF信号は、xMHz発生器から、ケーブル150、インピーダンスマッチング回路114、RFロッド142、RFストラップ144、およびRFロッド199を介して、ESC177に供給される。
一実施形態では、ESC177は、加熱要素と、加熱要素の上にある電極とを含む。一実施形態では、ESC177は、加熱要素と、下側電極とを含む。一実施形態では、ESC177は、下側電極と、下側電極に形成された穴の内部に埋め込まれた加熱要素(例えばコイルワイヤなど)とを含む。いくつかの実施形態では、電極は、金属(例えば、アルミニウム、銅など)からなる。RF伝送線路181が、ESC177の下側電極にRF信号を供給することに留意すべきである。
図5Aは、RF伝送線路113(図1)の回路モデル176を例示するために使用されるシステム171の一実施形態のブロック図である。例えば、回路モデル176は、インダクタおよび/またはコンデンサ、インダクタ間の接続、コンデンサ間の接続、および/またはインダクタとコンデンサとの間の接続を含む。接続の例は、直列接続および/または並列接続を含む。回路モデル176は、RF伝送モデル161(図1)の一例である。
図5Bは、RF伝送モデル161(図1)の部分173(図1)の一例であるトンネルおよびストラップモデル210を例示するために使用される電気回路180の一実施形態の図である。電気回路180は、インピーダンスマッチングモデル172と、トンネルおよびストラップモデル210とを含む。トンネルおよびストラップモデル210は、インダクタLtunnelおよびLstrapuと、コンデンサCtunnelとを含む。インダクタLtunnelは、シリンダ148(図4)およびRFロッド142のインダクタンスを表し、コンデンサCtunnelは、シリンダ148およびRFロッド142の静電容量を表すことに留意すべきである。さらに、インダクタLstrapは、RFストラップ144(図4)のインダクタンスを表す。
一実施形態では、トンネルおよびストラップモデル210は、任意の数のインダクタおよび/または任意の数のコンデンサを含む。この実施形態では、トンネルおよびストラップモデル210は、コンデンサを別のコンデンサに結合する、コンデンサをインダクタに結合する、および/またはインダクタを別のインダクタに結合する任意の様式(例えば、直列、並列など)を含む。
図5Cは、RF伝送モデル161(図1)の部分173(図1)の一例であるトンネルおよびストラップモデル302を例示するために使用される電気回路300の一実施形態の図である。トンネルおよびストラップモデル302は、出力259を介してインピーダンスマッチングモデル172に結合される。トンネルおよびストラップモデル302は、20nH(ナノヘンリ)のインダクタンスを有するインダクタと、15pF(ピコファラド)、31pF、15.5pF、および18.5pFの静電容量を有するコンデンサとを含む。トンネルおよびストラップモデル302は、ノード304を介してRFシリンダに結合され、RFシリンダは、ESC177(図1)に結合される。RFシリンダは、部分195(図1)の一例である。
いくつかの実施形態では、トンネルおよびストラップモデル302のインダクタおよびコンデンサが他の値を有することに留意すべきである。例えば、20nHのインダクタは、15〜20nHの間、または20〜25nHの間の範囲内のインダクタンスを有する。別の例として、トンネルおよびストラップモデル302の2つ以上のインダクタが異なるインダクタンスを有する。さらに別の例として、15pFコンデンサは、8pF〜25pFの間の範囲内の静電容量を有し、31pFコンデンサは、15pF〜45pFの間の範囲内の静電容量を有し、15.5pFコンデンサは、9pF〜20pFの間の範囲内の静電容量を有し、18.5pFコンデンサは、10pF〜27pFの間の範囲内の静電容量を有する。
様々な実施形態において、任意の数のインダクタンスが、トンネルおよびストラップモデル302に含まれ、任意の数のコンデンサが、トンネルおよびストラップモデル302に含まれる。
図6は、シリンダおよびESCモデル312を例示するために使用される電気回路310の一実施形態の図であり、電気回路310は、インダクタ31とコンデンサ316との組合せである。シリンダおよびESCモデル312は、シリンダモデルと、ESCモデル125(図1)の一例であるESCモデルとを含む。シリンダモデルは、RF伝送モデル161(図1)の部分197(図1)の一例である。シリンダおよびESCモデル312は、部分195とESC177(図1)の組合せの特性と同様の特性を有する。例えば、シリンダおよびESCモデル312は、部分195とESC177の組合せの抵抗と同じ抵抗を有する。別の例として、シリンダおよびESCモデル312は、部分195とESC177の組合せのインダクタンスと同じインダクタンスを有する。さらに別の例として、シリンダおよびESCモデル312は、部分195とESC177の組合せの静電容量と同じ静電容量を有する。さらに別の例として、シリンダおよびESCモデル312は、部分195とESC177の組合せと同じインダクタンス、抵抗、静電容量、またはそれらの組合せを有する。
シリンダおよびESCモデル312は、ノード318を介してトンネルおよびストラップモデル302に結合される。ノード318は、モデルノードN1m(図1)の一例である。
いくつかの実施形態では、44mH(ミリヘンリ)以外のインダクタンスを有するインダクタがシリンダおよびESCモデル312で使用されることに留意すべきである。例えば、35mH〜43.9mHまたは45.1mH〜55mHの範囲内のインダクタンスを有するインダクタが使用される。様々な実施形態において、550pF以外の静電容量を有するコンデンサが使用される。例えば、550pFコンデンサではなく、250〜550pFの間、または550〜600pFの間の範囲内の静電容量を有するコンデンサが使用される。
ホストシステム130(図1)の処理装置は、モデル172と、トンネルおよびストラップモデル302と、シリンダおよびESCモデル312との組合せの複合インピーダンス(例えば総インピーダンスなど)を計算する。モデルノード318で決定された複合インピーダンスと複素電圧および電流とは、ノードN6mでの複素電圧およびインピーダンスを計算するために、ホストシステム130の処理装置によって入力として使用される。シリンダおよびESCモデル312の出力がモデルノードN6mであることに留意すべきである。
図7は、変数を決定するために使用されるシステム200の一実施形態のブロック図である。システム200は、プラズマチャンバ135を含み、プラズマチャンバ135はさらに、ESC201を含み、入力285を有する。プラズマチャンバ135は、プラズマチャンバ175(図1)の一例であり、ESC201は、ESC177(図1)の一例である。ESC201は、加熱要素198を含む。また、ESC201は、エッジリング(ER)194によって取り囲まれる。ER194は、加熱要素196を含む。一実施形態では、ER194は、ESC201によって支持されたワークピース131の縁部付近での均一なエッチングレート、およびエッチングレートドリフト減少を容易にする。
電源206は、フィルタ208を介して加熱要素196に電力を供給して、加熱要素196を加熱し、電源204は、フィルタ202を介して加熱要素198に電力を供給して、加熱要素198を加熱する。一実施形態では、単一の電源が、加熱要素196と198の両方に電力を提供する。フィルタ208は、電源206から受信された電力信号の所定の周波数をフィルタ除去し、フィルタ202は、電源204から受信された電力信号の所定の周波数をフィルタ除去する。
加熱要素198は、電源204から受信された電力信号によって加熱されて、ESC201の電極を所望の温度で維持し、さらに、プラズマチャンバ135内部の環境を所望の温度で維持する。さらに、加熱要素196は、電源206から受信された電力信号によって加熱されて、ER194を所望の温度で維持し、さらに、プラズマチャンバ135内部の環境を所望の温度で維持する。
一実施形態では、ER194およびESC201が任意の数の加熱要素および任意のタイプの加熱要素を含むことに留意すべきである。例えば、ESC201は、誘導加熱要素または金属プレートを含む。一実施形態では、プラズマチャンバ135を所望の温度で維持するために、ESC201とER194はそれぞれ、例えば冷水の通過を可能にする1つまたは複数の管など1つまたは複数の冷却要素を含む。
さらに、一実施形態では、システム200が任意の数のフィルタを含むことに留意すべきである。例えば、電源204および206は、単一のフィルタを介してESC201およびER194に結合される。
図8Aは、変数の精度を改良するためのフィルタ202および208(図7)のモデルを例示するために使用されるシステム217の一実施形態の図である。システム217は、シリンダモデル211を介してモデル216に結合されたトンネルおよびストラップモデル210を含み、モデル216は、フィルタ202および208のコンデンサ、および/またはインダクタ、およびそれらの間の接続を含む。モデル216は、記憶HU162(図1)および/または他の記憶HUの内部に記憶されている。モデル216のコンデンサおよび/またはインダクタは、例えば、並列式、直列式、それらの組合せなどの様式で互いに結合される。モデル216は、フィルタ202および208の静電容量および/またはインダクタンスを表す。
さらに、システム217は、シリンダモデル211を含み、シリンダモデル211は、RFロッド199(図4)および支持体146(図4)のコンピュータ生成モデルである。シリンダモデル211は、RFロッド199および支持体146の電気構成要素の特徴と同様の特徴を有する。シリンダモデル211は、1つまたは複数のコンデンサ、1つまたは複数のインダクタ、インダクタ間の接続、コンデンサ間の接続、および/またはコンデンサとインダクタの組合せの間の接続を含む。
ホストシステム130(図1)の処理装置は、モデル216と、トンネルおよびストラップモデル210と、シリンダモデル211との複合インピーダンス(例えば総インピーダンスなど)を計算する。複合インピーダンスは、ノードN2mでの複素電圧およびインピーダンスを提供する。ノードN2mでの変数を決定する際にモデル216とトンネルおよびストラップモデル21を含むことによって、変数の精度が改良される。モデル216の出力がモデルノードN2mであることに留意すべきである。
図8Bは、変数の精度を改良するためのフィルタ202および208(図7)のモデルを例示するために使用されるシステム219の一実施形態の図である。システム219は、トンネルおよびストラップモデル210と、トンネルおよびストラップモデル210に並列に結合されたモデル218とを含む。モデル218は、モデル216(図8A)の一例である。モデル218は、インダクタLfilterを含み、インダクタLfilterは、フィルタ202と208の複合インダクタンスを表す。さらに、モデル218は、コンデンサCfilterを含み、コンデンサCfilterは、フィルタ202と208の指定の複合静電容量を表す。
図9は、RF発生器220の出力231での変数を測定するために電圧および電流プローブ238を使用するためのシステム236の一実施形態のブロック図である。出力231は、ノードN3(図1)またはノードN5(図1)の一例である。RF発生器220は、xMHz発生器またはyMHz発生器(図1)の一例である。ホストシステム130は、2つ以上の状態を有するデジタルパルス信号213を発生して、デジタル信号処理装置(DSP)226に提供する。一実施形態では、デジタルパルス信号213は、トランジスタ−トランジスタ論理(TTL)信号である。状態の例は、オン状態とオフ状態、デジタル値1を有する状態とデジタル値0を有する状態、ハイ状態とロー状態などを含む。
別の実施形態では、ホストシステム130ではなく、クロック振動子(例えば水晶振動子など)が、アナログクロック信号を発生するために使用され、アナログクロック信号は、アナログ−デジタル変換器によって、デジタルパルス信号213と同様のデジタル信号に変換される。
デジタルパルス信号213は、DSP226に送信される。DSP226は、デジタルパルス信号213を受信し、デジタルパルス信号213の状態を識別する。例えば、DSP226は、デジタルパルス信号213が、第1の組の期間中に、第1の大きさ(例えば、値1、ハイ状態の大きさなど)を有し、第2の組の期間中に、第2の大きさ(例えば、値0、ロー状態の大きさなど)を有することを確認する。DSP226は、デジタルパルス信号213が第1の組の期間中に状態S1を有し、第2の組の期間中に状態S0を有することを確認する。状態S0の例は、ロー状態、値0を有する状態、およびオフ状態を含む。状態S1の例は、ハイ状態、値1を有する状態、およびオン状態を含む。さらに別の例として、DSP226は、デジタルパルス信号213の大きさを予め記憶されている値と比較して、デジタルパルス信号213の大きさが、第1の組の期間中には、予め記憶されている値よりも大きく、デジタルパルス信号213の状態S0中の大きさは、第2の組の期間中には、予め記憶されている値以下であることを確認する。クロック振動子が使用される実施形態では、DSP226は、クロック振動子からアナログクロック信号を受信し、アナログ信号をデジタル形態に変換し、次いで2つの状態S0とS1を識別する。
状態がS1として識別されるとき、DSP226は、電力値P1および/または周波数値F1をパラメータ制御機能222に提供する。さらに、状態がS0として識別されるとき、DSP226は、電力値P0および/または周波数値F0をパラメータ制御機能224に提供する。周波数を同調させるために使用されるパラメータ制御機能の一例は、自動周波数同調器(AFT)を含む。
パラメータ制御機能222、パラメータ制御機能224、およびDSP226が、制御システム187の一部であることに留意すべきである。例えば、パラメータ制御機能222とパラメータ制御機能224は、論理ブロック(例えば同調ループなど)であり、これらの論理ブロックは、DSP226によって実行されるコンピュータプログラムの一部である。いくつかの実施形態では、コンピュータプログラムは、非一時的なコンピュータ可読媒体(例えば記憶HU)の内部に具現化される。
一実施形態では、パラメータ制御機能の代わりに、制御装置(例えば、ハードウェア制御装置、ASIC、PLDなど)が使用される。例えば、パラメータ制御機能222の代わりにハードウェア制御装置が使用され、パラメータ制御機能224の代わりに別のハードウェア制御装置が使用される。
電力値P1および/または周波数値F1を受信した後、パラメータ制御機能222は、電力値P1および/または周波数値F1を、ドライブおよび増幅器システム(DAS)232のドライバ228に提供する。ドライバの例は、電力ドライバ、電流ドライバ、電圧ドライバ、トランジスタなどを含む。ドライバ228は、電力値P1および/または周波数値F1を有するRF信号を発生し、そのRF信号をDAS232の増幅器230に提供する。
一実施形態では、ドライバ228は、電力値P1の関数であるドライブ電力値を有する、および/または周波数値F1の関数であるドライブ周波数値を有するRF信号を発生する。例えば、ドライバ電力値は、電力値P1の数ワット(例えば1〜5ワットなど)以内であり、ドライブ周波数値は、周波数値F1の数Hz(例えば1〜5Hzなど)以内である。
増幅器230は、電力値P1および/または周波数値F1を有するRF信号を増幅し、ドライバ228から受信されるRF信号に対応するRF信号215を発生する。例えば、RF信号215は、電力値P1の電力量よりも高い電力量を有する。別の例として、RF信号215は、電力値P1の電力量と同じ電力量を有する。RF信号215は、ケーブル223およびインピーダンスマッチング回路114を介してESC177(図1)に伝送される。
ケーブル223は、ケーブル150またはケーブル152(図1)の一例である。例えば、RF発生器220が、xMHzRF発生器(図1)の一例であるとき、ケーブル223は、ケーブル150の一例であり、RF発生器220が、yMHzRF発生器(図1)の一例であるとき、ケーブル223は、ケーブル152の一例である。
電力値P1および/または周波数値F1がパラメータ制御機能222によってDAS232に提供され、RF信号215が発生されるとき、電圧および電流プローブ238は、ケーブル223に結合された出力231での変数の値を測定する。電圧および電流プローブ238は、電圧および電流プローブ110または電圧および電流プローブ111(図1)の一例である。電圧および電流プローブ238は、通信デバイス233を介してホストシステム130に変数の値を送信し、ホストシステム130が、本明細書で述べる方法102(図)ならびに方法340、351、および363(図13、15、および17)を実行する。通信デバイス233は、通信デバイス185または189(図1)の一例である。通信デバイス233は、プロトコル(例えば、Ethernet(登録商標)、EtherCAT、USB、シリアル、パラレル、パケット化、逆パケット化など)を適用して、電圧および電流プローブ238からホストシステム130にデータを伝送する。様々な実施形態において、ホストシステム130は、通信デバイス233によって適用されるプロトコルを適用する通信デバイスを含む。例えば、通信233がパケット化を適用するとき、ホストシステム130の通信デバイスは、逆パケット化を適用する。別の例として、通信デバイス233がシリアル転送プロトコルを適用するとき、ホストシステム130の通信デバイスは、シリアル転送プロトコルを適用する。
同様に、電力値P0および/または周波数値F0を受信すると、パラメータ制御機能224は、電力値P0および/または周波数値F0をドライバ228に提供する。ドライバ228は、電力値P0および/または周波数値F0を有するRF信号を発生して、そのRF信号を増幅器230に提供する。
一実施形態では、ドライバ228は、電力値P0の関数であるドライブ電力値を有する、および/または周波数値F0の関数であるドライブ周波数値を有するRF信号を発生する。例えば、ドライバ電力値は、電力値P0の数ワット(例えば1〜5ワット)以内であり、ドライブ周波数値は、周波数値F0の数Hz(例えば1〜5Hz)以内である。
増幅器230は、電力値P0および/または周波数値F0を有するRF信号を増幅し、ドライバ228から受信されるRF信号に対応するRF信号221を発生する。例えば、RF信号221は、電力値P0の電力量よりも高い電力量を有する。別の例として、RF信号221は、電力値P0の電力量と同じ電力量を有する。RF信号221は、ケーブル223およびインピーダンスマッチング回路114を介してESC177(図)に伝送される。
電力値P0および/または周波数値F0がパラメータ制御機能22によってDAS232に提供され、RF信号221が発生されるとき、電圧および電流プローブ238は、出力231での変数の値を測定する。電圧および電流プローブ238は、ホストシステム130に変数の値を送信し、ホストシステム130が、方法102(図2)、方法340(図13)、方法351(図15)、または方法363(図17)を実行する。
一実施形態では、電圧および電流プローブ238がDSP226から結合を切り離されることに留意すべきである。いくつかの実施形態では、電圧および電流プローブ238がDSP226に結合される。さらに、状態S1中に発生されるRF信号215、および状態S0中に発生されるRF信号221が、複合RF信号の一部であることに留意すべきである。例えば、RF信号215は、複合RF信号の一部分であり、RF信号215は、複合RF信号の別の部分であるRF信号221よりも高い電力量を有する。
図10は、システム250の一実施形態のブロック図であり、このシステム250では、電圧および電流プローブ238と、通信デバイス233とが、RF発生器220の外部に位置されている。図1では、電圧および電流プローブ110は、xMHzRF発生器内部に位置されて、xMHzRF発生器の出力で変数を測定する。電圧および電流プローブ238は、RF発生器220の外部に位置されて、RF発生器220の出力231で変数を測定する。電圧および電流プローブ238は、RF発生器220の出力231に関連付けられる(例えば結合される)。
図11は、図1のシステム126を使用して決定される変数の値が使用されるシステム128の一実施形態のブロック図である。システム128は、mMHzRF発生器と、nMHzRF発生器と、インピーダンスマッチング回路115と、RF伝送線路287と、プラズマチャンバ134とを含む。プラズマチャンバ134は、プラズマチャンバ175と同様でよい。
一実施形態では、図のxMHzRF発生器がmMHzRF発生器と同様であり、図のyMHzRF発生器がnMHzRF発生器と同様であることに留意すべきである。一例として、xMHzはmMHzに等しく、yMHzはnMHzに等しい。別の例として、xMHz発生器とmMHz発生器が同様の周波数を有し、yMHz発生器とnMHz発生器が同様の周波数を有する。同様の周波数の一例は、xMHzが、mMHz周波数の窓(例えばkHzまたはHz)内にあるときである。いくつかの実施形態では、図のxMHzRF発生器はmMHzRF発生器と同様でなく、図のyMHzRF発生器はnMHzRF発生器と同様でない。
さらに、様々な実施形態において、mMHzおよびnMHzRF発生器それぞれにおいて、xMHzRF発生器とyMHzRF発生器それぞれで使用されるものとは異なるタイプのセンサが使用されることに留意されたい。例えば、NIST標準に適合しないセンサが、mMHzRF発生器で使用される。別の例として、電圧のみを測定する電圧センサが、mMHzRF発生器で使用される。
一実施形態では、インピーダンスマッチング回路115がインピーダンスマッチング回路114(図1)と同様であることにさらに留意すべきである。例えば、インピーダンスマッチング回路114のインピーダンスは、インピーダンスマッチング回路115のインピーダンスと同じである。別の例として、インピーダンスマッチング回路115のインピーダンスは、インピーダンスマッチング回路114のインピーダンスの窓(例えば10〜20%)内である。いくつかの実施形態では、インピーダンスマッチング回路115は、インピーダンスマッチング回路114と同様ではない。
インピーダンスマッチング回路115は、電気構成要素(例えば、インダクタ、コンデンサなど)を含み、インピーダンスマッチング回路115に結合された電源のインピーダンスを、回路115に結合された負荷のインピーダンスとマッチさせる。例えば、インピーダンスマッチング回路11は、インピーダンスマッチング回路11に結合された電源(例えば、mMHzRF発生器、nMHzRF発生器、ならびにmMHzRF発生器とnMHzRF発生器をインピーダンスマッチング回路11に結合させるケーブルなど)のインピーダンスを、負荷(例えば、プラズマチャンバ134とRF伝送線路287との組合せなど)のインピーダンスとマッチさせる。
一実施形態では、RF伝送線路287がRF伝送線路113(図1)と同様であることに留意すべきである。例えば、RF伝送線路287のインピーダンスは、RF伝送線路113のインピーダンスと同じである。別の例として、RF伝送線路287のインピーダンスは、RF伝送線路113のインピーダンスの窓(例えば10〜20%)内である。様々な実施形態において、RF伝送線路287は、RF伝送線路113と同様でない。
プラズマチャンバ134は、ESC192と、上側電極264と、他の部分(図示せず)とを含み、上記他の部分は、例えば、上側電極264を取り囲む上側誘電体リング、上側誘電体リングを取り囲む上側電極延長部、ESC192の下側電極を取り囲む下側誘電体リング、下側誘電体リングを取り囲む下側電極延長部、上側プラズマ排除区域(PEZ)リング、下側PEZリングなどである。上側電極264は、ESC192と向かい合わせに、ESC192に面して位置される。ワークピース262(例えば半導体ウェハなど)が、ESC192の上面263に支持される。上側電極264とESC192の下側電極とはそれぞれ、金属(例えば、アルミニウム、アルミニウム合金、銅など)からなる。
一実施形態では、上側電極264は、中央ガス供給部(図示せず)に結合された穴を含む。中央ガス供給部は、ガス供給源(図示せず)から1つまたは複数のプロセスガスを受け取る。上側電極264は接地される。ESC192は、インピーダンスマッチング回路115を介してmMHzRF発生器およびnMHzRF発生器に結合される。
プロセスガスが上側電極264とESC192との間に供給されるとき、ならびにmMHzRF発生器および/またはnMHzRF発生器がインピーダンスマッチング回路115を介してESC192に電力を供給するとき、プロセスガスが点火されて、プラズマチャンバ134内部でプラズマを発生する。
システム128は、インピーダンスマッチング回路115の出力283、RF伝送線路287上の一点、またはESC192での変数を測定するために、プローブ(例えば、計測ツール、電圧および電流プローブ、電圧プローブなど)を用いないことに留意すべきである。モデルノードN1m、N2m、N4m、およびN6mでの変数の値は、システム128が望み通りに機能しているかどうかを決定するために使用される。
様々な実施形態において、システム128は、ウェハバイアスセンサ(例えば、インサイチュ直流(DC)プローブピックアップピン、およびESC192でウェハバイアスを測定するために使用される関連のハードウェア)を用いない。ウェハバイアスセンサおよび関連のハードウェアを使用しないことで、費用の節約になる。
また、一実施形態では、システム128が、インピーダンスマッチング回路に結合された任意の数のRF発生器を含むことに留意すべきである。
図12A、図12B、および図12Cは、電圧プローブを使用することによってシステム126(図1)内部のインピーダンスマッチング回路114(図1)の出力(例えばノードN4)で測定された電圧(例えば平均平方(RMS)電圧、ピーク電圧など)と、方法102(図2)を使用して決定された対応するモデルノード出力(例えばノードN4m)での電圧(例えばピーク電圧など)との相関を例示するグラフ268、272、および275の実施形態の図である。さらに、図12A、図12、および図12は、電流プローブを使用することによってシステム126(図1)の出力(例えばノードN4)で測定された電流(例えば平均平方(RMS)電流など)と、方法102(図2)を使用して決定された対応する出力(例えばノードN4m)での電流(例えばRMS電流など)との相関を例示するグラフ270、274、および277の実施形態の図である。
方法102を使用して決定された電圧は、各グラフ268、272、および275のx軸上にプロットされ、電圧プローブを用いて測定された電圧は、各グラフ268、272、および275のy軸上にプロットされている。同様に、方法102を使用して決定された電流は、各グラフ270、274、および277のx軸上にプロットされ、電流プローブを用いて測定された電流は、各グラフ270、274、および277のy軸上にプロットされている。
グラフ268には、xMHzRF発生器がオンであり、yMHzRF発生器とzMHzRF発生器(例えば60MHzRF発生器)がオフであるときの電圧がプロットされている。さらに、グラフ272には、yMHzRF発生器がオンであり、xMHzRF発生器とzMHzRF発生器がオフであるときの電圧がプロットされている。また、グラフ275には、zMHzRF発生器がオンであり、xMHzRF発生器とyMHzRF発生器がオフであるときの電圧がプロットされている。
同様に、グラフ270には、xMHzRF発生器がオンであり、yMHzRF発生器とzMHzRF発生器がオフであるときの電流がプロットされている。また、グラフ274には、yMHzRF発生器がオンであり、xMHzRF発生器とzMHzRF発生器がオフであるときの電流がプロットされている。また、グラフ277には、zMHzRF発生器がオンであり、xMHzRF発生器とyMHzRF発生器がオフであるときの電流がプロットされている。
各グラフ268、272、および275で、グラフのy軸にプロットされた電圧とグラフのx軸にプロットされた電圧との間にほぼ線形の相関が存在することを見ることができる。同様に、各グラフ270、274、および277で、y軸にプロットされた電流とx軸にプロットされた電流との間にほぼ線形の相関が存在することを見ることができる。
図13は、プラズマシステム126(図1)のモデルノード(例えば、モデルノードN4m、モデルノードN1m、モデルノードN2m、モデルノードN6mなど)でウェハバイアスを決定するための方法340の一実施形態の流れ図である。いくつかの実施形態では、ウェハバイアスは、プラズマチャンバ175内部で発生されたプラズマによって生成される直流(DC)電圧であることに留意すべきである。これらの実施形態では、ウェハバイアスは、ESC177(図1)の表面(例えば上面183)上、および/またはワークピース131(図1)の表面(例えば上面)上に存在する。
さらに、モデルノードN1mおよびN2mは、RF伝送モデル161(図1)にあり、モデルノードN6mは、ESCモデル125(図1)にあることに留意すべきである。方法304は、ホストシステム130(図1)の処理装置によって実行される。方法340において、操作106が行われる。
さらに、操作341で、対応する1つまたは複数のデバイス(例えば、インピーダンスマッチング回路114、RF伝送線路113、ESC177、それらの組合せなど)の1つまたは複数のモデル(例えば、インピーダンスマッチングモデル104、RF伝送モデル161、ESCモデル125(図1)、それらの組合せなど)が生成される。例えば、ESCモデル125は、ESC177(図1)の特性と同様の特性を備えるように生成される。
操作343において、1つまたは複数のモデルの出力での複素電圧および電流を決定するために、操作106で識別された複素電圧および電流が、1つまたは複数のモデルの1つまたは複数の要素を介して伝播される。例えば、第2の複素電圧および電流が、第1の複素電圧および電流から決定される。別の例として、第2の複素電圧および電流が、第1の複素電圧および電流から決定され、第3の複素電圧および電流が、第2の複素電圧および電流から決定される。さらに別の例として、第2の複素電圧および電流が、第1の複素電圧および電流から決定され、第3の複素電圧および電流が、第2の複素電圧および電流から決定され、第3の複素電圧および電流は、モデルノードN2mでの第4の複素電圧および電流を決定するために、RF伝送モデル161(図1)の部分197を介して伝播される。この例では、部分197の要素のインピーダンスを介して第3の複素電圧および電流を伝播させることによって、第4の複素電圧および電流が決定される。さらに別の例として、RF伝送モデル161は、ホストシステム130の処理装置によって実行される代数伝達関数を提供して、1つまたは複数のRF発生器の1つまたは複数の出力で測定された複素電圧および電流を、RF伝送モデル161に沿って電気ノード(例えば、モデルノードN1m、モデルノードN2mなど)に変換する。
操作343の別の例として、第2の複素電圧および電流が、第1の複素電圧および電流から決定され、第3の複素電圧および電流が、第2の複素電圧および電流から決定され、第4の複素電圧および電流が、第3の複素電圧および電流から決定され、第4の複素電圧および電流は、モデルノードN6mで第5の複素電圧および電流を決定するために、ESCモデル125を介して伝播される。この例では、ESCモデル125の要素(例えば、コンデンサ、インダクタなど)のインピーダンスを介して第4の複素電圧および電流を伝播させることによって、第5の複素電圧および電流が決定される。
操作342で、出力での複素電圧および電流における電圧の大きさと、出力での複素電圧および電流における電流の大きさと、出力での複素電圧および電流の電力の大きさとに基づいて、1つまたは複数のモデルの出力でウェハバイアスが決定される。例えば、第2の複素電圧および電流の電圧の大きさと、第2の複素電圧および電流における電流の大きさと、第2の複素電圧および電流の電力の大きさとに基づいて、ウェハバイアスが決定される。さらなる例示として、xMHzRF発生器がオンであり、yMHzRF発生器とzMHzRF発生器がオフであるとき、ホストシステム130(図1)の処理装置は、モデルノードN4m(図1)でのウェハバイアスを、第1の積、第2の積、第3の積、および定数の和として決定する。この例示では、第1の積は、第1の係数と、第2の複素電圧および電流の電圧の大きさとの積であり、第2の積は、第2の係数と、第2の複素電圧および電流における電流の大きさとの積であり、第3の積は、第3の係数の平方根と、第2の複素電圧および電流の電力の大きさの平方根との積である。
一例として、電力の大きさは、送達される電力における電力の大きさであり、これは、ホストシステム130の処理装置によって、順電力と反射電力の差として決定される。順電力は、システム126(図1)の1つまたは複数のRF発生器によってプラズマチャンバ175(図1)に電力供給される。反射電力は、システム126(図1)の1つまたは複数のRF発生器に向けてプラズマチャンバ175から反射されて戻される電力である。一例として、複素電圧および電流の電力の大きさは、ホストシステム130の処理装置によって、複素電圧および電流における電流の大きさと、複素電圧および電流の電圧の大きさとの積として決定される。さらに、ウェハバイアスを決定するために使用される係数と定数はそれぞれ、正または負の数である。ウェハバイアスの決定の別の例として、xMHzRF発生器がオンであり、yMHzRF発生器とzMHzRF発生器がオフであるとき、モデルノードでのウェハバイアスは、ax*Vx+bx*Ix+cx*sqrt(Px)+dxと表され、ここで、「ax」は第1の係数であり、「bx」は第2の係数であり、「dx」は定数であり、「Vx」は、モデルノードでの複素電圧および電流の電圧の大きさであり、「Ix」は、モデルノードでの複素電圧および電流における電流の大きさであり、「Px」は、モデルノードでの複素電圧および電流の電力の大きさである。「sqrt」は、ホストシステム130の処理装置によって行われる平方根演算であることに留意すべきである。いくつかの実施形態では、電力の大きさPxは、電流の大きさIxと電圧の大きさVxとの積である。
様々な実施形態において、ウェハバイアスを決定するために使用される係数は、ホストシステム130(図1)の処理装置によって、投影法に基づいて決定される。投影法では、ウェハバイアスセンサ(例えばウェハバイアスピンなど)が、第1の時間に、ESC177の表面(例えば上面183(図1)など)上でウェハバイアスを測定する。さらに、投影法では、RF発生器の出力で測定された複素電圧および電流に基づいて、プラズマシステム126の内部のモデルノードで、電圧の大きさ、電流の大きさ、および電力の大きさが決定される。例えば、第1の時間にノードN3(図1)で測定された複素電圧および電流は、第1の時間にモデルノードでの複素電圧および電流を決定するために、ホストシステム130の処理装置によってモデルノード(例えば、モデルノードN4m、モデルノードN1m、モデルノードN2m、またはモデルノードN6m(図1)など)に伝播される。ホストシステム130の処理装置によって、第1の時間に、モデルノードでの複素電圧および電流から電圧の大きさおよび電流の大きさが抽出される。また、ホストシステム130の処理装置によって、第1の時間に、電流の大きさと電圧の大きさの積として電力の大きさが計算される。
同様に、この例では、1つまたは複数の追加の時間にノードN3で複素電圧および電流が測定され、測定された複素電圧および電流は、1つまたは複数の追加の時間にモデルノード(例えば、モデルノードN4m、モデルノードN1m、モデルノードN2m、モデルノードN6mなど)での複素電圧および電流を決定するために伝播される。また、1つまたは複数の追加の時間に決定された複素電圧および電流から、1つまたは複数の追加の時間に電圧の大きさ、電流の大きさ、および電力の大きさが抽出される。ホストシステム130の処理装置によって、係数ax、bx、cx、および定数dxを決定するために、第1の時間および1つまたは複数の追加の時間に得られた電圧の大きさ、電流の大きさ、電力の大きさ、および測定されたウェハバイアスに数学的関数(例えば、部分最小二乗、線形回帰など)が適用される。
操作342の別の例として、yMHzRF発生器がオンであり、xMHzRF発生器とzMHzRF発生器がオフであるとき、ウェハバイアスは、ay*Vy+by*Iy+cy*sqrt(Py)+dyとして決定され、ここで、「ay」は係数であり、「by」は係数であり、「dy」は定数であり、「Vy」は、第2の複素電圧および電流の電圧の大きさであり、「Iy」は、第2の複素電圧および電流における電流の大きさであり、「Py」は、第2の複素電圧および電流の電力の大きさである。電力の大きさPyは、電流の大きさIyと電圧の大きさVyの積である。操作342のさらに別の例として、zMHzRF発生器がオンであり、xMHzRF発生器とyMHzRF発生器がオフであるとき、ウェハバイアスは、az*Vz+bz*Iz+cz*sqrt(Pz)+dzとして決定され、ここで、「az」は係数であり、「bz」は係数であり、「dz」は定数であり、「Vz」は、第2の複素電圧および電流の電圧の大きさであり、「Iz」は、第2の複素電圧および電流における電流の大きさであり、「Pz」は、第2の複素電圧および電流の電力の大きさである。電力の大きさPzは、電流の大きさIzと電圧の大きさVzの積である。
操作342の別の例として、xMHzRF発生器とyMHzRF発生器がオンであり、zMHzRF発生器がオフであるとき、ウェハバイアスは、第1の積、第2の積、第3の積、第4の積、第5の積、第6の積、および定数の和として決定される。第1の積は、第1の係数と電圧の大きさVxとの積であり、第2の積は、第2の係数と電流の大きさIxとの積であり、第3の積は、第3の係数と、電力の大きさPxの平方根との積であり、第4の積は、第4の係数と電圧の大きさVyとの積であり、第5の積は、第5の係数と電流の大きさIyとの積であり、第6の積は、第6の係数と、電力の大きさPyの平方根との積である。xMHzRF発生器とyMHzRF発生器がオンであり、zMHzRF発生器がオフであるとき、ウェハバイアスは、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxyと表され、ここで、「axy」、「bxy」、「cxy」、「dxy」、「exy」、「fxy」、「dxy」、「exy」、および「fxy」は係数であり、「gxy」は定数である。
操作342の別の例として、yMHzRF発生器とzMHzRF発生器がオンであり、xMHzRF発生器がオフであるとき、ウェハバイアスは、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyzとして決定され、ここで、「ayz」、「byz」、「cyz」、「dyz」、「eyz」、および「fyz」は係数であり、「gyz」は定数である。操作342の別の例として、xMHzRF発生器とzMHzRF発生器がオンであり、yMHzRF発生器がオフであるとき、ウェハバイアスは、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxzとして決定され、ここで、「axz」、「bxz」、「cxz」、「dxz」、「exz」、および「fxz」は係数であり、「gxz」は定数である。
操作342の別の例として、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器がオンであるとき、ウェハバイアスは、第1の積、第2の積、第3の積、第4の積、第5の積、第6の積、第7の積、第8の積、第9の積、および定数の和として決定される。第1の積は、第1の係数と電圧の大きさVxとの積であり、第2の積は、第2の係数と電流の大きさIxとの積であり、第3の積は、第3の係数と、電力の大きさPxの平方根との積であり、第4の積は、第4の係数と電圧の大きさVyとの積であり、第5の積は、第5の係数と電流の大きさIyとの積であり、第6の積は、第6の係数と、電力の大きさPyの平方根との積であり、第7の積は、第7の係数と電圧の大きさVzとの積であり、第8の積は、第8の係数と電流の大きさIzとの積であり、第9の積は、第9の係数と、電力の大きさPzの平方根との積である。xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器がオンであるとき、ウェハバイアスは、axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyzと表され、ここで、「axyz」、「bxyz」、「cxyz」、「dxyz」、「exyz」、「fxyz」、「gxyz」、「hxyz」、および「ixyz」は係数であり、「jxyz」は定数である。
1つまたは複数のモデルの出力でのウェハバイアスの決定の別の例として、ホストシステム130の処理装置によって、モデルノードN1mで決定された電圧の大きさおよび電流の大きさに基づいて、モデルノードN1mでのウェハバイアスが決定される。さらなる例示として、モデルノードN1mでの複素電圧および電流を決定するために、第2の複素電圧および電流は、部分173(図1)に沿って伝播される。第1の複素電圧および電流から第2の複素電圧および電流を決定したのと同様の様式で、第2の複素電圧および電流から、モデルノードN1mで複素電圧および電流が決定される。例えば、第2の複素電圧および電流は、部分173の要素の特性に基づいてモデルノードN1mでの複素電圧および電流を決定するために、部分173に沿って伝播される。
ホストシステム130の処理装置によって、モデルノードN1mで決定された複素電圧および電流に基づいて、モデルノードN1mでウェハバイアスが決定される。例えば、第2の複素電圧および電流からモデルノードN4mでのウェハバイアスを決定したのと同様の様式で、モデルノードN1mでの複素電圧および電流からモデルノードN1mでウェハバイアスが決定される。例示として、xMHzRF発生器がオンであり、yMHzRF発生器とzMHzRF発生器がオフであるとき、ホストシステム130(図1)の処理装置は、モデルノードN1mでのウェハバイアスを、第1の積、第2の積、第3の積、および定数の和として決定する。この例では、第1の積は、第1の係数と、モデルノードN1mでの複素電圧および電流の電圧の大きさとの積であり、第2の積は、第2の係数と、モデルノードN1mでの複素電圧および電流における電流の大きさとの積であり、第3の積は、第3の係数の平方根と、モデルノードN1mでの複素電圧および電流の電力の大きさの平方根との積である。xMHzRF発生器がオンであり、yMHzRF発生器とzMHzRF発生器がオフであるとき、モデルノードN1mでのウェハバイアスは、ax*Vx+bx*Ix+cx*sqrt(Px)+dxと表され、ここで、axは第1の係数であり、bxは第2の係数であり、cxは第3の係数であり、dxは定数であり、Vxは、モデルノードN1mでの電圧の大きさであり、Ixは、モデルノードN1mでの電流の大きさであり、Pxは、モデルノードN1mでの電力の大きさである。
同様に、モデルノードN1mでの複素電圧および電流に基づいて、ならびにxMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器のどれがオンであるかに基づいて、ウェハバイアスay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz、およびaxyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyzが決定される。
1つまたは複数のモデルの出力でのウェハバイアスの決定のさらに別の例として、モデルノードN1mで決定された電圧の大きさおよび電流の大きさに基づいてモデルノードN1mでのウェハバイアスを決定したのと同様の様式で、ホストシステム130の処理装置によって、モデルノードN2mで決定された電圧の大きさおよび電流の大きさに基づいて、モデルノードN2mでのウェハバイアスが決定される。さらなる例示として、モデルノードN2mで、ウェハバイアスax*Vx+bx*Ix+cx*sqrt(Px)+dx、ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz、およびaxyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyzが決定される。
1つまたは複数のモデルの出力でのウェハバイアスの決定の別の例として、モデルノードN2mで決定された電圧の大きさおよび電流の大きさに基づいてモデルノードN2mでのウェハバイアスを決定したのと同様の様式で、ホストシステム130の処理装置によって、モデルノードN6mで決定された電圧の大きさおよび電流の大きさに基づいて、モデルノードN6mでのウェハバイアスが決定される。さらなる例示として、モデルノードN6mで、ウェハバイアax*Vx+bx*Ix+cx*sqrt(Px)+dx、ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz、およびaxyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyzが決定される。
いくつかの実施形態では、ウェハバイアスが、記憶HU162(図1)内部に記憶されていることに留意すべきである。
図14は、ホストシステム130(図1)内部に実装されたウェハバイアス発生器34の一実施形態を示す状態図である。xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器のすべてがオフであるとき、モデルノード(例えば、モデルノードN4m、N1m、N2m、N6m(図1)など)で、ウェハバイアスはゼロまたは最小である。xMHzRF発生器、yMHzRF発生器、またはzMHzRF発生器がオンであり、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器の残りがオフであるとき、ウェハバイアス発生器34は、モデルノード(例えば、モデルノードN4m、N1m、N2m、N6mなど)でウェハバイアスを、第1の積a*V、第2の積b*I、第3の積c*sqrt(P)、および定数dの和として決定する。ここで、Vは、モデルノードでの複素電圧および電流の電圧の大きさであり、Iは、複素電圧および電流における電流の大きさであり、Pは、複素電圧および電流の電力の大きさであり、aは係数であり、bは係数であり、cは係数であり、dは定数である。様々な実施形態において、モデルノードでの電力の大きさは、モデルノードでの電流の大きさとモデルノードでの電圧の大きさとの積である。いくつかの実施形態では、電力の大きさは、送達される電力の大きさである。
xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器のうちの2つがオンであり、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器の残りがオフであるとき、ウェハバイアス発生器34は、モデルノード(例えば、モデルノードN4m、N1m、N2m、N6mなど)でのウェハバイアスを、第1の積a12*V1、第2の積b12*I1、第3の積c12*sqrt(P1)、第4の積d12*V2、第5の積e12*I2、第6の積f12*sqrt(P2)、および定数g12の和として決定し、ここで、「V1」は、オンである第1のRF発生器の出力で測定された電圧を伝播させることによって決定されるモデルノードでの複素電圧および電流の電圧の大きさであり、「I1」は、オンである第1のRF発生器の出力で測定された電流を伝播させることによって決定される複素電圧および電流における電流の大きさであり、「P1」は、V1とI1の積として決定される複素電圧および電流の電力の大きさであり、「V2」は、オンである第2のRF発生器の出力で測定された電圧を伝播させることによって決定されるモデルノードでの複素電圧および電流の電圧の大きさであり、「I2」は、オンである第2のRF発生器の出力で測定された電流を伝播させることによって決定される複素電圧および電流における電流の大きさであり、「P2」は、V2とI2の積として決定される電力の大きさであり、「a12」、「b12」、「c12」、「d12」、「e12」、および「f12」は係数であり、「g12」は定数である。
xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器すべてがオンであるとき、ウェハバイアス発生器34は、モデルノード(例えば、モデルノードN4m、N1m、N2m、N6mなど)でのウェハバイアスを、第1の積a123*V1、第2の積b123*I1、第3の積c123*sqrt(P1)、第4の積d123*V2、第5の積e123*I2、第6の積f123*sqrt(P2)、第7の積g123*V3、第8の積h123*I3、第9の積i123*sqrt(P3)、および定数j123の和として決定し、ここで、「V1」は、第1のRF発生器の出力で測定された電圧を伝播させることによって決定されるモデルノードでの複素電圧および電流の電圧の大きさであり、「I1」は、第1のRF発生器の出力で測定された電流を伝播させることによって決定される複素電圧および電流における電流の大きさであり、「P1」は、V1とI1の積として決定される複素電圧および電流の電力の大きさであり、「V2」は、第2のRF発生器の出力で測定された電圧を伝播させることによって決定されるモデルノードでの複素電圧および電流の電圧の大きさであり、「I2」は、第2のRF発生器の出力で測定された電流を伝播させることによって決定される複素電圧および電流における電流の大きさであり、「P2」は、V2とI2の積として決定される複素電圧および電流の電力の大きさであり、「V3」は、第3のRF発生器の出力で測定された電圧を伝播させることによって決定されるモデルノードでの複素電圧および電流の電圧の大きさであり、「I3」は、第3のRF発生器の出力で測定された電流を伝播させることによって決定される複素電圧および電流における電流の大きさであり、「P3」は、V3とI3の積として決定される複素電圧および電流の電力の大きさであり、「a123」、「b123」、「c123」、「d123」、「e123」、「f123」、「g123」、「h123」、および「i123」は係数であり、「j123」は定数である。
図15は、モデルノードN4m(図16)とESCモデル125(図16)との間の経路353(図16)に沿った点でウェハバイアスを決定するための方法351の一実施形態の流れ図である。図16を参照しながら図15を説明する。図16は、モデルの出力でウェハバイアスを決定するためのシステム355の一実施形態のブロック図である。
操作357で、発生器出力複素電圧および電流を識別するために、xMHzRF発生器、yMHzRF発生器、またはzMHzRF発生器の出力が検出される。例えば、電圧および電流プローブ110(図1)は、ノードN3(図1)で複素電圧および電流を測定する。この例では、複素電圧および電流は、電圧および電流プローブ110から通信デバイス185(図1)を介してホストシステム130(図1)によって受信され、記憶HU162(図1)内部に記憶される。また、この例では、ホストシステム130の処理装置は、記憶HU162から複素電圧および電流を識別する。
操作359で、ホストシステム130の処理装置は、モデルノードN4mとモデルノードN6mとの間の経路353に沿った点で、投影された複素電圧および電流を決定するために、発生器出力複素電圧および電流を使用する。経路353は、モデルノードN4mからモデルノードN6mに延びる。例えば、xMHzRF発生器、yMHzRF発生器、またはzMHzRF発生器の出力で測定された複素電圧および電流から、第5の複素電圧および電流が決定される。別の例として、モデルノードN4m(図1)での複素電圧および電流を決定するために、ノードN3またはノードN5で測定された複素電圧および電流が、インピーダンスマッチングモデル104を介して伝播される。この例では、経路353上の点での複素電圧および電流を決定するために、モデルノードN4mでの複素電圧および電流は、RF伝送モデル161(図16)の1つまたは複数の要素を介して、および/またはESCモデル125(図16)の1つまたは複数の要素を介して伝播される。
操作361で、ホストシステム130の処理装置は、投影された複素電圧および電流をESCモデル125(図15)のノードN6mでのウェハバイアス値にマップするための関数への入力として、経路353上の点で決定された投影された複素電圧および電流を適用する。例えば、xMHzRF発生器、yMHzRF発生器、またはzMHzRF発生器がオンであるとき、モデルノードN6mでのウェハバイアスは、第1の積a*V、第2の積b*I、第3の積c*sqrt(P)、および定数dの和として決定され、ここで、Vは、モデルノードN6mでの投影された複素電圧および電流の電圧の大きさであり、Iは、モデルノードN6mでの投影された複素電圧および電流における電流の大きさであり、Pは、モデルノードN6mでの投影された複素電圧および電流の電力の大きさであり、a、b、およびcは係数であり、dは定数である。
別の例として、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器のうちの2つがオンであり、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器の残りがオフであるとき、モデルノードN6mでのウェハバイアスが、第1の積a12*V1、第2の積b12*I1、第3の積c12*sqrt(P1)、第4の積d12*V2、第5の積e12*I2、第6の積f12*sqrt(P2)、および定数g12の和として決定され、ここで、V1は、オンである2つのRF発生器のうち第1のRF発生器によるモデルノードN6mでの電圧の大きさであり、I1は、オンである第1のRF発生器によるモデルノードN6mでの電流の大きさであり、P1は、オンである第1のRF発生器によるモデルノードN6mでの電力の大きさであり、V2は、オンである2つのRF発生器のうち第2のRF発生器によるモデルノードN6mでの電圧の大きさであり、I2は、オンである第2のRF発生器によるモデルノードN6mでの電流の大きさであり、P2は、オンである第2のRF発生器によるモデルノードN6mでの電力の大きさであり、a12、b12、c12、d12、e12、およびf12は係数であり、g12は定数である。
さらに別の例として、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器すべてがオンであるとき、モデルノードN6mでのウェハバイアスが、第1の積a123*V1、第2の積b123*I1、第3の積c123*sqrt(P1)、第4の積d123*V2、第5の積e123*I2、第6の積f123*sqrt(P2)、第7の積g123*V3、第8の積h123*I3、第9の積i123*sqrt(P3)、および定数j123の和として決定され、ここで、V1、I1、P1、V2、I2、およびP2は、前の例で上述したものであり、V3は、オンである第3のRF発生器によるモデルノードN6mでの電圧の大きさであり、I3は、オンである第3のRF発生器によるモデルノードN6mでの電流の大きさであり、P3は、オンである第3のRF発生器によるモデルノードN6mでの電力の大きさであり、a123、b123、c123、d123、e123、f123、g123、h123、およびi123は係数であり、j123は定数である。
別の例として、ウェハバイアスを決定するために使用される関数は、特徴値と、定数との和である。特徴値は、大きさ(例えば、大きさV、I、P、V1、I1、P1、V2、I2、P2、V3、I3、P3など)を含む。また、特徴値は、係数(例えば、係数a、b、c、a12、b12、c12、d12、e12、f12、a123、b123、c123、d123、e123、f123、g123、h123、i123など)も含む。定数の例は、定数d、定数g12、定数j123などを含む。
特徴値の係数、および特徴値の定数は、経験的モデリングデータを組み込むことに留意すべきである。例えば、ウェハバイアスセンサを使用して、ESC177(図1)で、複数の時間にウェハバイアスが測定される。さらに、この例では、ウェハバイアスが測定される時間の数に関して、1つまたは複数のRF発生器(例えば、xMHzRF発生器、yMHzRF発生器、zMHzRF発生器など)の1つまたは複数のノード(例えば、ノードN3、N5など)から、1つまたは複数のモデル(例えば、インピーダンスマッチングモデル104、モデルの部分173、RF伝送モデル161、ESCモデル125(図1))を介して、経路353(図16)上の点に達するように複素電圧および電流を伝播することによって、経路353(図16)に沿った点での複素電圧および電流が決定される。さらに、この例では、特徴値の係数および特徴値の定数を決定するために、ホストシステム130の処理装置によって、測定されたウェハバイアスと、点での複素電圧および電流から抽出された電圧の大きさ、電流の大きさ、および電力の大きさとに統計的方法(例えば、部分最小二乗、回帰など)が適用される。
様々な実施形態において、ウェハバイアスを決定するために使用される関数は、経路353の物理的属性を表す値の加算として特徴付けられる。経路353の物理的属性は、テストデータ(例えば経験的モデリングデータなど)からの導出値である。経路353の物理的属性の例は、経路353上の要素の静電容量、インダクタンス、それらの組合せなどを含む。上述したように、経路353に沿った要素の静電容量および/またはインダクタンスは、投影法を使用して経路353上の点で経験的に決定される電圧および電流に影響を及ぼし、さらに、特徴値の係数および特徴値の定数に影響を及ぼす。
いくつかの実施形態では、ウェハバイアスを決定するために使用される関数は、多項式である。
図17は、システム126(図1)のモデルノードでウェハバイアスを決定するための方法363の一実施形態の流れ図である。図1および図16を参照しながら図17を説明する。方法363は、ホストシステム130(図1)の処理装置によって実行される。操作365で、1つまたは複数の複素電圧および電流が、発生器システムの1つまたは複数の通信デバイスからホストシステム130によって受信され、ホストシステム130は、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器の1つまたは複数を含む。例えば、ノードN3で測定された複素電圧および電流が、通信デバイス185(図1)から受信される。別の例として、ノードN5で測定された複素電圧および電流が、通信デバイス189(図1)から受信される。さらに別の例として、ノードN3で測定された複素電圧および電流と、ノードN5で測定された複素電圧および電流とが受信される。発生器システムの出力が、ノードN3、N5、およびzMHzRF発生器の出力ノードの1つまたは複数を含むことに留意すべきである。
操作367で、発生器システムの出力での1つまたは複数の複素電圧および電流に基づいて、インピーダンスマッチングモデル104とESCモデル125(図16)との間の経路353(図16)に沿った点(例えば経路上の点など)で、投影された複素電圧および電流が決定される。例えば、発生器システムの出力での複素電圧および電流は、モデルノードN4mでの複素電圧および電流を決定するために、インピーダンスマッチングモデル104(図16)を介して投影される。別の例として、発生器システムの出力での複素電圧および電流は、モデルノードN1m(図1)での複素電圧および電流を決定するために、インピーダンスマッチングモデル104およびRF伝送モデル161の部分173(図1)を介して投影される。別の例として、発生器システムの出力での複素電圧および電流は、モデルノードN2m(図1)での複素電圧および電流を決定するために、インピーダンスマッチングモデル104およびRF伝送モデル161を介して投影される。別の例として、発生器システムの出力での複素電圧および電流は、モデルノードN6m(図1)での複素電圧および電流を決定するために、インピーダンスマッチングモデル104、RF伝送モデル161、およびESCモデル125を介して投影される。
操作369で、投影された複素V&Iを関数への入力として使用することによって、経路353に沿った点でウェハバイアスが計算される。例えば、xMHzRF発生器、yMHzRF発生器、またはzMHzRF発生器がオンであり、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器の残りがオフであるとき、点でのウェハバイアスは、第1の積a*V、第2の積b*I、第3の積c*sqrt(P)、および定数dの和としての関数から決定され、ここで、Vは、その点での投影された複素電圧および電流の電圧の大きさであり、Iは、その点での投影された複素電圧および電流における電流の大きさであり、Pは、その点での投影された複素電圧および電流の電力の大きさであり、a、b、およびcは係数であり、dは定数である。
別の例として、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器のうちの2つがオンであり、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器の残りがオフであるとき、点でのウェハバイアスが、第1の積a12*V1、第2の積b12*I1、第3の積c12*sqrt(P1)、第4の積d12*V2、第5の積e12*I2、第6の積f12*sqrt(P2)、および定数g12の和として決定され、ここで、V1は、オンである2つのRF発生器のうち第1のRF発生器によるその点での電圧の大きさであり、I1は、オンである第1のRF発生器によるその点での電流の大きさであり、P1は、オンである第1のRF発生器によるその点での電力の大きさであり、V2は、オンである2つのRF発生器のうち第2のRF発生器によるその点での電圧の大きさであり、I2は、オンである第2のRF発生器によるその点での電流の大きさであり、P2は、オンである第2のRF発生器によるその点での電力の大きさであり、a12、b12、c12、d12、e12、およびf12は係数であり、g12は定数である。
さらに別の例として、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器すべてがオンであるとき、点でのウェハバイアスは、第1の積a123*V1、第2の積b123*I1、第3の積c123*sqrt(P1)、第4の積d123*V2、第5の積e123*I2、第6の積f123*sqrt(P2)、第7の積g123*V3、第8の積h123*I3、第9の積i123*sqrt(P3)、および定数j123の和として決定され、ここで、V1、I1、P1、V2、I2、およびP2は、前の例で上述したものであり、V3は、オンである第3のRF発生器によるその点での電圧の大きさであり、I3は、オンである第3のRF発生器によるその点での電流の大きさであり、P3は、オンである第3のRF発生器によるその点での電力の大きさであり、a123、b123、c123、d123、e123、f123、g123、h123、およびi123は係数であり、j123は定数である。
図18は、電圧プローブ332(例えば電圧センサなど)を使用することによってではなく、方法340(図13)、方法351(図15)、または方法363(図17)を使用することによってウェハバイアスを決定する利点を例示するために使用されるシステム330の一実施形態のブロック図である。
電圧プローブ332は、ノードN1での電圧を決定するためにノードN1に結合される。いくつかの実施形態では、電圧プローブ332は、他のノードでの電圧を決定するために別のノード(例えばノードN2、N4など)に結合される。電圧プローブ332は、複数の回路(例えば、RFスプリッタ回路、フィルタ回路1、フィルタ回路2、フィルタ回路3など)を含む。
また、xMHzRF発生器およびyMHzRF発生器は、ノイズまたは信号決定モジュール336を含むホストシステム334に結合される。モジュールは、処理装置、ASIC、PLD、処理装置によって実行されるソフトウェア、またはそれらの組合せでよいことに留意すべきである。
電圧プローブ332は、電圧の大きさを測定し、この電圧の大きさは、ウェアバイアスを決定するためにホストシステム334によって使用される。モジュール336は、電圧プローブ332によって測定される電圧の大きさが信号であるか、それともノイズであるかを判断する。電圧プローブ332によって測定された電圧の大きさが信号であると判断すると、ホストシステム334は、ウェハバイアスを決定する。
システム126(図1)は、システム330に比べて費用対効果が高く、システム330に比べて時間および労力を節約する。システム330は電圧プローブ332を含み、電圧プローブ332は、システム126内に含まれる必要はない。ウェハバイアスを決定するために、システム126のノードN4、N1、またはN2に電圧プローブを結合する必要はない。システム126において、ウェハバイアスは、インピーダンスマッチングモデル104、RF伝送モデル161、および/またはESCモデル125(図1)に基づいて決定される。さらに、システム330はモジュール336を含み、モジュール336も、システム126内に含まれる必要はない。複素電圧および電流が信号であるか、それともノイズであるかを判断する時間および労力を費やす必要はない。そのような決定が、ホストシステム130(図1)によって行われる必要はない。
図19A、図19B、および図19Cは、電圧プローブを使用することによって部分195(図1)の出力(例えばノードN1)で測定された電圧(例えばピーク電圧など)と、方法102(図2)を使用して決定された対応するモデルノード出力(例えばノードN1m)での電圧(例えばピーク電圧など)との相関(例えば線形相関など)を例示するためのグラフ328、33、および33の実施形態を示す。各グラフ328、33、および33において、測定された電圧は、y軸にプロットされ、方法102を使用して決定された電圧は、x軸にプロットされる。
さらに、図19A、図19B、および図19Cは、ウェハバイアスプローブを使用することによって出力N6(図1)で測定されたウェハバイアスと、方法340(図13)、方法351(図15)、または方法363(図17)を使用して決定された対応するモデルノード出力(例えばノードN6m)でのウェハバイアスとの相関(例えば線形相関)を例示するためのグラフ33、33、および338の実施形態を示す。各グラフ33、33、および338において、ウェハバイアスプローブを使用して決定されたウェハバイアスは、y軸にプロットされ、方法340、方法351、または方法363を使用して決定されたウェハバイアスは、x軸にプロットされる。
グラフ328および33には、yMHzRF発生器とzMHzRF発生器がオンであり、xMHzRF発生器がオフであるときの電圧およびウェハバイアスがプロットされている。さらに、グラフ33および33には、xMHzRF発生器とzMHzRF発生器がオンであり、yMHzRF発生器がオフであるときの電圧およびウェハバイアスがプロットされている。また、グラフ33および338には、xMHzRF発生器とyMHzRF発生器がオンであり、zMHzRF発生器がオフであるときの電圧およびウェハバイアスがプロットされている。
図20Aは、センサツール(例えば、計測ツール、プローブ、センサ、ウェハバイアスプローブなど)を使用して測定された有線ウェハバイアスと、方法340(図13)、方法351(図15)、または方法363(図17)を使用して決定されたモデルウェハバイアスと、モデルバイアスの誤差との相関が存在することを例示するためのグラフ276および278の一実施形態の図である。グラフ276にプロットされる有線ウェハバイアスは、ある点(例えば、RF伝送線路113上のノード、ESC177の上面183(図1)上のノードなど)で測定され、グラフ276にプロットされるモデルバイアスは、経路353(図16)上の対応するモデル点(例えば、モデルノードN4m、モデルノードN1m、モデルノードN2m、モデルノードN6mなど(図1))で決定される。有線ウェハバイアスは、グラフ276でのy軸に沿ってプロットされ、モデルバイアスは、グラフ276でのx軸に沿ってプロットされる。
グラフ276には、xMHzRF発生器がオンであり、yMHzRF発生器とzMHzRF発生器がオフであるときの有線ウェハバイアスおよびモデルバイアスがプロットされている。さらに、グラフ276のモデルバイアスは、式a2*V2+b2*I2+c2*sqrt(P2)+d2を使用して決定され、ここで、「*」は乗算を表し、「sqrt」は、平方根を表し、「V2」は、経路353(図16)に沿った点での電圧を表し、「I2」は、その点での電流を表し、「P2」は、その点での電力を表し、「a2」は係数であり、「b2」は係数であり、「c2」は係数であり、「d2」は定数値である。
グラフ278は、y軸上に、誤差(点でのモデルバイアスの誤差)をプロットし、x軸上に、その点でのモデルバイアスをプロットする。モデル誤差は、モデルバイアスにおける誤差(例えば、分散、標準偏差など)である。グラフ278には、xMHzRF発生器がオンであり、yMHzRF発生器とzMHzRF発生器がオフであるときのモデル誤差およびモデルバイアスがプロットされている。
図20Bは、有線ウェハバイアスと、方法340(図13)、方法351(図15)、または方法363(図17)を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関が存在することを例示するためのグラフ280および282の一実施形態の図である。グラフ280および282は、yMHzRF発生器がオンであり、xMHzRF発生器とzMHzRF発生器がオフであるときにプロットされていることを除いて、グラフ276および278(図20A)と同様にプロットされている。さらに、グラフ280および282のモデルバイアスは、式a27*V27+b27*I27+c27*sqrt(P27)+d27を使用して決定され、ここで、「V27」は、経路353(図16)に沿った点での電圧の大きさを表し、「I27」は、その点での電流の大きさを表し、「P27」は、その点での電力の大きさを表し、「a27」は係数であり、「b27」は係数であり、「c27」は係数であり、「d27」は定数値である。
図20Cは、有線ウェハバイアスと、方法340(図13)、方法351(図15)、または方法363(図17)を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関が存在することを例示するためのグラフ284および286の一実施形態の図である。グラフ284および286は、zMHzRF発生器がオンであり、xMHzRF発生器とyMHzRF発生器がオフであるときにプロットされていることを除いて、グラフ276および278(図20A)と同様にプロットされている。さらに、グラフ284および286のモデルバイアスは、式a60*V60+b60*I60+c60*sqrt(P60)+d60を使用して決定され、ここで、「V60」は、経路353(図16)に沿った点での電圧の大きさを表し、「I60」は、その点での電流の大きさを表し、「P60」は、その点での電力の大きさを表し、「a60」は係数であり、「b60」は係数であり、「c60」は係数であり、「d60」は定数値である。
図20Dは、有線ウェハバイアスと、方法340(図13)、方法351(図15)、または方法363(図17)を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関が存在することを例示するためのグラフ288および290の一実施形態の図である。グラフ288および290は、xMHzRF発生器とyMHzRF発生器がオンであり、zMHzRF発生器がオフであるときにプロットされていることを除いて、グラフ276および278(図20A)と同様にプロットされている。さらに、グラフ288および290のモデルバイアスは、式a227*V2+b227*I2+c227*sqrt(P2)+d227*V27+e227*I27+f227*sqrt(P27)+g227を使用して決定され、ここで、「a227」、「b227」、「c227」、「d227」、「e227」、および「f227」は係数であり、「g227」は定数値である。
図20Eは、有線ウェハバイアスと、方法340(図13)、方法351(図15)、または方法363(図17)を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関が存在することを例示するためのグラフ292および294の一実施形態の図である。グラフ292および294は、xMHzRF発生器とzMHzRF発生器がオンであり、yMHzRF発生器がオフであるときにプロットされていることを除いて、グラフ276および278(図20A)と同様にプロットされている。さらに、グラフ292および294のモデルバイアスは、式a260*V2+b260*I2+c260*sqrt(P2)+d260*V60+e260*I60+f260*sqrt(P60)+g260を使用して決定され、ここで、「a260」、「b260」、「c260」、「d260」、「e260」、および「f260」は係数であり、「g260」は定数値である。
図20Fは、有線ウェハバイアスと、方法340(図13)、方法351(図15)、または方法363(図17)を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関が存在することを例示するためのグラフ296および298の一実施形態の図である。グラフ296および298は、yMHzRF発生器とzMHzRF発生器がオンであり、xMHzRF発生器がオフであるときにプロットされていることを除いて、グラフ276および278(図20A)と同様にプロットされている。さらに、グラフ296および298のモデルバイアスは、式a2760*V27+b2760*I27+c2760*sqrt(P27)+d2760*V60+e2760*I60+f2760*sqrt(P60)+g2760を使用して決定され、ここで、「a2760」、「b2760」、「c2760」、「d2760」、「e2760」、および「f2760」は係数であり、「g2760」は定数値である。
図20Gは、有線ウェハバイアスと、方法340(図13)、方法351(図15)、または方法363(図17)を使用して決定されたモデルバイアスと、モデルバイアスの誤差との相関が存在することを例示するためのグラフ30および30の一実施形態の図である。グラフ30および30は、xMHzRF発生器、yMHzRF発生器、およびzMHzRF発生器がオンであるときにプロットされていることを除いて、グラフ276および278(図20A)と同様にプロットされている。さらに、グラフ30および30のモデルバイアスは、式a22760*V2+b22760*I2+c22760*sqrt(P2)+d22760*V60+e22760*I60+f22760*sqrt(P60)+g22760*V27+h22760*I27+i22760*sqrt(P27)+j22760を使用して決定され、ここで、「a22760」、「b22760」、「c22760」、「d22760」、「e22760」、「f22760」、「g22760」、「h22760」、および「i22760」は係数であり、「j22760」は定数値である。
図21は、ホストシステム130の一実施形態のブロック図である。ホストシステム130は、処理装置168と、記憶HU162と、入力HU380と、出力HU382と、I/O(入出力)インターフェース384と、I/Oインターフェース386と、ネットワークインターフェース制御装置(NIC)388と、バス39とを含む。処理装置168と、記憶HU162と、入力HU380と、出力HU382と、I/Oインターフェース384と、I/Oインターフェース386と、NIC388とが、バス392を介して互いに結合される。入力HU380の例は、マウス、キーボード、スタイラスなどを含む。出力HU382の例は、ディスプレイ、スピーカ、またはそれらの組合せを含む。ディスプレイは、液晶ディスプレイ、発光ダイオードディスプレイ、陰極線管、プラズマディスプレイなどでよい。NIC388の例は、ネットワークインターフェースカード、ネットワークアダプタなどを含む。
I/Oインターフェースの例は、インターフェースに結合されたハードウェアの各部分の間の互換性を提供するインターフェースを含む。例えば、I/Oインターフェース384は、入力HU380から受信された信号を、バス392と互換性のある形態、振幅、および/または速度に変換する。別の例として、I/Oインターフェース386は、バス392から受信された信号を、出力HU382と互換性のある形態、振幅、および/または速度に変換する。
いくつかの実施形態では、ウェハバイアスは、ESC177(図1)にワークピース131(図1)をクランプするために使用されるクランピング電圧を決定するために使用されることに留意すべきである。例えば、プラズマチャンバ175(図1)内でウェハバイアスがないとき、ESC177内部の2つの電極が、ESC177にワークピース131をクランプするために逆極性を有する適合電圧を有する。この例では、プラズマチャンバ175内部でウェハバイアスが存在するとき、ウェハバイアスの存在を補償するように、2つの電極に供給される電圧は大きさが異なる。様々な実施形態において、ウェハバイアスは、ESC177(図1)でのバイアスを補償するために使用される。
また、ESC177でのバイアスを補償するために電圧を使用するのと比べて、ウェハバイアスを決定するために3つのパラメータ(例えば、電流の大きさ、電圧の大きさ、および電流と電圧の間の位相など)を使用することにより、ウェハバイアスのより良い決定が可能になることに留意されたい。例えば、3つのパラメータを使用して計算されるウェハバイアスは、RF電圧と非線形プラズマ方式との関係に比べて、非線形プラズマレジームに対してより強い相関を有する。別の例として、3つのパラメータを使用して計算されるウェハバイアスは、電圧プローブを使用して決定されるウェハバイアスよりも正確である。
上述した操作は、平行板プラズマチャンバ(例えば、容量結合プロズマチャンバなど)に関して述べたが、いくつかの実施形態では、上述した操作が、他のタイプのプラズマチャンバ(例えば、誘導結合プラズマ(ICP)リアクタ、変圧器結合プラズマ(TCP)リアクタ、導体ツール、誘電体ツールを含むプラズマチャンバ、電子サイクロトロン共鳴(ECR)リアクタを含むプラズマチャンバなど)に適用されることにさらに留意されたい。例えば、xMHzRF発生器とyMHzRF発生器が、ICPプラズマチャンバ内部のインダクタに結合される。
また、上の操作は、ホストシステム130(図1)の処理装置によって行われるものとして述べたが、いくつかの実施形態では、ホストシステム130の1つまたは複数の処理装置によって、または複数のホストシステムの複数の処理装置によって操作を行うことができることに留意されたい。
上述した実施形態は、ESC177(図1および図18)の下側電極、およびESC192(図11)の下側電極にRF信号を提供し、上側電極179および264(図1および図11)を接地することを含むが、いくつかの実施形態では、RF信号が上側電極179および264に提供され、ESC177および192の下側電極が接地されることに留意すべきである。
本明細書で述べた実施形態は、ハンドヘルドハードウェアユニット、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラマブルコンシューマ電子回路、ミニコンピュータ、メインフレームコンピュータなどを含む様々なコンピュータシステム構成で実施することができる。また、本発明の実施形態は、ネットワークを介してリンクされた遠隔処理ハードウェアユニットによってタスクが行われる分散計算環境で実施することもできる。
上の実施形態を念頭において、本発明の実施形態が、コンピュータシステムに記憶されているデータに関わる様々なコンピュータ実施操作を採用することができることを理解すべきである。これらの操作は、物理量の物理的改変を必要とする操作である。実施形態の一部を成す本明細書で述べる操作の任意のものが、有用な機械操作である。また、本発明の実施形態は、これらの操作を行うためのハードウェアユニットまたは装置にも関する。装置は、専用コンピュータ向けに特別に構成されることがある。専用コンピュータとして定義するとき、コンピュータは、その専用の目的のための動作が依然として可能である状態で、その専用の目的の一部でない他の処理、プログラム実行、またはルーチンを行うこともできる。いくつかの実施形態では、操作は、コンピュータメモリやキャッシュ内に記憶された、またはネットワークを介して得られる1つまたは複数のコンピュータプログラムによって選択的に活動化または構成される汎用コンピュータによって処理することができる。データがネットワークを介して得られるとき、ネットワーク上の他のコンピュータ(例えば計算資源のクラウド)によってデータを処理することができる。
また、1つまたは複数の実施形態は、非一時的なコンピュータ可読媒体上のコンピュータ可読コードとして製造することもできる。非一時的なコンピュータ可読媒体は、データを記憶することができる任意のデータ記憶ハードウェアユニットであり、データ記憶後、コンピュータシステムによってデータを読み取ることができる。非一時的なコンピュータ可読媒体の例は、ハードドライブ、NAS(network attached storage;ネットワークアタッチストレージ)、ROM、RAM、CD−ROM(コンパクトディスクROM)、CD−R(CD−recordable)、CD−RW(CD−rewritable)、磁気テープ、ならびに他の光学および非光学データ記憶ハードウェアユニットを含む。非一時的なコンピュータ可読媒体は、コンピュータ可読コードが分散して記憶および実行されるようにネットワーク結合コンピュータシステムにわたって分散されたコンピュータ可読有形媒体を含むことができる。
上記の図2、図13、図15、および図17の流れ図での方法操作は、特定の順序で述べたが、操作の合間に他のハウスキーピング操作を行うことができ、あるいは、わずかに異なる時点で行われるように操作を調節することができ、または、望ましい様式で重畳操作の処理が行われる限り、処理に関連する様々な間隔での処理操作の実施を可能にするシステムに操作を分散させることもできることを理解すべきである。
本開示で述べる様々な実施形態で説明する範囲から逸脱することなく、任意の実施形態からの1つまたは複数の特徴を、任意の他の実施形態の1つまたは複数の特徴と組み合わせることができる。
前述の実施形態は、理解しやすくするためにいくらか詳細に述べてきたが、添付の特許請求の範囲の範囲内で何らかの変更および変形を行うことができることは明らかであろう。したがって、本発明の実施形態は、限定ではなく例示とみなすべきであり、本発明の実施形態は、本明細書で提示した詳細に限定すべきでなく、添付の特許請求の範囲の範囲内および均等範囲内で変更することができる。
[適用例1]
ウェハバイアスを決定するための方法であって、
発生器出力複素電圧および電流を識別するために発生器の出力を検出するステップであって、前記発生器が、インピーダンスマッチング回路に結合され、前記インピーダンスマッチング回路が、高周波(RF)伝送線路を介してプラズマチャンバの静電チャック(ESC)に結合されるステップと、
前記発生器出力複素電圧および電流から、前記インピーダンスマッチング回路のモデルの出力と前記ESCのモデルとの間の経路に沿った点で、投影された複素電圧および電流を決定するステップであって、前記投影された複素電圧および電流の決定が、前記経路の少なくとも一部に関するモデルを使用して行われ、前記経路の少なくとも一部に関する前記モデルが、前記経路に沿った物理的構成要素を特徴付けるステップと、
前記投影された複素電圧および電流を前記ESCモデルでのウェハバイアス値にマップするための関数への入力として、前記投影された複素電圧および電流を適用するステップと、
を備える、方法。
[適用例2]
適用例1に記載の方法であって、
前記関数は、前記経路の物理的属性を表す値の加算によって特徴付けられ、前記投影された複素電圧および電流は、前記値の加算において使用される、方法。
[適用例3]
適用例2に記載の方法であって、
前記経路の前記物理的属性は、テストデータからの導出値である、方法。
[適用例4]
適用例1に記載の方法であって、
前記関数は、特徴値と定数との和であり、前記特徴値は、大きさおよび係数を含み、前記大きさは、前記投影された複素電圧および電流から導出され、前記係数および前記定数は、経験的モデリングデータを組み込む、方法。
[適用例5]
適用例4に記載の方法であって、
前記係数は、前記大きさの係数である、方法。
[適用例6]
適用例4に記載の方法であって、
前記経験的モデリングデータは、前記ESCでのウェハバイアスの測定値に基づいて、複素電圧および電流の大きさの決定に基づいて、および前記ESCでの前記ウェハバイアスの測定値と複素電圧および電流の大きさとに対する推定統計法の適用に基づいて得られるデータを含み、複素電圧および電流の前記大きさの前記決定は、前記インピーダンスマッチングモデルと、前記経路の少なくとも一部に関する前記モデルとに基づいて成される、方法。
[適用例7]
適用例1に記載の方法であって、
前記関数は、第1の積、第2の積、第3の積、および定数の和を含み、前記第1の積は、係数と電圧の大きさとの積であり、前記第2の積は、係数と電流の大きさとの積であり、前記第3の積は、係数と、電力の平方根との積であり、前記電圧の大きさは、前記投影された複素電圧および電流から抽出され、前記電流の大きさは、前記投影された複素電圧および電流から抽出され、前記電力の大きさは、前記電流の大きさと前記電圧の大きさとから計算される、方法。
[適用例8]
ウェハバイアスを決定するための方法であって、
1つまたは複数の発生器の1つまたは複数の出力で測定された1つまたは複数の発生器出力複素電圧および電流を受信するステップであって、前記1つまたは複数の発生器が、インピーダンスマッチング回路に結合され、前記インピーダンスマッチング回路が、高周波(RF)伝送線路を介してプラズマチャンバの静電チャック(ESC)に結合されるステップと、
前記1つまたは複数の複素電圧および電流から、前記インピーダンスマッチング回路のモデルと前記ESCのモデルとの間の経路に沿った点で、投影された複素電圧および電流を決定するステップであって、前記モデルが、前記経路に沿った物理的構成要素を特徴付けるステップと、
前記投影された複素電圧および電流を関数への入力として使用することによって、前記点でのウェハバイアスを計算するステップと、
を備える、方法。
[適用例9]
適用例8に記載の方法であって、
前記関数は、前記経路の物理的属性を表す値の加算によって特徴付けられ、前記投影された複素電圧および電流は、前記値の加算において使用される、方法。
[適用例10]
適用例9に記載の方法であって、
前記経路の前記物理的属性は、テストデータからの導出値である、方法。
[適用例11]
適用例8に記載の方法であって、
前記関数は、特徴値と定数との和であり、前記特徴値は、大きさおよび係数を含み、前記大きさは、前記投影された複素電圧および電流から導出され、前記係数および前記定数は、経験的モデリングデータを組み込む、方法。
[適用例12]
適用例11に記載の方法であって、
前記係数は、前記大きさの係数である、方法。
[適用例13]
適用例11に記載の方法であって、
前記経験的モデリングデータは、前記ESCでのウェハバイアスの測定値に基づいて、複素電圧および電流の大きさの決定に基づいて、および前記ESCでの前記ウェハバイアスの測定値と複素電圧および電流の大きさとに対する推定統計法の適用に基づいて得られるデータを含み、複素電圧および電流の前記大きさの前記決定は、前記インピーダンスマッチングモデルと、前記経路の少なくとも一部に関する前記モデルとに基づいて成される、方法。
[適用例14]
適用例8に記載の方法であって、
前記関数は、第1の積、第2の積、第3の積、および定数の和を含み、前記第1の積は、係数と電圧の大きさとの積であり、前記第2の積は、係数と電流の大きさとの積であり、前記第3の積は、係数と、電力の平方根との積であり、前記電圧の大きさは、前記投影された複素電圧および電流から識別され、前記電流の大きさは、前記投影された複素電圧および電流から識別され、前記電力の大きさは、前記電流の大きさと前記電圧の大きさとから決定される、方法。
[適用例15]
ウェハバイアスを決定するための方法であって、
高周波(RF)発生器がインピーダンスマッチング回路を介してプラズマチャンバに結合されるときに、前記RF発生器の出力で測定された第1の複素電圧および電流を識別するステップであって、前記インピーダンスマッチング回路が、前記RF発生器の前記出力に結合された入力と、RF伝送線路に結合された出力とを有するステップと、
前記インピーダンスマッチング回路に画定される電気構成要素に基づいてインピーダンスマッチングモデルを生成するステップであって、前記インピーダンスマッチングモデルが、入力と出力を有し、前記インピーダンスマッチングモデルの前記入力が、前記第1の複素電圧および電流を受信し、前記インピーダンスマッチングモデルが1つまたは複数の要素を有するステップと、
第2の複素電圧および電流を決定するために、前記インピーダンスマッチングモデルの前記入力から、前記1つまたは複数の要素を介して、前記インピーダンスマッチングモデルの前記出力に前記第1の複素電圧および電流を伝播するステップであって、前記第2の複素電圧および電流が、前記インピーダンスマッチングモデルの前記出力におけるものであるステップと、
前記第2の複素電圧および電流の電圧の大きさと、前記第2の複素電圧および電流における電流の大きさと、前記第2の複素電圧および電流の電力の大きさとに基づいて、ウェハバイアスを決定するステップと、
を備える、方法。
[適用例16]
適用例15に記載の方法であって、
前記ウェハバイアスを決定するステップは、
前記電圧の大きさと前記電流の大きさとに基づいて前記電力の大きさを計算するステップと、
第1の積、第2の積、第3の積、および定数の和を計算するステップと、を含み、
前記第1の積は、前記電圧の大きさと第1の係数との積であり、前記第2の積は、前記電流の大きさと第2の係数との積であり、前記第3の積は、前記電力の大きさの平方根と第3の係数との積である、方法。
[適用例17]
適用例15に記載の方法であって、
前記ウェハバイアスを決定するステップは、前記RF発生器がオンであるかどうかに基づいて行われる、方法。
[適用例18]
適用例15に記載の方法であって、さらに、
前記RF伝送線路に画定される回路構成要素に基づいてRF伝送モデルを生成するステップであって、前記RF伝送モデルが、入力と出力を有し、前記RF伝送モデルの前記入力が、前記インピーダンスマッチングモデルの前記出力に結合され、前記RF伝送モデルが、一部分を有し、前記ウェハバイアスが、前記RF伝送モデルの前記部分の前記出力で決定されるステップを備える、方法。
[適用例19]
適用例15に記載の方法であって、さらに、
前記RF伝送線路に画定される電気構成要素に基づいてRF伝送モデルを生成するステップであって、前記RF伝送モデルが、入力と出力を有し、前記RF伝送モデルの前記入力が、前記インピーダンスマッチングモデルの前記出力に結合され、前記ウェハバイアスが、前記RF伝送モデルの前記出力で決定されるステップを備える、方法。
[適用例20]
適用例19に記載の方法であって、
RF伝送線路の前記電気構成要素は、コンデンサ、インダクタ、またはそれらの組合せを含み、前記RF伝送モデルは、1つまたは複数の要素を含み、前記RF伝送モデルの前記要素は、前記RF伝送線路の前記電気構成要素の特性と同様の特性を有する、方法。
[適用例21]
適用例15に記載の方法であって、
受信される前記第1の複素電圧および電流は、電圧および電流プローブを用いて前記RF発生器の前記出力で測定され、前記電圧および電流プローブは、事前設定された公式に従って較正される、方法。
[適用例22]
適用例21に記載の方法であって、
前記事前設定された公式は標準である、方法。
[適用例23]
適用例22に記載の方法であって、
前記標準は、NIST(米国国立標準技術研究所)標準であり、前記NIST標準に適合するように前記電圧および電流プローブを較正するために、前記電圧および電流プローブは開回路、短絡回路、または負荷に結合される、方法。
[適用例24]
適用例15に記載の方法であって、
前記第2の複素電圧および電流は、電圧値、電流値、および前記電圧値と前記電流値との間の位相を含む、方法。
[適用例25]
適用例15に記載の方法であって、
前記インピーダンスマッチングモデルの前記要素は、コンデンサ、インダクタ、またはそれらの組合せを含み、インピーダンスマッチング回路の前記電気構成要素は、コンデンサ、インダクタ、またはそれらの組合せを含み、前記インピーダンスマッチングモデルの前記要素は、前記インピーダンスマッチング回路の前記電気構成要素の特性と同様の特性を有する、方法。
[適用例26]
適用例15に記載の方法であって、
前記ウェハバイアスは、RF伝送線路を含むと共に前記RF伝送線路上の電圧プローブを含まないシステムにおいて使用される、方法。
[適用例27]
適用例15に記載の方法であって、さらに、
前記RF伝送線路に画定される電気構成要素に基づいてRF伝送モデルを生成するステップであって、前記RF伝送モデルが、入力と出力を有し、前記RF伝送モデルの前記入力が、前記インピーダンスマッチングモデルの前記出力に結合されるステップと、
前記プラズマチャンバの静電チャックの特性に基づいて静電チャック(ESC)モデルを生成するステップであって、前記ESCモデルが、入力を有し、前記ESCモデルの前記入力が、前記RF伝送モデルの前記出力に結合され、前記ウェハバイアスが、前記ESCモデルの前記出力で決定されるステップと、
を備える、方法。
[適用例28]
適用例15に記載の方法であって、
前記第2の複素電圧および電流を決定するために、前記インピーダンスマッチングモデルの前記入力から、前記1つまたは複数の要素を介して、前記インピーダンスマッチングモデルの前記出力に前記第1の複素電圧および電流を伝播するステップは、
前記第1の複素電圧および電流と、前記インピーダンスマッチングモデルの前記入力と中間ノードとの間に結合された前記インピーダンスマッチングモデルの1つまたは複数の要素の特性とに基づいて、前記インピーダンスマッチングモデル内部で前記中間ノードでの中間複素電圧および電流を決定するステップと、
前記中間複素電圧および電流と、前記中間ノードと前記インピーダンスマッチングモデルの前記出力との間に結合された前記インピーダンスマッチングモデルの1つまたは複数の要素の特性とに基づいて、前記第2の複素電圧および電流を決定するステップと、
を含む、方法。
[適用例29]
適用例15に記載の方法であって、
前記RF伝送モデルは、RFトンネルのモデルと、RFストラップのモデルとを含み、前記RFトンネルモデルは前記RFストラップモデルと結合される、方法。
[適用例30]
ウェハバイアスを決定するためのプラズマシステムであって、
1つまたは複数のRF信号を発生するための1つまたは複数の高周波(RF)発生器であって、前記1つまたは複数のRF発生器は、1つまたは複数の電圧および電流プローブに関連付けられ、前記1つまたは複数の電圧および電流プローブは、1つまたは複数の複素電圧および電流を、前記1つまたは複数のRF発生器の対応する1つまたは複数の出力で測定するように構成される、RF発生器と、
前記1つまたは複数のRF発生器に結合されたインピーダンスマッチング回路と、
RF伝送線路を介して前記インピーダンスマッチング回路に結合されたプラズマチャンバであって、前記プラズマチャンバは、静電チャック(ESC)を含み、前記ESCは、前記RF伝送線路に結合される、プラズマチャンバと、
前記1つまたは複数のRF発生器に結合された処理装置であって、前記処理装置は、
前記1つまたは複数の複素電圧および電流を受信し、
前記1つまたは複数の複素電圧および電流から、前記インピーダンスマッチング回路のモデルと前記ESCのモデルとの間の経路に沿った点で、投影された複素電圧および電流を決定し、前記モデルが、前記経路に沿った物理的構成要素を特徴付け、
前記投影された複素電圧および電流を関数への入力として使用することによって、前記点でのウェハバイアスを計算するように構成される、処理装置と、
を備える、プラズマシステム。
[適用例31]
適用例30に記載のプラズマシステムであって、
前記関数は、前記経路の物理的属性を表す値の加算によって特徴付けられ、前記投影された複素電圧および電流は、前記値の加算において使用される、プラズマシステム。
[適用例32]
適用例31に記載のプラズマシステムであって、
前記経路の前記物理的属性は、テストデータからの導出値である、方法。
[適用例33]
適用例30に記載のプラズマシステムであって、
前記関数は、特徴値と定数との和であり、前記特徴値は、大きさおよび係数を含み、前記大きさは、前記投影された複素電圧および電流から導出され、前記係数および前記定数は、経験的モデリングデータを組み込む、プラズマシステム。
[適用例34]
適用例33に記載のプラズマシステムであって、
前記係数は、前記大きさの係数である、プラズマシステム。
[適用例35]
適用例33に記載のプラズマシステムであって、
前記経験的モデリングデータは、前記ESCでのウェハバイアスの測定値に基づいて、複素電圧および電流の大きさの決定に基づいて、および前記ESCでの前記ウェハバイアスの測定値と複素電圧および電流の大きさとに対する推定統計法の適用に基づいて得られるデータを含み、複素電圧および電流の前記大きさの前記決定は、前記インピーダンスマッチングモデルと、前記経路の少なくとも一部に関する前記モデルとに基づいて成される、方法。
[適用例36]
適用例30に記載のプラズマシステムであって、
前記関数は、第1の積、第2の積、第3の積、および定数の和を含み、前記第1の積は、係数と電圧の大きさとの積であり、前記第2の積は、係数と電流の大きさとの積であり、前記第3の積は、係数と、電力の平方根との積であり、前記電圧の大きさは、前記投影された複素電圧および電流から抽出され、前記電流の大きさは、前記投影された複素電圧および電流から抽出され、前記電力の大きさは、前記電流の大きさと前記電圧の大きさとから計算される、プラズマシステム。

Claims (36)

  1. ウェハバイアスを決定するための方法であって、
    発生器出力複素電圧および電流を識別するために発生器の出力を検出するステップであって、前記発生器が、インピーダンスマッチング回路に結合され、前記インピーダンスマッチング回路が、高周波(RF)伝送線路を介してプラズマチャンバの静電チャック(ESC)に結合されるステップと、
    前記発生器出力複素電圧および電流から、前記インピーダンスマッチング回路のモデルの出力と前記ESCのモデルとの間の経路に沿った点で、投影された複素電圧および電流を決定するステップであって、前記投影された複素電圧および電流の決定が、前記経路の少なくとも一部に関するモデルを使用して行われ、前記経路の少なくとも一部に関する前記モデルが、前記経路に沿った物理的構成要素を特徴付けるステップと、
    前記投影された複素電圧および電流を前記ESCモデルでのウェハバイアス値にマップするための関数への入力として、前記投影された複素電圧および電流を適用するステップと、
    を備える、方法。
  2. 請求項1に記載の方法であって、
    前記関数は、前記経路の物理的属性を表す値の加算によって特徴付けられ、前記投影された複素電圧および電流は、前記値の加算において使用される、方法。
  3. 請求項2に記載の方法であって、
    前記経路の前記物理的属性は、テストデータからの導出値である、方法。
  4. 請求項1に記載の方法であって、
    前記関数は、特徴値と定数との和であり、前記特徴値は、大きさおよび係数を含み、前記大きさは、前記投影された複素電圧および電流から導出され、前記係数および前記定数は、経験的モデリングデータを組み込む、方法。
  5. 請求項4に記載の方法であって、
    前記係数は、前記大きさの係数である、方法。
  6. 請求項4に記載の方法であって、
    前記経験的モデリングデータは、前記ESCでのウェハバイアスの測定値に基づいて、複素電圧および電流の大きさの決定に基づいて、および前記ESCでの前記ウェハバイアスの測定値と複素電圧および電流の大きさとに対する推定統計法の適用に基づいて得られるデータを含み、複素電圧および電流の前記大きさの前記決定は、前記インピーダンスマッチング回路の前記モデルと、前記経路の少なくとも一部に関する前記モデルとに基づいて成される、方法。
  7. 請求項1に記載の方法であって、
    前記関数は、第1の積、第2の積、第3の積、および定数の和を含み、前記第1の積は、係数と電圧の大きさとの積であり、前記第2の積は、係数と電流の大きさとの積であり、前記第3の積は、係数と、電力の大きさの平方根との積であり、前記電圧の大きさは、前記投影された複素電圧および電流から抽出され、前記電流の大きさは、前記投影された複素電圧および電流から抽出され、前記電力の大きさは、前記電流の大きさと前記電圧の大きさとから計算される、方法。
  8. ウェハバイアスを決定するための方法であって、
    1つまたは複数の発生器の1つまたは複数の出力で測定された1つまたは複数の発生器出力複素電圧および電流を受信するステップであって、前記1つまたは複数の発生器が、インピーダンスマッチング回路に結合され、前記インピーダンスマッチング回路が、高周波(RF)伝送線路を介してプラズマチャンバの静電チャック(ESC)に結合されるステップと、
    前記1つまたは複数の発生器の出力複素電圧および電流から、前記インピーダンスマッチング回路のモデルと前記ESCのモデルとの間の経路に沿った点で、投影された複素電圧および電流を決定するステップであって、前記モデルが、前記経路に沿った物理的構成要素を特徴付けるステップと、
    前記投影された複素電圧および電流を関数への入力として使用することによって、前記点でのウェハバイアスを計算するステップと、
    を備える、方法。
  9. 請求項8に記載の方法であって、
    前記関数は、前記経路の物理的属性を表す値の加算によって特徴付けられ、前記投影された複素電圧および電流は、前記値の加算において使用される、方法。
  10. 請求項9に記載の方法であって、
    前記経路の前記物理的属性は、テストデータからの導出値である、方法。
  11. 請求項8に記載の方法であって、
    前記関数は、特徴値と定数との和であり、前記特徴値は、大きさおよび係数を含み、前記大きさは、前記投影された複素電圧および電流から導出され、前記係数および前記定数は、経験的モデリングデータを組み込む、方法。
  12. 請求項11に記載の方法であって、
    前記係数は、前記大きさの係数である、方法。
  13. 請求項11に記載の方法であって、
    前記経験的モデリングデータは、前記ESCでのウェハバイアスの測定値に基づいて、複素電圧および電流の大きさの決定に基づいて、および前記ESCでの前記ウェハバイアスの測定値と複素電圧および電流の大きさとに対する推定統計法の適用に基づいて得られるデータを含み、複素電圧および電流の前記大きさの前記決定は、前記インピーダンスマッチング回路の前記モデルと、前記経路の少なくとも一部に関するモデルとに基づいて成される、方法。
  14. 請求項8に記載の方法であって、
    前記関数は、第1の積、第2の積、第3の積、および定数の和を含み、前記第1の積は、係数と電圧の大きさとの積であり、前記第2の積は、係数と電流の大きさとの積であり、前記第3の積は、係数と、電力の大きさの平方根との積であり、前記電圧の大きさは、前記投影された複素電圧および電流から識別され、前記電流の大きさは、前記投影された複素電圧および電流から識別され、前記電力の大きさは、前記電流の大きさと前記電圧の大きさとから決定される、方法。
  15. ウェハバイアスを決定するための方法であって、
    高周波(RF)発生器がインピーダンスマッチング回路を介してプラズマチャンバに結合されるときに、前記RF発生器の出力で測定された第1の複素電圧および電流を識別するステップであって、前記インピーダンスマッチング回路が、前記RF発生器の前記出力に結合された入力と、RF伝送線路に結合された出力とを有するステップと、
    前記インピーダンスマッチング回路に画定される電気構成要素に基づいてインピーダンスマッチングモデルを生成するステップであって、前記インピーダンスマッチングモデルが、入力と出力を有し、前記インピーダンスマッチングモデルの前記入力が、前記第1の複素電圧および電流を受信し、前記インピーダンスマッチングモデルが1つまたは複数の要素を有するステップと、
    第2の複素電圧および電流を決定するために、前記インピーダンスマッチングモデルの前記入力から、前記1つまたは複数の要素を介して、前記インピーダンスマッチングモデルの前記出力に前記第1の複素電圧および電流を伝播するステップであって、前記第2の複素電圧および電流が、前記インピーダンスマッチングモデルの前記出力におけるものであるステップと、
    前記第2の複素電圧および電流の電圧の大きさと、前記第2の複素電圧および電流における電流の大きさと、前記第2の複素電圧および電流の電力の大きさとに基づいて、ウェハバイアスを決定するステップと、
    を備える、方法。
  16. 請求項15に記載の方法であって、
    前記ウェハバイアスを決定するステップは、
    前記電圧の大きさと前記電流の大きさとに基づいて前記電力の大きさを計算するステップと、
    第1の積、第2の積、第3の積、および定数の和を計算するステップと、を含み、
    前記第1の積は、前記電圧の大きさと第1の係数との積であり、前記第2の積は、前記電流の大きさと第2の係数との積であり、前記第3の積は、前記電力の大きさの平方根と第3の係数との積である、方法。
  17. 請求項15に記載の方法であって、
    前記ウェハバイアスを決定するステップは、前記RF発生器がオンであるかどうかに基づいて行われる、方法。
  18. 請求項15に記載の方法であって、さらに、
    前記RF伝送線路に画定される回路構成要素に基づいてRF伝送モデルを生成するステップであって、前記RF伝送モデルが、入力と出力を有し、前記RF伝送モデルの前記入力が、前記インピーダンスマッチングモデルの前記出力に結合され、前記RF伝送モデルが、一部分を有し、前記ウェハバイアスが、前記RF伝送モデルの前記部分の前記出力で決定されるステップを備える、方法。
  19. 請求項15に記載の方法であって、さらに、
    前記RF伝送線路に画定される電気構成要素に基づいてRF伝送モデルを生成するステップであって、前記RF伝送モデルが、入力と出力を有し、前記RF伝送モデルの前記入力が、前記インピーダンスマッチングモデルの前記出力に結合され、前記ウェハバイアスが、前記RF伝送モデルの前記出力で決定されるステップを備える、方法。
  20. 請求項19に記載の方法であって、
    RF伝送線路の前記電気構成要素は、コンデンサ、インダクタ、またはそれらの組合せを含み、前記RF伝送モデルは、1つまたは複数の要素を含み、前記RF伝送モデルの前記要素は、前記RF伝送線路の前記電気構成要素の特性と同様の特性を有する、方法。
  21. 請求項15に記載の方法であって、
    受信される前記第1の複素電圧および電流は、電圧および電流プローブを用いて前記RF発生器の前記出力で測定され、前記電圧および電流プローブは、事前設定された公式に従って較正される、方法。
  22. 請求項21に記載の方法であって、
    前記事前設定された公式は標準である、方法。
  23. 請求項22に記載の方法であって、
    前記標準は、NIST(米国国立標準技術研究所)標準であり、前記NIST標準に適合するように前記電圧および電流プローブを較正するために、前記電圧および電流プローブは開回路、短絡回路、または負荷に結合される、方法。
  24. 請求項15に記載の方法であって、
    前記第2の複素電圧および電流は、電圧値、電流値、および前記電圧値と前記電流値との間の位相を含む、方法。
  25. 請求項15に記載の方法であって、
    前記インピーダンスマッチングモデルの前記要素は、コンデンサ、インダクタ、またはそれらの組合せを含み、インピーダンスマッチング回路の前記電気構成要素は、コンデンサ、インダクタ、またはそれらの組合せを含み、前記インピーダンスマッチングモデルの前記要素は、前記インピーダンスマッチング回路の前記電気構成要素の特性と同様の特性を有する、方法。
  26. 請求項15に記載の方法であって、
    前記ウェハバイアスは、RF伝送線路を含むと共に前記RF伝送線路上の電圧プローブを含まないシステムにおいて使用される、方法。
  27. 請求項15に記載の方法であって、さらに、
    前記RF伝送線路に画定される電気構成要素に基づいてRF伝送モデルを生成するステップであって、前記RF伝送モデルが、入力と出力を有し、前記RF伝送モデルの前記入力が、前記インピーダンスマッチングモデルの前記出力に結合されるステップと、
    前記プラズマチャンバの静電チャックの特性に基づいて静電チャック(ESC)モデルを生成するステップであって、前記ESCモデルが、入力を有し、前記ESCモデルの前記入力が、前記RF伝送モデルの前記出力に結合され、前記ウェハバイアスが、前記ESCモデルの前記出力で決定されるステップと、
    を備える、方法。
  28. 請求項15に記載の方法であって、
    前記第2の複素電圧および電流を決定するために、前記インピーダンスマッチングモデルの前記入力から、前記1つまたは複数の要素を介して、前記インピーダンスマッチングモデルの前記出力に前記第1の複素電圧および電流を伝播するステップは、
    前記第1の複素電圧および電流と、前記インピーダンスマッチングモデルの前記入力と中間ノードとの間に結合された前記インピーダンスマッチングモデルの1つまたは複数の要素の特性とに基づいて、前記インピーダンスマッチングモデル内部で前記中間ノードでの中間複素電圧および電流を決定するステップと、
    前記中間複素電圧および電流と、前記中間ノードと前記インピーダンスマッチングモデルの前記出力との間に結合された前記インピーダンスマッチングモデルの1つまたは複数の要素の特性とに基づいて、前記第2の複素電圧および電流を決定するステップと、
    を含む、方法。
  29. 請求項15に記載の方法であって、
    前記RF伝送モデルは、RFトンネルのモデルと、RFストラップのモデルとを含み、前記RFトンネルモデルは前記RFストラップモデルと結合される、方法。
  30. ウェハバイアスを決定するためのプラズマシステムであって、
    1つまたは複数のRF信号を発生するための1つまたは複数の高周波(RF)発生器であって、前記1つまたは複数のRF発生器は、1つまたは複数の電圧および電流プローブに関連付けられ、前記1つまたは複数の電圧および電流プローブは、1つまたは複数の複素電圧および電流を、前記1つまたは複数のRF発生器の対応する1つまたは複数の出力で測定するように構成される、RF発生器と、
    前記1つまたは複数のRF発生器に結合されたインピーダンスマッチング回路と、
    RF伝送線路を介して前記インピーダンスマッチング回路に結合されたプラズマチャンバであって、前記プラズマチャンバは、静電チャック(ESC)を含み、前記ESCは、前記RF伝送線路に結合される、プラズマチャンバと、
    前記1つまたは複数のRF発生器に結合された処理装置であって、前記処理装置は、
    前記1つまたは複数の複素電圧および電流を受信し、
    前記1つまたは複数の複素電圧および電流から、前記インピーダンスマッチング回路のモデルと前記ESCのモデルとの間の経路に沿った点で、投影された複素電圧および電流を決定し、前記モデルが、前記経路に沿った物理的構成要素を特徴付け、
    前記投影された複素電圧および電流を関数への入力として使用することによって、前記点でのウェハバイアスを計算するように構成される、処理装置と、
    を備える、プラズマシステム。
  31. 請求項30に記載のプラズマシステムであって、
    前記関数は、前記経路の物理的属性を表す値の加算によって特徴付けられ、前記投影された複素電圧および電流は、前記値の加算において使用される、プラズマシステム。
  32. 請求項31に記載のプラズマシステムであって、
    前記経路の前記物理的属性は、テストデータからの導出値である、プラズマシステム
  33. 請求項30に記載のプラズマシステムであって、
    前記関数は、特徴値と定数との和であり、前記特徴値は、大きさおよび係数を含み、前記大きさは、前記投影された複素電圧および電流から導出され、前記係数および前記定数は、経験的モデリングデータを組み込む、プラズマシステム。
  34. 請求項33に記載のプラズマシステムであって、
    前記係数は、前記大きさの係数である、プラズマシステム。
  35. 請求項33に記載のプラズマシステムであって、
    前記経験的モデリングデータは、前記ESCでのウェハバイアスの測定値に基づいて、複素電圧および電流の大きさの決定に基づいて、および前記ESCでの前記ウェハバイアスの測定値と複素電圧および電流の大きさとに対する推定統計法の適用に基づいて得られるデータを含み、複素電圧および電流の前記大きさの前記決定は、前記インピーダンスマッチング回路の前記モデルと、前記経路の少なくとも一部に関するモデルとに基づいて成される、プラズマシステム
  36. 請求項30に記載のプラズマシステムであって、
    前記関数は、第1の積、第2の積、第3の積、および定数の和を含み、前記第1の積は、係数と電圧の大きさとの積であり、前記第2の積は、係数と電流の大きさとの積であり、前記第3の積は、係数と、電力の大きさの平方根との積であり、前記電圧の大きさは、前記投影された複素電圧および電流から抽出され、前記電流の大きさは、前記投影された複素電圧および電流から抽出され、前記電力の大きさは、前記電流の大きさと前記電圧の大きさとから計算される、プラズマシステム。
JP2014010932A 2013-01-31 2014-01-24 ウェハバイアスを決定するための方法およびプラズマシステム Active JP6293497B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/756,390 2013-01-31
US13/756,390 US9502216B2 (en) 2013-01-31 2013-01-31 Using modeling to determine wafer bias associated with a plasma system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018023675A Division JP6483880B2 (ja) 2013-01-31 2018-02-14 ウェハバイアスを決定するための方法およびプラズマシステム

Publications (3)

Publication Number Publication Date
JP2014195044A JP2014195044A (ja) 2014-10-09
JP2014195044A5 true JP2014195044A5 (ja) 2017-02-23
JP6293497B2 JP6293497B2 (ja) 2018-03-14

Family

ID=51223843

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014010932A Active JP6293497B2 (ja) 2013-01-31 2014-01-24 ウェハバイアスを決定するための方法およびプラズマシステム
JP2018023675A Active JP6483880B2 (ja) 2013-01-31 2018-02-14 ウェハバイアスを決定するための方法およびプラズマシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2018023675A Active JP6483880B2 (ja) 2013-01-31 2018-02-14 ウェハバイアスを決定するための方法およびプラズマシステム

Country Status (6)

Country Link
US (2) US9502216B2 (ja)
JP (2) JP6293497B2 (ja)
KR (1) KR102171560B1 (ja)
CN (2) CN103984790B (ja)
SG (1) SG2014005557A (ja)
TW (1) TWI598582B (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
JP6173851B2 (ja) 2013-09-20 2017-08-02 株式会社日立ハイテクノロジーズ 分析方法およびプラズマエッチング装置
US9652567B2 (en) * 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US10553465B2 (en) * 2016-07-25 2020-02-04 Lam Research Corporation Control of water bow in multiple stations
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
US10734195B2 (en) * 2017-06-08 2020-08-04 Lam Research Corporation Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching
US10020168B1 (en) * 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
KR101918253B1 (ko) * 2018-01-26 2018-11-13 최운선 플라즈마 전원장치의 자가진단모듈 및 자가진단방법
TWI700598B (zh) 2019-04-22 2020-08-01 崛智科技有限公司 晶圓特性預測方法與電子裝置
US20220230850A1 (en) * 2019-05-07 2022-07-21 Lam Research Corporation Voltage and current probe
WO2022103765A1 (en) * 2020-11-13 2022-05-19 Lam Research Corporation Systems and methods for radiofrequency signal generator-based control of impedance matching system
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム

Family Cites Families (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JP3176128B2 (ja) * 1992-06-25 2001-06-11 株式会社ダイヘン インピーダンス整合器の出力電圧測定装置
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) * 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6449568B1 (en) * 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
EP1098359A4 (en) 1998-06-02 2003-11-19 Nikon Corp SCANNING ALIGNMENT MEMBER, MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD
JP2000049216A (ja) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp プラズマ処理装置および当該装置で用いられる静電チャック吸着方法
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
WO2002014397A1 (en) * 2000-08-16 2002-02-21 Huntsman Petrochemical Corporation Alkali silicate-polyisocyanate composites
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4270872B2 (ja) * 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
AU2003245315A1 (en) 2002-06-28 2004-01-19 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US7196324B2 (en) * 2002-07-16 2007-03-27 Leco Corporation Tandem time of flight mass spectrometer and method of use
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7015414B2 (en) 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7696748B2 (en) * 2003-10-10 2010-04-13 Jentek Sensors, Inc. Absolute property measurements using electromagnetic sensors
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
JP5150053B2 (ja) 2006-02-03 2013-02-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
CN101211752A (zh) * 2006-12-30 2008-07-02 北京北方微电子基地设备工艺研究中心有限责任公司 控制晶片直流自偏压及补偿直流电极与晶片间的静电引力的方法和装置
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7649363B2 (en) * 2007-06-28 2010-01-19 Lam Research Corporation Method and apparatus for a voltage/current probe test arrangements
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
WO2009060213A1 (en) 2007-11-06 2009-05-14 Microoncology Limited Microwave plasms sterilisation system and applicators therefor
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
KR20150017389A (ko) * 2008-05-14 2015-02-16 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
CN102160155A (zh) 2008-09-22 2011-08-17 应用材料公司 适合蚀刻高深宽比特征结构的蚀刻反应器
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) * 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8368308B2 (en) 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
SG10201406954SA (en) 2009-11-19 2014-11-27 Lam Res Corp Methods and apparatus for controlling a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
JP2012138581A (ja) * 2012-01-10 2012-07-19 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
KR102048959B1 (ko) 2012-10-30 2019-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Similar Documents

Publication Publication Date Title
JP6483880B2 (ja) ウェハバイアスを決定するための方法およびプラズマシステム
US10319570B2 (en) Determining a malfunctioning device in a plasma system
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
KR102438859B1 (ko) Rf 송신 시스템 모델들을 개량하기 위한 시스템, 방법 및 장치
JP2014195044A5 (ja)
US9508529B2 (en) System, method and apparatus for RF power compensation in a plasma processing system
US9652567B2 (en) System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
KR102339668B1 (ko) 플라즈마 시스템용 rf 전송 시스템 내에서 장애의 위치를 식별하기 위한 모델링을 사용하는 방법
TWI647735B (zh) 使用模型化以建立與電漿系統相關的離子能量