JP2013534664A - アダプタ・イベントに応答して実行されるアクションの通信を容易にするためのコンピュータ・システム、方法、およびコンピュータ・プログラム - Google Patents

アダプタ・イベントに応答して実行されるアクションの通信を容易にするためのコンピュータ・システム、方法、およびコンピュータ・プログラム Download PDF

Info

Publication number
JP2013534664A
JP2013534664A JP2013515724A JP2013515724A JP2013534664A JP 2013534664 A JP2013534664 A JP 2013534664A JP 2013515724 A JP2013515724 A JP 2013515724A JP 2013515724 A JP2013515724 A JP 2013515724A JP 2013534664 A JP2013534664 A JP 2013534664A
Authority
JP
Japan
Prior art keywords
adapter
action
computer
operating system
response
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013515724A
Other languages
English (en)
Other versions
JP5623634B2 (ja
JP2013534664A5 (ja
Inventor
グレンデニング、ベス
クラドック、デヴィッド
グレッグ、トーマス
ゲイニー、ジュニア、チャールズ
ンジュク−チャールズ、ウゴチュク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2013534664A publication Critical patent/JP2013534664A/ja
Publication of JP2013534664A5 publication Critical patent/JP2013534664A5/ja
Application granted granted Critical
Publication of JP5623634B2 publication Critical patent/JP5623634B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/0703Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation
    • G06F11/0706Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation the processing taking place on a specific hardware platform or in a specific software environment
    • G06F11/0712Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation the processing taking place on a specific hardware platform or in a specific software environment in a virtual computing platform, e.g. logically partitioned systems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/0703Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation
    • G06F11/0766Error or fault reporting or storing
    • G06F11/0775Content or structure details of the error report, e.g. specific table structure, specific error fields
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/0703Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation
    • G06F11/0793Remedial or corrective actions

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Debugging And Monitoring (AREA)
  • Hardware Redundancy (AREA)
  • Executing Machine-Instructions (AREA)

Abstract

【課題】アダプタ・イベントに応答して実行されるアクションのオペレーティング・システム通知を行う方法、コンピュータ・システム、およびコンピュータ・プログラムを提供することである。
【解決手段】ハードウェア・イベントに応答して実行されるハードウェア・アクションの通知を容易にする。ハードウェアに結合されるが外部にあるオペレーティング・システムが、実行されるハードウェア・アクションをファームウェアに通知する。
【選択図】図1

Description

本発明は、一般に、コンピューティング環境内の通信を容易にすることに関し、具体的には、アダプタ・イベントに応答して実行されるアクションの通知を容易にすることに関する。
2006年11月21日に発行されたArbeitman等の「Method and Apparatus for Reporting Global Errors on Heterogeneous Partitioned Systems」と題する米国特許第7,139,940号は、異なるタイプのオペレーティング・システムを実行する複数のパーティションを有する論理パーティション・データ処理システムにおいてグローバル・エラーを報告するための方法、装置、およびコンピュータ命令を記載している。グローバル・エラーの検出に応答して、このグローバル・エラーに一意の識別子を割り当てる。一意の識別子はサービスの中心に送信される。また、一意の識別子はパーティションにも送信される。パーティションからのエラー情報は中心に報告される。パーティションは、一意の識別子に関連付けて中心にエラー情報を送信する。中心は、一意の識別子を用いてグローバル・エラーについてパーティションから送信されたエラー情報を識別する。
2009年6月9日に発行されたMarisetty等の「OS and Firmware Coordinated Error Handling Using Transparent Firmware Intercept and Firmware Services」と題する米国特許第7,546,487号は、調整オペレーティング・システム(OS)およびファームウェア・サービスを用いてハードウェア・エラー処理を実行するための方法およびアーキテクチャを記載する。1つの態様においては、ファームウェア・インタフェースを提供して、OSがファームウェア・エラー処理サービスにアクセスすることを可能とする。かかるサービスによってOSは、プラットフォーム・プロセッサを介してまたは他の従来の手法によってアクセスを指示されていない場合があるプラットフォーム・ハードウェア・エラーに関するエラー・データにアクセスすることができる。また、ハードウェア・エラー・イベントの処理をインターセプトし、OSベースのサービスを用いたエラー対処を試みる前にファームウェア・エラー処理サービスに制御を渡すための技法も開示されている。ファームウェア・サービスは、ハードウェア・エラーの補正またはエラー・データのログあるいはその両方を実行することができ、後にこのデータはOSによってアクセスすることができるか、または帯域外通信チャネルを用いて遠隔管理サーバに提供することができる。別の態様に従えば、ファームウェアのインターセプトおよびサービスは、OSに対してトランスペアレントな方法で実行することができる。
2009年6月16日に発行されたBailey等の「Automatic Recovery from Hardware Errors on an Input/Output Fabric」と題する米国特許第7,549,090号は、複数のエンドポイントIOリソースをコンピュータ内の処理要素に結合するために用いられるIO構造(fabric)からIO構造要素において検出されたエラーを伝播する装置、プログラム、および方法について記載している。具体的には、かかるエラーは、IO構造要素におけるエラーからの回復に関連して、IO構造要素により影響を受けるエンドポイントIOリソースに伝播される。そうすることによって、影響を受ける各IOリソースにアクセスするために用いられるデバイス・ドライバまたは他のプログラム・コードは、その関連するIOリソースにおける伝播エラーから非同期に回復することができ、多くの場合、IO構造要素におけるエラーからの回復は、影響を受ける各IOリソースについて回復が完了するのを待つ必要はない。更に、IO構造は、回復可能なエンドポイントIOリソースおよび回復不可能なエンドポイントIOリソースの双方をサポートするように動的に構成することができる。特に、IO構造内のIO構造要素は、エンドポイントIOリソースが性質的に回復不可能であることの検出に応答して、かかるIO構造要素における機械チェック・シグナリングを可能とするように動的に構成することができる。そのように動的に構成されたIO構造要素は、回復不可能なリソースと、この回復不可能なリソースにアクセスするプロセッサとの間に画定されたハードウェア経路内に配置されている。
ハードウェア内で発生した一定のイベントがプロセッサ・ファームウェアに対して可視的でないコンピューティング環境がある。例えば、アダプタ・エラーがプロセッサ・ファームウェアに報告されず、オペレーティング・システムに報告される状況がある。しかしながら、オペレーティング・システムは、かかるエラーの処理に責任がない。従って、ファームウェアによって検出されないこれらのイベントは対処されないままである。
米国特許第7,139,940号 米国特許第7,546,487号 米国特許第7,549,090号 米国特許第5,551,013号 米国特許第6,009,261号 米国特許第5,574,873号 米国特許第6,308,255号 米国特許第6,463,582号 米国特許第5,790,825号
「z/Architecture Principles of Operation」と題するIBM(R)出版物(IBM Publication No. SA22−7832−07、2009年2月)
アダプタ・イベントに応答して実行されるアクションのオペレーティング・システム通知を行う方法、コンピュータ・システム、およびコンピュータ・プログラムを提供することである。
本発明の一態様によれば、かかるイベントに対処するために実行されるアクションをファームウェアに通知するための機能が提供される。
実行するアクションの通信を容易にするためのコンピュータ・プログラムを提供することによって、従来技術の欠点を克服し、利点を提供する。コンピュータ・プログラムは、処理回路によって読み取り可能であり、方法を実行するために処理回路によって実行するための命令を記憶するコンピュータ読み取り可能記憶媒体を含む。この方法は例えば、サービス・コール論理プロセッサ(SCLP)命令の実行に応答して制御ブロックを指定することであって、制御ブロックが、イベント・タイプ、アダプタの機能ハンドル、アダプタ・タイプ、およびアクション・クオリファイアを含むことと、アクション・クオリファイアに基づいたアクションを実行することであって、アクションがアダプタのリセットまたは修理アクションの要求の一方を含むことと、SCLPの完了に応答して、オペレーティング・システムに通知することと、通知に応答してオペレーティング・システムにより発行されたイベント情報記憶コマンドの実行に応答して、アクションに関する情報を取得することと、を含む。
更に、イベントの通信を容易にするためのコンピュータ・プログラムを提供する。このコンピュータ・プログラムは、処理回路によって読み取り可能であり、方法を実行するために処理回路によって実行するための命令を記憶するコンピュータ読み取り可能記憶媒体を含む。この方法は例えば、アダプタに関連してエラーが発生したことに応答して、オペレーティング・システムに通知することと、通知に応答してオペレーティング・システムにより発行されたイベント情報記憶コマンドの実行に応答して、イベントに関連付けられた情報を取得することと、を含み、前記情報が、アダプタを識別する機能ハンドルおよびアダプタに関する状態を与えるイベント・コードを含む。
本明細書において、本発明の1つ以上の態様に関連した方法およびシステムについても記載し、特許請求を行う。
更に別の特徴および利点が、本発明の技法によって実現される。本発明の他の実施形態および態様は、本明細書において詳細に記載され、特許請求する本発明の一部と見なされる。
これより、本発明の好適な実施形態について、添付図面を参照して単に一例として記載する。
本発明の1つ以上の態様を組み込んで用いるためのコンピューティング環境の一実施形態を示す。 本発明の一態様に従った、エラー検出およびアクション通知を実行するための論理の一実施形態を示す。 本発明の一態様に従った、オペレーティング・システムにアクションを実行したことを通知するための論理の一例を示す。 本発明の一態様に従って用いられる制御ブロックの一例を示す。 本発明の1つ以上の態様を組み込んだコンピュータ・プログラムの一実施形態を示す。 本発明の1つ以上の態様を組み込んで用いるためのホスト・コンピュータ・システムの一実施形態を示す。 本発明の1つ以上の態様を組み込んで用いるためのコンピュータ・システムの更に別の例を示す。 本発明の1つ以上の態様を組み込んで用いるためのコンピュータ・ネットワークを含むコンピュータ・システムの別の例を示す。 本発明の1つ以上の態様を組み込んで用いるためのコンピュータ・システムの様々な要素の一実施形態を示す。 本発明の1つ以上の態様を組み込んで用いるための図9のコンピュータ・システムの実行ユニットの一実施形態を示す。 本発明の1つ以上の態様を組み込んで用いるための図9のコンピュータ・システムの分岐ユニットの一実施形態を示す。 本発明の1つ以上の態様を組み込んで用いるための図9のコンピュータ・システムのロード/記憶ユニットの一実施形態を示す。 本発明の1つ以上の態様を組み込んで用いるためのエミュレートされたホスト・コンピュータ・システムの一実施形態を示す。
本発明の一態様によれば、アダプタ・イベントに応答して実行されるアクションをプロセッサ・ファームウェアに通知することを容易にするための機能が提供される。この通知は、処理ユニット内で実行するオペレーティング・システムによって実行される。一例として、オペレーティング・システムが検出したアダプタ・エラーまたはこのエラーに応答して実行されるアクションあるいはその両方をオペレーティング・システムが処理ユニットのファームウェアに通知するための機能が提供される。本明細書において用いる場合、ファームウェアは例えば、プロセッサのマイクロコード、ミリコード、またはマクロコードあるいはそれらである。これは例えば、より高いレベルの機械コードの実施に用いられるハードウェア・レベルの命令またはデータ構造あるいはその両方を含む。一実施形態において、これは例えば、基礎にあるハードウェアに固有の信頼できるソフトウェアまたはマイクロコードを含み、システム・ハードウェアに対するオペレーティング・システムのアクセスを制御するマイクロコードとして典型的に提供される自社開発コードを含む。
1つの特定の実施形態において、オペレーティング・システムは、論理的にパーティション化された環境内で実行されている。かかる環境では、パーティションによってアダプタ機能が用いられると、この機能は論理的にパーティションによって所有される。例えば、そのパーティションのオペレーティング・システムはアダプタにアクセスを有し、その機能はそのパーティションのメモリに対してアクセスが可能である。この機能は論理的にパーティションによって所有されるので、ファームウェアにはいくつかのエラーが可視的でない。それらはファームウェアを回避して、パーティションのオペレーティング・システムで実行しているデバイス・ドライバに直接報告されるからである。これが意味するのは、ファームウェアでなくオペレーティング・システムのデバイス・ドライバが、ハードウェア修理またはサービスを必要とするいくつかのエラーを認識することができるということである。これには問題があった。なぜなら、パーティションのオペレーティング・システムは、システム全体のハードウェア保守または修理を実行する責任を持たなかったからである。既存の方法論では、オペレーティング・システムからハードウェアの修理アクションを要求するものは存在しない。オペレーティング・システムは、アダプタ・タイプ間で共通したエラーについてもデバイスに固有の処理を実施する。デバイス・ドライバの回復アクションには一貫性がなく、アクションは実質的に回復なしから複雑なスキームまでの範囲に及ぶ。
このため、本発明の一態様によれば、アダプタ・エラーまたは実行するアクションあるいはその両方をオペレーティング・システムがファームウェアに通知することを可能とする機能が提供される。本発明の一態様においては、パーティションのオペレーティング・システムによって問題が検出された場合に外部アダプタについて保守または修理アクションを要求するための共通インフラストラクチャを提供する。ファームウェアは、オペレーティング・システムに回復を任せるのではなく、回復のための一貫したシステム全体での方法を開始する。
本明細書において用いる場合、「アダプタ」という言葉は、いかなるタイプのアダプタも含む(例えば記憶アダプタ、ネットワーク・アダプタ、処理アダプタ、PCIアダプタ、暗号アダプタ、他のタイプの入出力アダプタ等)。一実施形態において、1つのアダプタは1つのアダプタ機能を含む。しかしながら、他の実施形態では、1つのアダプタが複数のアダプタ機能を含むことができる。1つのアダプタが1つのアダプタ機能を含む場合であっても複数のアダプタ機能を含む場合であっても、本発明の1つ以上の態様を適用可能である。更に、本明細書に提示する例において、特に注記しない限り、アダプタはアダプタ機能(例えばPCI機能)と相互交換可能に用いられる。
図1を参照して、本発明の1つ以上の態様を組み込んで用いるコンピュータ環境の一実施形態について説明する。一例において、コンピューティング環境100は、インターナショナル・ビジネス・マシーンズ・コーポレーションによって提供されるSystem z(R)サーバである。System z(R)は、インターナショナル・ビジネス・マシーンズ・コーポレーションが提供するz/Architecture(R)に基づいている。z/Architecture(R)に関する詳細は、「z/Architecture Principles of Operation」と題するIBM(R)出版物(IBM Publication No. SA22−7832−07、2009年2月)に記載されている。IBM(R)、System z(R)、およびz/Architecture(R)は、インターナショナル・ビジネス・マシーンズ・コーポレーション(ニューヨーク州アーモンク)の登録商標である。本明細書において用いる他の名称は、インターナショナル・ビジネス・マシーンズ・コーポレーションおよび他の企業の登録商標、商標、または製品名である場合がある。
一例において、コンピューティング環境100は中央処理複合体102を含み、これはメモリ・コントローラ106を介してシステム・メモリ104(メイン・メモリとも称される)に結合されている。メモリ・コントローラ106は、中央処理複合体102からの読み取りまたは書き込み要求を受信し、中央処理複合体のためにシステム・メモリにアクセスする。メモリ・コントローラ106は、例えばハードウェアから構成され、システム・メモリに対するアクセスのアービトレーションを行うためおよびメモリの一貫性を維持するために用いられる。このアービトレーションは、中央処理複合体から受信した要求に対して、および1つ以上のアダプタ110から受信した要求に対して実行される。中央処理装置と同様に、アダプタは、システム・メモリに対するアクセスを得るための要求をシステム・メモリ104に発行する。
アダプタ110は、例えばPCI(Peripheral Component Interconnect)またはPCI Exprses(PCIe)アダプタであり、1つ以上のPCI機能を含む。PCI機能は、システム・メモリに対するアクセスを求める要求を発行する。この要求は、1つ以上のスイッチ(例えばPCIeスイッチ)114を介して入出力ハブ112(例えばPCIハブ)にルーティングされる。一例において、入出力ハブは、1つ以上の状態機械を含むハードウェアから構成される。入出力ハブは、I/O−メモリ・バス118を介してメモリ・コントローラ106に結合されている。
また、中央処理複合体102は、以下で更に詳細に記載するように、サービス要求および通知の処理を容易にするサービス・プロセッサ120に結合されている。サービス・プロセッサは例えば、インターナショナル・ビジネス・マシーンズ・コーポレーションにより提供されるPowerPC(R)またはSystem z(R)等のサーバである。他のサーバまたは他のプロセッサまたはシステムも使用可能である。PowerPC(R)は、ニューヨーク州アーモンクのインターナショナル・ビジネス・マシーンズ・コーポレーションの登録商標である。
中央処理複合体102は、例えば、1つ以上のパーティションまたはゾーン130(例えば論理パーティションLP1〜LPn)、1つ以上の中央プロセッサ132(例えばCP1〜CPm)、およびハイパーバイザ134(例えば論理パーティション・マネージャ)を含む。各々については以下で説明する。
各論理パーティション130は別個のシステムとして機能することができる。すなわち、各論理パーティションは、単独でリセットすることができ、所望の場合には最初にオペレーティング・システムまたはハイパーバイザをロードすることができ(ニューヨーク州アーモンクのインターナショナル・ビジネス・マシーンズ・コーポレーションにより提供されるz/VM(R)等)、異なるプログラムによって動作することができる。論理パーティションにおいて動作するオペレーティング・システム、ハイパーバイザ、またはアプリケーション・プログラムは、完全なシステムにアクセスを有するように見えるが、その一部のみが利用可能である。ハードウェアおよびライセンスを受けた内部コード(マイクロコードまたはミリコードとも称される)の組み合わせによって、論理パーティション内のプログラムが、異なる論理パーティション内のプログラムと干渉しないようにする。これによって、いくつかの異なる論理パーティションが、単一または多数の物理的プロセッサ上において割り当て時間で動作することができる。この特定の例では、各論理パーティションは常駐オペレーティング・システム136を有し、これは1つ以上の論理パーティションで異なる場合がある。一実施形態では、オペレーティング・システム136は、ニューヨーク州アーモンクのインターナショナル・ビジネス・マシーンズ・コーポレーションにより提供されるz/OS(R)またはzLinuxオペレーティング・システムである。z/OS(R)およびz/VM(R)は、ニューヨーク州アーモンクのインターナショナル・ビジネス・マシーンズ・コーポレーションの登録商標である。
中央プロセッサ132は、論理パーティションに割り当てられた物理プロセッサ・リソースである。例えば、1つの論理パーティション130は1つ以上の論理プロセッサを含み、それらの各々が、そのパーティションに割り当てられた物理プロセッサ・リソース132の全てまたは共有部分を表す。基礎にあるプロセッサ・リソースは、そのパーティションに専用とするか、または別のパーティションと共有することができる。
論理パーティション130は、プロセッサ132上で動作しているファームウェアにより実施されるハイパーバイザ134によって管理される。論理パーティション130およびハイパーバイザ134は各々、中央プロセッサに関連付けた中央記憶装置の各部分に常駐する1つ以上のプログラムを含む。ハイパーバイザ134の一例は、ニューヨーク州アーモンクのインターナショナル・ビジネス・マシーンズ・コーポレーションが提供するプロセッサ・リソース/システム・マネージャ(PR/SM)である。
この例では、論理パーティションを有する中央処理複合体について記載するが、本発明の1つ以上の態様は、とりわけパーティション化されていない単一プロセッサまたは多プロセッサの処理ユニットを含む他の処理ユニットに組み込んでこれによって用いることも可能である。本明細書に記載する中央処理複合体は一例に過ぎない。
本発明の一態様によれば、中央処理複合体の論理パーティション内で実行するオペレーティング・システムは、外部にあるが中央処理複合体に結合されたアダプタについて、アダプタ・イベントを検出してアクションを呼び出す。例えば、オペレーティング・システムは、アダプタ・エラーを検出し、アダプタに対して実行する1つ以上のアクションを要求する。このエラーは、一例においてファームウェアでは検出されなかった。図2を参照してこの処理について更に詳細に説明する。図2では、ファームウェアでなくオペレーティング・システム(例えばパーティションのオペレーティング・システム)によって問題が検出された場合に外部のアダプタについて保守または修理アクションを要求する共通インフラストラクチャが設けられている。
図2を参照すると、アダプタ・エラー(または他のアダプタ・イベント)を検出し、ファームウェアに通知し、アクションを実行するための論理が実行されている。この例では、この論理は多数のエンティティにより実行されている。例えば、200で示す論理は、処理ユニット上で実行しているオペレーティング・システムによって、特に、イベントが発生したアダプタに関連付けられたオペレーティング・システムのデバイス・ドライバによって実行される。202で示す論理は、中央処理複合体(または他の処理ユニット)のファームウェアによって実行され、204で示す論理は、中央処理複合体に結合されたサービス・プロセッサによって実行される。
まず、オペレーティング・システムはエラーを検出する(ステップ210)。例えば、エラーを生じたアダプタに関連付けられ、オペレーティング・システムの一部として実行されているデバイス・ドライバが、イベントまたはステータス更新によってエラーを検出する。次いで、エラーが、ハードウェア・エラーであるか、またはオペレーティング・システムによって処理されるソフトウェア・エラーであるか判定を行う(問い合わせ212)。デバイス・ドライバは、イベントまたはステータス更新に示されたエラー・タイプによってこの判定を行うことができる。例えば、デバイス・ドライバはエラー分類を有し、それらの分類およびイベントまたはステータス更新を用いて、エラー・タイプを判定することができる。エラーがハードウェア・エラーでない場合、エラーはオペレーティング・システムによって処理される(ステップ214)。エラーの処理はオペレーティング・システムおよびエラー・タイプに固有のものである。処理は完了する(ステップ216)。
問い合わせ212に戻り、エラーがハードウェア・エラーである場合、アダプタおよび実行するアクションに関した様々な情報を含む制御ブロックをセットアップする(ステップ218)。この例ではサービス・コール制御ブロック(SCCB:Service Call Control Block)を生成する。その一例について図4を参照して説明する。
図4を参照すると、一実施形態において、サービス・コール制御ブロックは以下を含む。
a)要求されるイベントのタイプを示し、この例では「アダプタ・サービス要求」に等しく設定されるイベント・タイプ302。
b)アダプタのインスタンス(例えばアダプタ機能)を識別する機能ハンドル304。一例では、このハンドルは、ハンドルがイネーブルされているか否かを示すイネーブル・インジケータと、アダプタ機能を識別する機能番号(これは静的識別子であり、アダプタ機能についての動作パラメータを含むエントリの位置を特定するための機能テーブルに対するインデックスとして用いることができる)と、ハンドルの特定のインスタンスを指定するインスタンス番号と、を含む。
c)アダプタ(例えばアダプタ機能)の静的識別子であり、アダプタの物理位置を識別することができる物理機能識別子306。
d)アダプタのタイプ(例えばPCIアダプタ)を記述するアダプタ・タイプ308。
e)実行する1つ以上の特定のアクション(例えばリセット、次いで構成解除(deconfigure)。リセット、構成解除。修理要求等)を記述するアクション・クオリファイア310。この例ではアクション・クオリファイアはデバイスに依存しないが、他の例ではデバイスに依存する場合がある。すなわち、この例ではアクション・クオリファイアはアダプタのタイプに依存しない(すなわちデバイスに依存しない)。
f)動作の結果を含む応答コード312。
g)アクション・クオリファイアに依存し、アダプタ固有のものである場合がある任意のデータ314。
他の実施形態では、これよりも多いか、少ないか、またはこれとは異なる情報がSCCBに含まれる場合がある。
図2に戻ると、SCCBの生成に続いて、SCCBをファームウェアに提供する。一例では、これはサービス・コール論理プロセッサ(SCLP)命令によって提供される(ステップ220)。サービス・コール論理プロセッサ命令は、オペレーティング・システムによって発行されファームウェアによって実行されるものであり、コマンド(例えば構成)アダプタ、構成解除アダプタ、およびSCCBの位置特定の指示を含む。コマンドおよびSCCBは、実行するアクションの指示を与える。
SCLP命令の受信に応答して、ファームウェアはSCCBの情報を解析する(ステップ222)。SCCBの情報に基づいて、ファームウェアは、アダプタ・サービスが要求されているか否かを判定する(問い合わせ224)。この判定は、サービス・コール論理プロセッサ命令により与えられるサービス・コール制御ブロック内のイベント・タイプを調べることによって行われる。要求されたサービスがアダプタ・サービスでない場合、処理は完了する(ステップ226)。他の場合、要求されたアクションがファームウェアによって解析される(ステップ228)。例えば、ファームウェアはアクション・クオリファイア(複数のクオリファイア)(例えばリセット、修理要求)を解析して、実行する1つ以上のアクションを判定する。アクション・クオリファイアは、SCLP構成解除コマンドのサブ・コマンドである。
更に、ファームウェアは、イベントおよび要求されたアクションを記述するログの生成を開始する(ステップ230)。例えば、ファームウェアは、システム・ログにエントリを生成するための要求をサービス・プロセッサに送信する。この要求には、SCCBおよびサービス参照コード(SRC:Service Reference Code)が含まれる。一実施形態では、各アダプタ・タイプ/アクション・クオリファイアの組み合わせに関連付けて一意のSRCがある。更に、ファームウェアは他のログの生成を要求することができる。
更に、ファームウェアは、アクション・クオリファイアによって指定された1つ以上のアクションを実行することができる(ステップ232)。例えば、リセット、構成解除等を実行するか、またはその実行をアダプタに命令することができる。
更に、ファームウェアは、サービス要求を受信し、この要求を解析して、ログ・エントリを生成することを判定する(ステップ240)。この解析に応答して、要求されたアクションを記述するシステム・ログ内のエントリを生成する(ステップ242)。一例では、ログはSCCBを含む。また、アクション・クオリファイア、特定のアダプタ、およびファームウェアの要求に応じて、追加のログを発生することも可能である。
更に、サービス・プロセッサは、コール・ホーム(call home)が必要であるか否かを判定する(問い合わせ244)。この例では、「コール・ホーム」とは、IBM(R)等の特定のエンティティにエラー報告を与え、修理または保守アクションを取ることを要求することを指す。すなわち、ファームウェアが、リセット、構成解除等のアクションを取った可能性がある場合であっても、修理アクションを要求して、アダプタにサービス(例えば物理的な修理)が必要であることを示す。この「コール・ホーム」が必要であるか否かの判定は、サービス要求において受信したSRCの値によって決まる。「コール・ホーム」が必要でない場合、処理は完了する(ステップ246)。他の場合、「コール・ホーム」が必要であるならば、「コール・ホーム」を発行する(ステップ248)。
非同期に、ファームウェアは外部割込による動作を完了し、結果を(例えばSCCBの応答コードにおいて)SCCBに報告する。
アダプタのリセットまたは修理アクションの要求等、アクション・クオリファイアに基づいたアクションをファームウェアが実行することに応答して、オペレーティング・システムは、正常なSCLP完了、外部割込、およびSCCB内の応答コードによってアクションが実行されたことを通知される。
また、他の自発的なイベントも適用される。これについて、図3を参照して更に説明する。オペレーティング・システムは通知を受ける(ステップ250)。例えばオペレーティング・システムは、アクションが実行されたことを示すチャネル報告ワード(CRW:channel report word)をファームウェアから与えられる。
チャネル報告ワードの受信に応答して、オペレーティング・システムはイベント情報記憶コマンドを発行してイベントに関する情報を取得する。特に、一例では、イベント情報記憶コマンドはファームウェアによって実行され、応答ブロックを戻す。この応答ブロックは例えば、このイベントに関連付けられたアダプタを指定する機能ハンドル、アダプタを識別する機能識別子、アダプタ可用性イベント通知の理由を記述するイベント・コードを含む。例示的なイベント・コードは以下を含む。
PCI機能(またはアダプタ)が構成状態に移った。一般的なPCI機能ハンドルが記憶されている。
PCI機能が保留状態からスタンバイ状態に移った。一般的なPCI機能ハンドルが記憶されているが、PCI機能識別子によって識別されたPCIの構成が成功するまで構成によって用いることはできない。
PCI機能の設定解除が要求される。PCI機能がイネーブルされると、イネーブルされたPCI機能が記憶される。PCI機能がディセーブルされると、一般的なPCI機能ハンドルが記憶される。
手動制御を用いて、PCI機能が構成状態からスタンバイまたは保留状態に移った。一般的なPCI機能ハンドルが記憶されているが、構成によって用いることはできない。
I/Oアドレス変換のためのマッピングに利用可能な記憶量が変更されている。
1つ以上のPCI機能がスタンバイ状態にある場合がある。PCI機能ハンドルもPCI機能IDも記憶されていない。
これらのイベント・コードは、ハンドルによって識別されるアダプタの状態の指示をオペレーティング・システムに与える。
本明細書において、オペレーティング・システムまたはアダプタ外部の他のコンポーネントがアダプタのためにアクションを開始することができる機能について詳細に説明する。これらのアクションは例えば、システム・レベルの保守および修理要求を含む。ソフトウェア(例えばオペレーティング・システム)は、デバイス・ドライバに依存しないファームウェアによる一貫したエラー処理を利用することができる。オペレーティング・システムは、どのオペレーティング・システムであるかには無関係に、ファームウェアによってアクションを実行させる要求(これは様々なオペレーティング・システムについて同一である)を発行することができ、ファームウェアは実行するアクションのデバイス固有の性質を扱う。多数のオペレーティング・システム・タイプによって、1つ以上のアダプタ・タイプ間で(一例としてネットワーク・アダプタ、記憶装置アダプタ、暗号アダプタ、または処理アダプタあるいはそれら全て)、単一の方法論が用いられる。
本明細書に記載した実施形態では、アダプタはPCIアダプタである。本明細書において用いる場合、PCIは、PCI−SIG(Peripheral Component Interconnect Special Interest Group)が規定したようなPCIベースの仕様に従って実施されるいずれかのアダプタを指し、限定ではないがPCIまたはPCIeを含む。1つの特定の例では、PCIeはコンポーネント・レベルの相互接続規格であり、I/Oアダプタとホスト・システムとの間のトランザクションのための双方向通信プロトコルを規定する。PCIe通信は、PCIeバス上の伝送のためのPCIe規格に従ってパケットにカプセル化されている。I/Oアダプタで開始してホスト・システムで終了するトランザクションを、上りトランザクションと称する。ホスト・システムで開始してI/Oアダプタで終端するトランザクションを、下りトランザクションと称する。PCIeトポロジはポイント・ツー・ポイント一方向リンクに基づいており、これらは対になって(例えば1つの上りリンク、1つの下りリンク)、PCIeバスを形成する。PCIe規格は、PCI−SIGによって維持され公開される。
当業者によって認められるように、本発明の態様は、システム、方法、またはコンピュータ・プログラムとして具現化することができる。従って、本発明の態様は、全体的にハードウェアの実施形態、全体的にソフトウェアの実施形態(ファームウェア、常駐ソフトウェア、マイクロコード等を含む)、または、ソフトウェアおよびハードウェアの態様を組み合わせた実施形態という形態を取ることができ、それらは全て本明細書において、「回路」、「モジュール」、または「システム」と一般的に称することができる。更に、本発明の態様は、具現化されたコンピュータ読み取り可能プログラム・コードを有する1つ以上のコンピュータ読み取り可能媒体(複数の媒体)において具現化されたコンピュータ・プログラムの形態を取ることも可能である。
1つ以上のコンピュータ読み取り可能媒体(複数の媒体)のあらゆる組み合わせを利用することができる。コンピュータ読み取り可能媒体はコンピュータ読み取り可能記憶媒体とすることができる。コンピュータ読み取り可能記憶媒体は例えば、限定ではないが、電子、磁気、光、電磁、赤外線、または半導体のシステム、装置、デバイス、または前述のもののいずれかの適切な組み合わせとすることができる。コンピュータ読み取り可能記憶媒体の更に具体的な例(非網羅的な列挙)は、以下を含む。すなわち、1本以上のワイヤを含む電気的接続、携帯型コンピュータ・ディスケット、ハード・ディスク、ランダム・アクセス・メモリ(RAM)、読み取り専用メモリ(ROM)、消去可能プログラマブル読み取り専用メモリ(EPROMまたはフラッシュ・メモリ)、光ファイバ、携帯型コンパクト・ディスク読み取り専用メモリ(CD−ROM)、光記憶デバイス、磁気記憶デバイス、または前述のもののいずれかの適切な組み合わせである。この文書の文脈において、コンピュータ読み取り可能記憶媒体は、命令実行システム、装置、またはデバイスによってまたはそれと接続して用いるためにプログラムを含有または記憶することが可能ないずれかのタンジブルな媒体とすることができる。
ここで図5を参照すると、一例において、コンピュータ・プログラム400は例えば、コンピュータ読み取り可能プログラム・コード手段または論理404を記憶するための1つ以上のコンピュータ読み取り可能記憶媒体402を含み、本発明の1つ以上の態様を提供してこれを推進する。
コンピュータ読み取り可能媒体上で具現化されたプログラム・コードは、限定ではないが、無線、優先、光ファイバ・ケーブル、RF等、または前述のもののいずれかの適切な組み合わせを含む適切な媒体を用いて送信することができる。
本発明の態様の動作を実行するためのコンピュータ・プログラム・コードは、Java、Smalltalk、C++等のオブジェクト指向プログラミング言語、および「C」プログラミング言語、アセンブラ、または同様のプログラミング言語等の従来の手順プログラミング言語を含む1つ以上のプログラミング言語のいずれかの組み合わせにおいて記述することができる。プログラム・コードは、全体的にユーザのコンピュータ上で、部分的にユーザのコンピュータ上で、スタンドアロンのソフトウェア・パッケージとして、部分的にユーザのコンピュータ上でおよび部分的に遠隔コンピュータ上で、または全体的に遠隔コンピュータもしくはサーバ上で、実行することができる。後者の場合、遠隔コンピュータは、ローカル・エリア・ネットワーク(LAN)またはワイド・エリア・ネットワーク(WAN)を含むいずれかのタイプのネットワークを介してユーザのコンピュータに接続することができ、または、接続は、(例えばインターネット・サービス・プロバイダを用いてインターネットを介して)外部コンピュータに対して行うことができる。
本発明の実施形態に従った方法、装置(システム)、およびコンピュータ・プログラムのフローチャート図またはブロック図あるいはその両方を参照して、本発明の態様について記載する。フローチャート図またはブロック図あるいはその両方の各ブロック、およびフローチャート図またはブロック図あるいはその両方におけるブロックの組み合わせは、コンピュータ・プログラム命令によって実施可能であることは理解されよう。これらのコンピュータ・プログラム命令は、汎用コンピュータ、特殊目的コンピュータ、または他のプログラマブル・データ処理装置のプロセッサに提供されて機械を生成することができ、これによって、コンピュータまたは他のプログラマブル・データ処理装置のプロセッサによって実行される命令が、フローチャートまたはブロック図あるいはその両方のブロックまたは複数のブロックに規定された機能/行為を実施するための手段を生成するようになっている。
また、これらのコンピュータ・プログラム命令はコンピュータ読み取り可能媒体に記憶することができ、これによって、コンピュータ、他のプログラマブル・データ処理装置、または他のデバイスに特定の方法で機能するように指示することができ、これにより、コンピュータ読み取り可能媒体に記憶された命令が、フローチャートまたはブロック図あるいはその両方のブロックまたは複数のブロックに規定された機能/行為を実施する命令を含む製造品を生成するようになっている。
また、コンピュータ・プログラム命令を、コンピュータ、他のプログラマブル・データ処理装置、または他のデバイスにロードして、そのコンピュータ、他のプログラマブル装置、または他のデバイス上で一連の動作ステップを実行させてコンピュータ実施プロセスを生成することができ、これによって、コンピュータまたは他のプログラマブル装置上で実行する命令が、フローチャートまたはブロック図あるいはその両方のブロックまたは複数のブロックに規定された機能/行為を実施するためのプロセスを提供するようになっている。
図面におけるフローチャートおよびブロック図は、本発明の様々な実施形態に従ったシステム、方法、およびコンピュータ・プログラムの可能な実施のアーキテクチャ、機能性、および動作を例示する。この点で、フローチャートまたはブロック図における各ブロックは、規定された論理機能(複数の機能)を実施するための1つ以上の実行可能命令を含むコードのモジュール、セグメント、または一部を表すことができる。また、いくつかの代替的な実施において、ブロックに明記した機能は、図面に明記した順序どおりでなく発生する場合があることに留意すべきである。例えば、関与する機能性に応じて、連続して示した2つのブロックは実際には実質的に同時に実行されることがあり、またはブロックは時に逆の順序で実行される場合がある。また、ブロック図またはフローチャート図あるいはその両方の各ブロック、およびブロック図またはフローチャート図あるいはその両方におけるブロックの組み合わせは、規定された機能もしくは行為を実行する特殊目的ハードウェア・ベースのシステム、または特殊目的ハードウェアおよびコンピュータ命令の組み合わせによって実施可能であることに留意すべきである。
上述のことに加えて、本発明の1つ以上の態様は、カスタマ環境の管理を提供するサービス・プロバイダによって提供、供給、展開、管理、サービス提供等を行うことができる。例えば、サービス・プロバイダは、1人以上のカスタマに、本発明の1つ以上の態様を実行するコンピュータ・コードまたはコンピュータ・インフラストラクチャあるいはその両方の生成、維持、支持等を行うことができる。これに対して、サービス・プロバイダは、一例として加入または自由契約あるいはその両方のもとでカスタマから支払いを受け取ることができる。これに加えて、またはこの代わりに、サービス・プロバイダは、1人以上の第三者に対する広告内容の販売から支払いを受け取ることができる。
本発明の一態様において、本発明の1つ以上の態様を実行するためにアプリケーションを展開することができる。一例として、アプリケーションの展開は、本発明の1つ以上の態様を実行するように動作可能なコンピュータ・インフラストラクチャを提供することを含む。
本発明の更に別の態様として、コンピュータ読み取り可能コードをコンピューティング・システムに一体化することを含むコンピュータ・インフラストラクチャを展開することができる。この場合、コンピューティング・システムと組み合わせたコードが本発明の1つ以上の態様を実行することができる。
本発明の更に別の態様として、コンピュータ読み取り可能コードをコンピュータ・システムに一体化することを含むコンピューティング・インフラストラクチャの一体化のためのプロセスを提供することができる。コンピュータ・システムはコンピュータ読み取り可能媒体を含み、コンピュータ媒体は本発明の1つ以上の態様を含む。コンピュータ・システムと組み合わせたコードが本発明の1つ以上の態様を実行することができる。
様々な実施形態について上述したが、これらは例に過ぎない。例えば、他のアーキテクチャのコンピューティング環境が、本発明の1つ以上の態様を組み込んでこれを用いることができる。一例として、パワー・システム・サーバもしくはインターナショナル・ビジネス・マシーンズ・コーポレーションにより提供される他のサーバ、または他の企業のサーバ等、システムz(R)サーバ以外のサーバが、本発明の1つ以上の態様を含むか、用いるか、またはこれから利益を得るかあるいはそれら全てとすることができる。更に、本明細書の例において、アダプタおよびI/Oハブ(例えばPCIハブ)はサーバの一部と見なされるが、他の実施形態では、それらは必ずしもサーバの一部と見なす必要がなく、単にコンピューティング環境のシステム・メモリまたは他のコンポーネントあるいはその両方に結合されていると見なすことができる。コンピューティング環境はサーバである必要はない。更に、アダプタはPCIベースであるが、本発明の1つ以上の態様は、他のアダプタまたは他のI/Oコンポーネントと共に使用可能である。アダプタおよびPCIアダプタは一例に過ぎない。更に、SCCBは、これよりも多いか、少ないか、またはこれとは異なる情報を含むことができる。いくつかの実施形態では、これは、アクション・クオリファイアに依存するデータを含む。他にも多くの変形が可能である。
更に、コンピューティング環境の他のタイプが本発明の1つ以上の態様から利益を得ることも可能である。一例として、プログラム・コードの記憶または実行あるいはその両方に適したデータ処理システムであって、システム・バスを介してメモリ要素に直接または間接に結合された少なくとも2つのプロセッサを含むものを使用可能である。メモリ要素は、例えば、プログラム・コードの実際の実行中に用いるローカル・メモリと、バルク記憶装置と、実行中にバルク記憶装置からコードを検索しなければならない回数を減らすために少なくとも一部のプログラム・コードの一時的な記憶を提供するキャッシュ・メモリと、を含む。
入出力またはI/Oデバイス(限定ではないが、キーボード、ディスプレイ、ポインティング・デバイス、DASD、テープ、CD、DVD、サム・ドライブ、および他のメモリ媒体等を含む)を、直接またはI/Oコントローラを介在させてのいずれかでシステムに結合することができる。また、システムにネットワーク・アダプタを結合することで、データ処理システムを、私的ネットワークまたは公的ネットワークを介在させて他のデータ処理システムまたは遠隔プリンタもしくは記憶デバイスに結合することができる。利用可能なネットワーク・アダプタのタイプのいくつかの例として、モデム、ケーブル・モデム、およびイーサネット・カードがある。
図6を参照すると、本発明の1つ以上の態様を実施するためのホスト・コンピュータ・システム5000の代表的なコンポーネントが示されている。代表的なホスト・コンピュータ5000は1つ以上のCPU5001を含み、これは、コンピュータ・メモリ(すなわち中央記憶装置)5002、ならびに、他のコンピュータまたはSAN等と通信するための記憶媒体デバイス5011およびネットワーク5010に対するI/Oインタフェースに対して通信状態にある。CPU5001は、アーキテクチャ化された命令セットおよびアーキテクチャ化された機能性を有するアーキテクチャに準拠している。CPU5001は、プログラム・アドレス(仮想アドレス)をメモリの実アドレスに変換するための動的アドレス変換(DAT)5003を有することができる。DATは典型的に、変換をキャッシュするための変換ルックアサイド・バッファ(TLB)5007を含むので、後にコンピュータ・メモリ5002のブロックにアクセスする際、アドレス変換の遅延は必要ない。典型的に、コンピュータ・メモリ5002とプロセッサ5001との間でキャッシュ5009を用いる。キャッシュ5009は階層型として、2つ以上のCPUに利用可能な大きいキャッシュを有すると共に、この大きいキャッシュと各CPUとの間にもっと小さく高速の(下位レベルの)キャッシュを有することができる。いくつかの実施においては、下位レベルのキャッシュを分割して、命令フェッチおよびデータ・アクセスのために別個の下位レベルのキャッシュを提供する。一実施形態においては、メモリ5002からキャッシュ5009を介して命令フェッチ・ユニット5004が命令をフェッチする。この命令は、命令復号ユニット5006において復号され、命令実行ユニットまたは複数のユニット5008にディスパッチされる(いくつかの実施形態では他の命令と共に)。通常、実行ユニット5008は、例えば算術実行ユニット、浮動小数点実行ユニット、および分岐命令実行ユニットのように、いくつか用いられる。命令は実行ユニットによって実行され、必要に応じて命令指定レジスタまたはメモリからオペランドにアクセスする。メモリ5002からオペランドにアクセスする(ロードまたは記憶する)場合、典型的にロード/記憶ユニット5005が、実行している命令の制御のもとでこのアクセスを処理する。命令は、ハードウェア回路においてまたは内部マイクロコード(ファームウェア)において、またはそれらの双方によって実行することができる。
注記したように、コンピュータ・システムは、ローカル(または主)記憶装置において情報を含み、更に、アドレス指定、保護、参照、および変更記録を含む。アドレス指定のいくつかの態様は、アドレスのフォーマット、アドレス空間の概念、様々なタイプのアドレス、およびあるタイプのアドレスを別のタイプのアドレスに変換する方法を含む。主記憶装置のいくつかは、永続的に割り当てられた記憶位置を含む。主記憶装置は、直接アドレス可能な高速アクセス・データ記憶をシステムに提供する。データおよびプログラムの双方は、主記憶装置に(入力デバイスから)ロードされた後に、処理することが可能となる。
主記憶装置は、1つ以上のもっと小さい高速アクセス・バッファ記憶装置を含むことができ、これらは時にキャッシュと呼ばれる。キャッシュは典型的に、CPUまたはI/Oプロセッサと物理的に関連付けられている。別個の記憶媒体の物理的な構築および使用の性能以外の効果は、一般にはプログラムによって観察することができない。
命令およびデータ・オペランドについて別個のキャッシュを維持することができる。キャッシュ内の情報は、キャッシュ・ブロックまたはキャッシュ・ライン(または短縮してライン)と呼ばれる整数境界上の連続したバイトに維持される。モデルによって、キャッシュ・ラインのサイズをバイトで返すキャッシュ属性抽出命令を与えることができる。また、また、モデルは、データ・プリフェッチおよびデータ関連長プリフェッチ命令を与えることができ、これはデータまたは命令キャッシュに対する記憶のプリフェッチまたはキャッシュからのデータの解放を実行する。
記憶装置は、長い水平方向のビット文字列と見なされる。ほとんどの動作では、記憶装置へのアクセスは左から右へのシーケンスで進む。ビット文字列は8ビットの単位に細分される。8ビット単位は1バイトと呼ばれ、これは全ての情報フォーマットの基本的な構築ブロックである。記憶装置内の各バイト位置は、一意の負でない整数によって識別され、これがそのバイト位置のアドレス、または単にバイト・アドレスである。隣接するバイト位置は連続するアドレスを有し、左で0から開始し、左から右へのシーケンスで進んでいく。アドレスは符号なしの二進数であり、24、31、または64ビットである。
情報は、記憶装置とCPUまたはチャネル・サブシステム間で、一度に1バイトずつまたはバイト・グループ1つずつ送信される。特に指定しない限り、例えばz/Architecture(R)において、記憶装置内のバイト・グループはそのグループの最も左のバイトによってアドレス指定される。グループ内のバイト数は、実行される動作によって暗示されるか、または明示的に指定される。CPU動作において用いる場合、バイト・グループはフィールドと呼ばれる。例えばz/Architecture(R)においては、各バイト・グループ内で、ビットは左から右へのシーケンスで付番される。z/Architecture(R)では、最も左のビットを「上位」ビットと称し、最も右のビットを「下位」ビットと称することがある。しかしながら、ビット番号は記憶装置アドレスではない。バイトのみをアドレス指定することができる。記憶装置内のバイトの個々のビットに対して動作するため、バイト全体がアクセスされる。1バイト内のビットは、左から右へ(例えばz/Architecture(R)において)、0から7まで付番される。1アドレス内のビットは、24ビット・アドレスでは8〜31または40〜63、31ビット・アドレスでは1〜31または33〜63と付番することができる。それらは64ビット・アドレスでは0〜63と付番される。多バイトの他のいずれかの固定長フォーマット内において、このフォーマットを形成するビットは、0から始めて連続的に付番される。エラー検出の目的のため、および好ましくは補正の目的のために、各バイトまたはバイト・グループと共に1つ以上のチェック・ビットを送信することができる。かかるチェック・ビットは、機械により自動的に発生され、プログラムにより直接制御することはできない。記憶容量はバイト数で表される。記憶オペランド・フィールドの長さが命令の動作コードにより暗示された場合、フィールドは固定長を有するといわれ、これは1、2、4、8、または16バイトとすることができる。いくつかの命令では、もっと大きいフィールドが暗示されることがある。記憶オペランド・フィールドの長さが暗示されずに明示的に示された場合、フィールドは可変長を有するといわれる。可変長オペランドは、1バイトの増分で(またはいくつかの命令により、2バイトの倍数または他の倍数で)長さが変動することがある。情報が記憶装置に配置されると、記憶装置に対する物理経路の幅が記憶されるフィールドの長さよりも大きい場合があるとしても、指定されたフィールド内に含まれるバイト位置のみの内容が置換される。
ある特定の情報ユニットは、記憶装置の整数境界上になければならない。情報ユニットについて、その記憶アドレスがバイト単位のユニット長の倍数である場合、境界は整数と呼ばれる。整数境界上の2、4、8、および16バイトには特別な名称が与えられる。ハーフワードは、2バイト境界上にある2個の連続バイトのグループであり、命令の基本的な構築ブロックである。ワードは、4バイト境界上にある4個の連続バイトのグループである。ダブルワードは、8バイト境界上にある8個の連続バイトのグループである。クアッドワード(quadword)は、16バイト境界上にある16個の連続バイトのグループである。記憶アドレスがハーフワード、ワード、ダブルワード、およびクアッドワードを指定した場合、アドレスの二進表現は、最も右側にそれぞれ1つ、2つ、3つ、または4つのゼロ・ビットを含む。命令は2バイトの整数境界上になければならない。ほとんどの命令の記憶オペランドは境界アラインメント要件を有しない。
命令およびデータ・オペランドについて別個のキャッシュを実施するデバイス上では、命令が後にフェッチされるキャッシュ・ライン内にプログラムを記憶した場合、後にフェッチされる命令を記憶装置が変更するか否かにはかかわらず、著しい遅延が生じることがある。
一実施形態において、本発明はソフトウェアによって実施することができる(ライセンスを受けた内部コード、ファームウェア、ミクロコード、ミリコード、ピココード等と称されることがあり、それらはいずれも本発明に適合する)。図6を参照すると、本発明を具現化するソフトウェア・プログラム・コードは典型的に、CD−ROMドライブ、テープ・ドライブ、またはハード・ドライブ等の長期記憶媒体デバイス5011からホスト・システム5000のプロセッサ5001によってアクセスされる。ソフトウェア・プログラム・コードは、ディスケット、ハード・ドライブ、またはCD−ROM等、データ処理システムと共に用いるための様々な既知の媒体のいずれかにおいて具現化することができる。コードは、かかる媒体上に配布することができ、または、コンピュータ・メモリ5002からユーザに配布するか、もしくは1つのコンピュータ・システムの記憶装置からネットワーク5010を介して、他のシステムのユーザにより用いるためにそのような他のコンピュータ・システムに配布することができる。
ソフトウェア・プログラム・コードは、様々なコンピュータ・コンポーネントおよび1つ以上のアプリケーション・プログラムの機能および相互作用を制御するオペレーティング・システムを含む。プログラム・コードは通常、記憶媒体デバイス5011から比較的高速のコンピュータ記憶装置5002へとページされ、ここでプロセッサ5001による処理のために利用可能となる。メモリ内もしくは物理媒体上でのソフトウェア・プログラム・コードの具現化、またはネットワークを介したソフトウェア・コードの分配、あるいはその両方のための技法および装置は周知であり、本明細書においてこれ以上は論じない。プログラム・コードは、タンジブルな媒体(限定ではないが、電子メモリ・モジュール(RAM)、フラッシュ・メモリ、コンパクト・ディスク(CD)、DVD、磁気テープ等を含む)上で生成され記憶された場合、「コンピュータ・プログラム」と称されることが多い。コンピュータ・プログラム媒体は典型的に、処理回路によって実行するために好ましくはコンピュータ・システムにおいて処理回路により読み取り可能である。
図7は、本発明を実施可能である代表的なワークステーションまたはサーバ・ハードウェア・システムを示す。図7のシステム5020は、任意の周辺装置を含むパーソナル・コンピュータ、ワークステーション、またはサーバ等の代表的なベース・コンピュータ・システム5021を含む。ベース・コンピュータ・システム5021は、1つ以上のプロセッサ5026およびバスを含み、このバスは、既知の技法に従ってプロセッサ(複数のプロセッサ)5026とシステム5021の他のコンポーネントとの間を接続し通信を可能とするために用いられる。バスは、プロセッサ5026をメモリ5025および長期記憶装置5027に接続する。記憶装置5027は、例えばハード・ドライブ(例えば磁気媒体、CD、DVD、およびフラッシュ・メモリのいずれかを含む)、またはテープ・ドライブを含むことができる。また、システム5021は、バスを介してマイクロプロセッサ5026を1つ以上のインタフェース・デバイスに接続するユーザ・インタフェース・アダプタを含むことができる。インタフェース・デバイスは、キーボード5024、マウス5023、プリンタ/スキャナ5030、または、タッチ・スクリーン、デジタル化入力パッド等のいずれかのユーザ・インタフェース・デバイスとすることができる他のインタフェース・デバイスあるいはそれら全て等である。また、バスは、LCDスクリーンまたはモニタ等のディスプレイ・デバイス5022を、ディスプレイ・アダプタを介してマイクロプロセッサ5026に接続する。
システム5021は、5028をネットワーク5029に通信することができるネットワーク・アダプタによって他のコンピュータまたはコンピュータ・ネットワークと通信を行うことができる。例示的なネットワーク・アダプタは、通信チャネル、トークン・リング、イーサネットまたはモデムである。あるいは、システム5021は、CDPD(セル式デジタル・パケット・データ)カード等の無線インタフェースを用いて通信を行うことができる。システム5021は、ローカル・エリア・ネットワーク(LAN)またはワイド・エリア・ネットワーク(WAN)においてそのような他のコンピュータに関連付けることができ、またはシステム5021は、別のコンピュータ等を用いたクライアント/サーバ配置におけるクライアントとすることができる。これらの構成の全てならびに適切な通信ハードウェアおよびソフトウェアは、当技術分野において既知である。
図8は、本発明を実施可能なデータ処理ネットワーク5040を示す。データ処理ネットワーク5040は、無線ネットワークおよび有線ネットワーク等の複数の個別ネットワークを含み、その各々が複数の個別のワークステーション5041、5042、5043、5044を含むことができる。更に、当業者には認められるであろうが、1つ以上のLANを含むことができ、LANはホスト・プロセッサに結合された複数のインテリジェント・ワークステーションを含むことができる。
更に図8を参照すると、ネットワークは、ゲートウェイ・コンピュータ(クライアント・サーバ5046)またはアプリケーション・サーバ(データ・レポジトリにアクセスすることができ、ワークステーション5045から直接アクセスすることができる遠隔サーバ5048)等のメインフレーム・コンピュータまたはサーバを含むことができる。ゲートウェイ・コンピュータ5046は、個別の各ネットワークへのエントリ・ポイントとして機能する。1つのネットワーキング・プロトコルを別のものに接続する場合にゲートウェイが必要である。ゲートウェイ5046は、好ましくは通信リンクによって別のネットワーク(例えばインターネット5047)に結合することができる。また、ゲートウェイ5046は、通信リンクを用いて1つ以上のワークステーション501、5042、5043、5044に直接結合することができる。ゲートウェイ・コンピュータは、インターナショナル・ビジネス・マシーンズ・コーポレーションから利用可能なIBM eServer(TM) System z(R)サーバを用いて実施することができる。
図7および図8を同時に参照すると、本発明を具現化することができるソフトウェア・プログラミング・コードは、CD−ROMドライブまたはハード・ドライブ等の長期記憶媒体5027からシステム5020のプロセッサ5026によってアクセスすることができる。ソフトウェア・プログラミング・コードは、ディスケット、ハード・ドライブ、またはCD−ROM等、データ処理システムと共に用いるための様々な既知の媒体のいずれかで具現化することができる。コードは、かかる媒体上に分配することができ、またはメモリからユーザ5050、5051に分配するか、もしくは1つのコンピュータ・システムの記憶装置からネットワークを介して他のコンピュータ・システムのユーザによって用いるためにそのような他のシステムに分配することができる。
あるいは、プログラミング・コードは、メモリ5025において具現化し、プロセッサ・バスを用いてプロセッサ5026によってアクセスすることができる。かかるプログラミング・コードはオペレーティング・システムを含み、これが様々なコンピュータ・コンポーネントおよび1つ以上のアプリケーション・プログラム5032の機能および相互作用を制御する。プログラム・コードは、通常記憶媒体5027から高速メモリ5025にページされ、ここでプロセッサ5026による処理に利用可能となる。メモリ内もしくは物理媒体上でのソフトウェア・プログラム・コードの具現化、またはネットワークを介したソフトウェア・コードの分配、あるいはその両方のための技法および装置は周知であり、本明細書においてこれ以上は論じない。プログラム・コードは、タンジブルな媒体(限定ではないが、電子メモリ・モジュール(RAM)、フラッシュ・メモリ、コンパクト・ディスク(CD)、DVD、磁気テープ等を含む)上で生成され記憶された場合、「コンピュータ・プログラム」と称されることが多い。コンピュータ・プログラム媒体は典型的に、処理回路によって実行するために好ましくはコンピュータ・システムにおいて処理回路により読み取り可能である。
プロセッサに対して最も容易に利用可能なキャッシュ(通常はプロセッサの他のキャッシュよりも高速かつ小型である)は、最下位(L1またはレベル1)キャッシュであり、主記憶装置(メイン・メモリ)は最上位キャッシュ(3レベルの場合L3)である。多くの場合、最下位キャッシュは、実行される機械命令を保持する命令キャッシュ(I−キャッシュ)と、データ・オペランドを保持するデータ・キャッシュ(D−キャッシュ)とに分割される。
図9を参照すると、プロセッサ5026について例示的なプロセッサの実施形態が示されている。典型的に、プロセッサ性能を向上させるため、キャッシュ5053の1つ以上のレベルを用いてメモリ・ブロックをバッファする。キャッシュ5053は、高速バッファであり、用いられる可能性の高いメモリ・データのキャッシュ・ラインを保持する。典型的なキャッシュ・ラインは64、128、または256バイトのメモリ・データである。多くの場合、命令のキャッシュにはデータのキャッシュとは別個のキャッシュを用いる。キャッシュ・コヒーレンス(メモリおよびキャッシュにおけるラインのコピーの同期)は多くの場合、当技術分野において周知の様々な「スヌープ」アルゴリズムによって与えられる。プロセッサ・システムのメイン・メモリ記憶装置5025は、キャッシュと称されることが多い。4レベルのキャッシュ5053を有するプロセッサ・システムにおいて、主記憶装置5025は時にレベル5(L5)キャッシュと称される。これは通常もっと高速であり、コンピュータ・システムに利用可能な不揮発性記憶装置(DASD、テープ等)の一部を保持するのみだからである。主記憶装置5025は、オペレーティング・システムによって主記憶装置5025の内外にページされるデータのページを「キャッシュする」。
プログラム・カウンタ(命令カウンタ)5061は、実行対象の現在の命令のアドレスの経過を追っている。z/Architecture(R)プロセッサのプログラム・カウンタは64ビットであり、切り捨てて31または24ビットとして以前のアドレス指定の限度をサポートすることができる。プログラム・カウンタは典型的にはコンピュータのPSW(プログラム・ステータス・ワード)で具現化され、文脈切り換えの間持続するようになっている。このため、進行中の、プログラム・カウンタ値を有するプログラムは、例えばオペレーティング・システムによって割込をかけることができる(プログラム環境からオペレーティング・システム環境への文脈切り換え)。プログラムがアクティブでない間プログラムのPSWはプログラム・カウンタ値を維持し、オペレーティング・システムが実行している間オペレーティング・システムのプログラム・カウンタ(PSW)が用いられる。典型的に、プログラム・カウンタは現在の命令のバイト数に等しい量だけ増分される。RISC(縮小命令セット・コンピューティング)命令は典型的に固定長であり、CISC(復号命令セット・コンピューティング)命令は典型的に可変長である。IBM z/Architecture(R)の命令はCISC命令であり、長さは2、4、または6バイトである。プログラム・カウンタ5061は、例えば文脈切り換え動作または分岐命令の分岐選択動作のいずれかによって変更される。文脈切り換え動作においては、現在のプログラム・カウンタ値を、実行しているプログラムに関する他の状態情報(条件コード等)と共にプログラム・ステータス・ワードにセーブし、新しいプログラム・コード値をロードして、実行する新しいプログラム・モジュールの命令を指し示す。分岐選択動作は、分岐命令の結果をプログラム・カウンタ5061にロードすることによってプログラム内で決定またはループをプログラムが行うことを可能とするために、実行される。
典型的に、命令フェッチ・ユニット5055を用いてプロセッサ5026のために命令をフェッチする。フェッチ・ユニットは、分岐選択命令のターゲット命令である「次の連続命令」、または文脈切り換え後のプログラムの第1の命令のいずれかをフェッチする。最新の命令フェッチ・ユニットは多くの場合、プリフェッチ技法を用いて、プリフェッチした命令を用いる可能性に基づいて命令を投機的にプリフェッチする。例えば、フェッチ・ユニットは、次の連続命令を含む命令の16バイトおよび更に別の連続命令の追加バイトをフェッチすることができる。
次いで、フェッチした命令をプロセッサ5026によって実行する。一実施形態においては、フェッチした命令(複数の命令)をフェッチ・ユニットのディスパッチ・ユニット5056に渡す。ディスパッチ・ユニットは命令(複数の命令)を復号して、復号した命令(複数の命令)に関する情報を適切なユニット5057、5058、5060に転送する。実行ユニット5057は典型的に、命令フェッチ・ユニット5055から復号した算術命令に関する情報を受信し、命令の操作符号に従ってオペランドに算術演算を実行する。オペランドは、好ましくはメモリ5025から、アーキテクチャ化されたレジスタ5059から、または実行している命令の即値フィールドから、実行ユニット5057に与えられる。実行の結果は、記憶される場合、メモリ5025、レジスタ5059、または他の機械ハードウェア(制御レジスタ、PSWレジスタ等)に記憶される。
プロセッサ5026は典型的に、命令の機能を実行するための1つ以上のユニット5057、5058、5060を有する。図10を参照すると、実行ユニット5057は、アーキテクチャ化された汎用レジスタ5059、復号/ディスパッチ・ユニット5056、ロード記憶ユニット5060、および他のプロセッサ・ユニット5065と、インタフェース論理5071を介して通信を行うことができる。実行ユニット5057は、いくつかのレジスタ回路5067、5068、5069を用いて、算術論理ユニット(ALU)5066が動作を行う情報を保持することができる。ALUは、加算、減算、乗算、および除算等の算術演算を実行すると共に、論理積、論理和、および排他的論理和(XOR)、回転、およびシフト等の論理関数を実行する。好ましくは、ALUは、設計に依存する特殊な動作をサポートする。他の回路は、例えば条件コードおよび回復サポート論理を含む他のアーキテクチャ化されたファシリティ5072を提供することができる。典型的に、ALU動作の結果は出力レジスタ回路5070に保持され、これはこの結果を様々な他の処理機能に転送することができる。プロセッサ・ユニットには多くの構成があり、本記載は、単に一実施形態の代表的な理解を得ることを意図しているに過ぎない。
例えばADD命令は、算術および論理機能性を有する実行ユニット5057において実行されるが、例えば浮動小数点命令は、特殊な浮動小数点機能を有する浮動小数点実行において実行される。好ましくは、実行ユニットは、オペランドに対して操作符号規定関数を実行することによって、命令により識別されたオペランドに対して動作する。例えばADD命令は、命令のレジスタ・フィールドにより識別された2つのレジスタ5059で見出されたオペランドに対して実行ユニット5057により実行することができる。
実行ユニット5057は、2つのオペランドに対して算術加算を実行し、その結果を第3のオペランドに記憶する。第3のオペランドは、第3のレジスタまたは2つのソース・レジスタの1つとすることができる。実行ユニットは、好ましくは算術論理ユニット(ALU)5066を用い、これは、シフト、回転、論理積、論理和、および排他的論理和等の様々な論理関数、ならびに、加算、減算、乗算、除算のいずれかを含む様々な代数関数を実行することができる。いくつかのALU5066はスカラー演算のために設計され、いくつかは浮動小数点のために設計されている。データは、アーキテクチャに応じて、ビッグ・エンディアン(Big Endian)(この場合、最下位バイトが最上位バイト・アドレスである)、またはリトル・エンディアン(Little Endian)(この場合、最下位バイトが最下位バイト・アドレスである)のいずれかとすることができる。IBM z/Architecture(R)はビッグ・エンディアンである。符号付きフィールドは、アーキテクチャに応じて、符号および大きさ、1の補数、または2の補数とすることができる。2の補数は、ALUが減算機能を設計する必要がないという点で有利である。なぜなら、2の補数における負の値または正の値に必要なのはALU内の加算のみだからである。数は一般に略記で記述され、例えば12ビット・フィールドが4,096バイト・ブロックのアドレスを規定し、4Kバイト(キロバイト)・ブロックと一般に記載される。
図11を参照すると、分岐命令を実行するための分岐命令情報は典型的に分岐ユニット5058に送信され、これは多くの場合、分岐履歴テーブル5082等の分岐予想アルゴリズムを用いて、他の条件動作が完了する前に分岐の結果を予想する。現在の分岐命令のターゲットをフェッチし、投機的に実行した後に条件動作が完了する。条件動作が完了すると、条件動作の条件および投機結果に基づいて、投機的に実行した分岐命令を完了させるかまたは廃棄する。典型的な分岐命令は、条件コードをテストし、条件コードが分岐命令の分岐要件を満足させた場合にはターゲット・アドレスに分岐することができる。ターゲット・アドレスは、例えば命令のレジスタ・フィールドまたは即値フィールドで見出されたものを含むいくつかの数に基づいて計算することができる。分岐ユニット5058は、複数の入力レジスタ回路5075、5076、5077を有するALU5074および出力レジスタ回路5080を用いることができる。分岐ユニット5058は、例えば、汎用レジスタ5059、復号ディスパッチ・ユニット5056、または他の回路5073と通信することができる。
命令グループの実行は、例えば、オペレーティング・システムにより開始された文脈切り換え、文脈切り換えを生じるプログラム例外またはエラー、文脈切り換えまたは複数のプログラムの多スレッド・アクティビティを生じる(多スレッド環境において)I/O割込信号を含む様々な理由で割込を受ける可能性がある。好ましくは、文脈切り換えアクションでは、現在実行しているプログラムに関する状態情報をセーブし、次いで呼び出している別のプログラムに関する状態情報をロードする。状態情報は、例えばハードウェア・レジスタまたはメモリにセーブすることができる。状態情報は、好ましくは、実行される次の命令を指し示すプログラム・カウンタ値、条件コード、メモリ変換情報、およびアーキテクチャ化されたレジスタ内容を含む。文脈切り換えアクティビティは、ハードウェア回路、アプリケーション・プログラム、オペレーティング・システム・プログラム、またはファームウェア・コード(ミクロコード、ピココード、またはライセンスを受けた内部コード(LIC))によって、単独でまたは組み合わせて実行することができる。
プロセッサは、命令規定方法に従ってオペランドにアクセスする。命令は、命令の一部の値を用いて即値オペランドを提供することができ、汎用レジスタまたは特殊目的レジスタ(例えば浮動小数点レジスタ)のいずれかを明示的に指し示す1つ以上のレジスタ・フィールドを提供することができる。命令は、オペランドとして操作符号フィールドにより識別した、暗示されたレジスタを利用することができる。命令は、オペランドのためにメモリ位置を用いることができる。オペランドのメモリ位置は、レジスタ、即値フィールド、またはレジスタおよび即値フィールドの組み合わせによって提供することができ、これはz/Architecture(R)長変位ファシリティによって例示される。ここで、命令は、ベース・レジスタ、インデックス・レジスタ、および即値フィールド(変位フィールド)を規定し、これらを加算して例えばメモリ内のオペランドのアドレスを提供する。本明細書において、位置は典型的に、特に指示しない限りメイン・メモリ(主記憶装置)内の位置を示す。
図12を参照すると、プロセッサは、ロード/記憶ユニット5060を用いて記憶装置にアクセスする。ロード/記憶ユニット5060は、メモリ5053においてターゲット・オペランドのアドレスを取得してオペランドをレジスタ5059またはメモリ5053の別の位置にロードすることによってロード動作を実行することができ、または、メモリ5053においてターゲット・オペランドのアドレスを取得してレジスタ5059またはメモリ5053の別の位置から取得したデータをメモリ5053内のターゲット・オペランド位置に記憶することによって、記憶動作を実行することができる。ロード/記憶ユニット5060は投機的なものとすることができ、命令シーケンスに対してアウト・オブ・オーダーのシーケンスでメモリにアクセスすることができるが、ロード/記憶ユニット5060は、命令がイン・オーダーで実行されたようにプログラムに対して外観を維持しなければならない。ロード/記憶ユニット5060は、汎用レジスタ5059、復号/ディスパッチ・ユニット5056、キャッシュ/メモリ・インタフェース5053、または他の要素5083と通信を行うことができ、様々なレジスタ回路、ALU5085、および制御論理5090を含んで、記憶アドレスを計算すると共に、パイプライン処理を順に行って動作をイン・オーダーに維持する。いくつかの動作はアウト・オブ・オーダーである場合があるが、ロード/記憶ユニットは、プログラムに対してアウト・オブ・オーダーの動作がイン・オーダーで実行されたように見せる機能性を提供する。これについては当技術分野において周知である。
好ましくは、アプリケーション・プログラムが「見る」アドレスは、仮想アドレスと称されることが多い。仮想アドレスは時に「論理アドレス」および「実効アドレス」と称される。これらの仮想アドレスは、様々な動的アドレス変換(DAT)技術の1つによって物理メモリ位置に再送出されるという点で仮想である。この技術は、限定ではないが、単にオフセット値で仮想アドレスにプレフィックスを付けること、仮想アドレスを1つ以上の変換テーブルにより変換することを含み、変換テーブルは好ましくは、少なくともセグメント・テーブルおよびページ・テーブルを単独でまたは組み合わせて含み、好ましくはセグメント・テーブルはページ・テーブルを指し示すエントリを有する。z/Architecture(R)では、領域第1テーブル、領域第2テーブル、領域第3テーブル、セグメント・テーブル、および任意のページ・テーブルを含む変換の階層が与えられる。多くの場合、アドレス変換の性能を向上させるためには、仮想アドレスを関連する物理メモリ位置にマッピングするエントリを含む変換ルックアサイド・バッファ(TLB)を利用する。エントリは、DATが変換テーブルを用いて仮想アドレスを変換する際に生成される。この後に仮想アドレスを用いると、低速の連続変換テーブル・アクセスでなく高速のTLBのエントリを利用することができる。TLB内容は、LRU(Least Recently Used)を含む様々な置換アルゴリズムによって管理することができる。
プロセッサがマルチプロセッサ・システムのプロセッサである場合、各プロセッサは、コヒーレンシのために、I/O、キャッシュ、TLB、およびメモリ等の共有リソースをインターロック状態に保持する責任を有する。典型的に、キャッシュ・コヒーレンシを維持する際には「スヌープ」技術が用いられる。スヌープ環境では、共有を容易にするために、各キャッシュ・ラインを、共有状態、排他的状態、変更状態、無効状態等のいずれか1つとしてマーク付けすることができる。
I/Oユニット5054(図9)は、プロセッサに、例えばテープ、ディスク、ポインタ、ディスプレイ、およびネットワークを含む周辺装置に取り付けるための手段を提供する。I/Oユニットは多くの場合、ソフトウェア・ドライバによってコンピュータ・プログラムに提示される。IBM(R)からのSystem z(R)等のメインフレームにおいては、チャネル・アダプタおよびオープン・システム・アダプタがメインフレームのI/Oユニットであり、オペレーティング・システムと周辺装置間の通信を提供する。
更に、他のタイプのコンピューティング環境も本発明の1つ以上の態様から利益を得ることができる。一例として、環境はエミュレータ(例えばソフトウェアまたは他のエミュレーション機構)を含むことができ、この場合、特定のアーキテクチャ(例えば命令実行、アドレス変換等のアーキテクチャ化された機能、およびアーキテクチャ化されたレジスタ)またはそのサブセットがエミュレートされる(例えばプロセッサおよびメモリを有するネイティブのコンピュータ・システム上で)。かかる環境においては、エミュレータを実行するコンピュータがエミュレートしている機能とは異なるアーキテクチャを有する場合であっても、エミュレータの1つ以上のエミュレーション機能が本発明の1つ以上の態様を実施することができる。一例として、エミュレーション・モードにおいて、エミュレートしている特定の命令または動作が復号され、適切なエミュレーション機能を構築して個々の命令または動作が実施される。
エミュレーション環境において、ホスト・コンピュータは例えば、命令およびデータを記憶するメモリと、メモリから命令をフェッチし、任意にフェッチした命令のためのローカル・バッファを提供する命令フェッチ・ユニットと、フェッチした命令を受信し、フェッチされた命令のタイプを判定する命令復号ユニットと、命令を実行するための命令実行ユニットと、を含む。実行は、メモリからレジスタにデータをロードすること、レジスタからメモリにデータを戻して記憶すること、または、復号ユニットによる判定に従って、あるタイプの算術または論理演算を実行することを含むことができる。一例では、各ユニットはソフトウェアにおいて実施される。例えば、ユニットにより実行されている動作は、エミュレータ・ソフトウェア内の1つ以上のサブルーチンとして実施される。
更に具体的には、メインフレームにおいて、アーキテクチャ化された機械命令は、通常は今日の「C」プログラマのようなプログラマにより、多くの場合コンピュータ・アプリケーションによって用いられる。記憶媒体に記憶されたこれらの命令は、z/Architecture(R)IBM(R)サーバによってネイティブに、または他のアーキテクチャを実行する機械において実行することができる。それらは、既存のおよび今後のIBM(R)メインフレーム・サーバにおいて、およびIBM(R)の他の機械(例えばPower SystemsサーバおよびSystem x(R)Server)上で、エミュレートすることができる。それらは、IBM(R)、Intel(R)、AMD(TM)および他の企業により製造されたハードウェアを用いて、多種多様な機械上でLinuxを実行する機械において実行することができる。z/Architecture(R)のもとでそのハードウェア上での実行以外に、Linuxおよび、HerculesまたはFSI(Fundamental Software, Inc.)によるエミュレーションを用いる機械を用いることができ、この場合は一般に実行はエミュレーション・モードである。エミュレーション・モードでは、エミュレーション・ソフトウェアはネイティブ・プロセッサにより実行されて、エミュレートしたプロセッサのアーキテクチャをエミュレートする。上述のエミュレータ製品に関する情報は、ワールド・ワイド・ウェブ上で、それぞれwww.hercules−390.orgおよびwww.funsoft.com.において利用可能である。
ネイティブ・プロセッサは典型的に、ファームウェアまたはネイティブ・オペレーティング・システムのいずれかを含むエミュレーション・ソフトウェアを実行して、エミュレートしたプロセッサのエミュレーションを実行する。エミュレーション・ソフトウェアは、エミュレートしたプロセッサ・アーキテクチャの命令をフェッチし実行する責任を有する。エミュレーション・ソフトウェアは、エミュレートしたプログラム・カウンタを維持して、命令境界の経過を追う。エミュレーション・ソフトウェアは、1度に1つ以上のエミュレートした機械命令をフェッチし、その1つ以上のエミュレートした機械命令をネイティブ・プロセッサによる実行のために対応するネイティブ機械命令グループに変換することができる。これらの変換した命令は、より高速の変換を達成可能であるようにキャッシュすることができる。それにもかかわらず、エミュレーション・ソフトウェアは、エミュレートしたプロセッサ・アーキテクチャのアーキテクチャ・ルールを維持して、エミュレートしたプロセッサのために書かれたオペレーティング・システムおよびアプリケーションが正しく動作することを保証しなければならない。更に、エミュレーション・ソフトウェアは、エミュレートしたプロセッサ・アーキテクチャによって識別されたリソースを提供しなければならない。このアーキテクチャは、限定ではないが、制御レジスタ、汎用レジスタ、浮動小数点レジスタ、例えばセグメント・テーブルおよびページ・テーブルを含む動的アドレス変換機能、割込機構、文脈切り換え機構、TOD(Time of Day)クロック、およびI/Oサブシステムに対するアーキテクチャ化されたインタフェースを含み、エミュレートしたプロセッサ上で動作するように設計されたオペレーティング・システムまたはアプリケーション・プログラムが、エミュレーション・ソフトウェアを有するネイティブ・プロセッサ上で動作可能であるようになっている。
エミュレートされた特定の命令が復号され、個々の命令の機能を実行するためのサブルーチンが呼び出される。エミュレートされたプロセッサの機能をエミュレートするエミュレーション・ソフトウェア機能は、例えば「C」サブルーチンもしくはドライバにおいて、または好適な実施形態の記載を理解した後に当業者の技術の範囲内にあるような特定のハードウェアのためのドライバを提供する他の何らかの方法において、実施される。様々なソフトウェアおよびハードウェア・エミュレーション特許は、限定ではないが、Beausoleil等による「Multiprocessor for Hardware Emulation」と題する米国特許第5,551,013号、Scalzi等による「Preprocessing of Stored Target Routines for Emulating Incompatible Instructions on a Target Processor」と題する米国特許第6,009,261号、Davidian等による「Decoding Guest Instruction to Directly Access Emulation Routines that Emulate the Guest Instructions」と題する米国特許第5,574,873号、Gorishek等による「Symmetrical Multiprocessing Bus and Chipset Used for Coprocessor Support Allowing Non−Native Code to Run in a System」と題する米国特許第6,308,255号、Lethin等による「Dynamic Optimizing Object Code Translator for Architecture Emulation and Dynamic Optimizing Object Code Translation Method」と題する米国特許第6,463,582号、Eric Trautによる「Method for Emulating Guest Instructions on a Host Computer Through Dynamic Recompilation of Host Instructions」と題する米国特許第5,790,825号、および他の多くのものが含まれる。これらは、当業者に利用可能なターゲット機械のための異なる機械向けにアーキテクチャ化された命令フォーマットのエミュレーションを達成するための様々な既知の方法を例示している。
図13において、ホスト・コンピュータ・システム5000’をエミュレートする、エミュレートされたホスト・コンピュータ・システム5092の一例が示されている。エミュレートされたホスト・コンピュータ・システム5092において、ホスト・プロセッサ(CPU)5091はエミュレートされたホスト・プロセッサ(または仮想ホスト・プロセッサ)であり、ホスト・コンピュータ5000’のプロセッサ5091のものとは異なるネイティブ命令セット・アーキテクチャを有するエミュレーション・プロセッサ5093を含む。エミュレートされたホスト・コンピュータ・システム5092は、エミュレーション・プロセッサ5093にアクセス可能なメモリ5094を有する。例示的な実施形態においては、メモリ5094は、ホスト・コンピュータ・メモリ部分5096およびエミュレーション・ルーチン部分5097に分割されている。ホスト・コンピュータ・メモリ5096は、ホスト・コンピュータ・アーキテクチャに従って、エミュレートされたホスト・コンピュータ5092のプログラムに利用可能である。エミュレーション・プロセッサ5093は、エミュレートされたプロセッサ5091のものとは異なるアーキテクチャのアーキテクチャ化された命令セットのネイティブ命令を実行し、このネイティブ命令はエミュレーション・ルーチン・メモリ5097から取得されたものである。更に、エミュレーション・プロセッサ5093は、シーケンスおよびアクセス/復号ルーチンにおいて取得される1つ以上の命令を用いることによって、ホスト・コンピュータ・メモリ5096におけるプログラムから実行するためのホスト命令にアクセスすることができ、このシーケンスおよびアクセス/復号ルーチンは、アクセスされたホスト命令(複数の命令)を復号して、アクセスされたホスト命令の機能をエミュレートするためのネイティブ命令実行ルーチンを判定することができる。例えば汎用レジスタ、制御レジスタ、動的アドレス変換、I/Oサブシステム・サポート、およびプロセッサ・キャッシュを含む、ホスト・コンピュータ・システム5000’のために規定された他のファシリティを、アーキテクチャ化されたファシリティ・ルーチンによってエミュレートすることができる。また、エミュレーション・ルーチンは、エミュレーション・プロセッサ5093において利用可能な機能(汎用レジスタおよび仮想アドレスの動的変換等)を利用して、エミュレーション・ルーチンの性能を向上させることができる。また、ホスト・コンピュータ5000’の機能をエミュレートする際にプロセッサ5093を支援するために、特別なハードウェアおよびオフ・ロード・エンジンを提供することも可能である。
本明細書において用いた用語は、特定の実施形態を記載する目的のためだけのものであり、本開示を限定することは意図していない。本明細書において用いたように、単数形「1つの(a)、(an)、(the)」は、文脈によって明らかに他の場合が示されない限り、複数形を含むことが意図されている。また、「含む」または「含んでいる」という言葉あるいはその両方は、本明細書において用いられた場合、述べた特徴、整数、ステップ、動作、要素、または構成要素あるいはそれら全ての存在を規定するが、1つ以上の他の特徴、整数、ステップ、動作、要素、構成要素、またはそれらのグループあるいはそれら全ての存在または追加を除外するものではないことは、理解されよう。
以下の特許請求の範囲における全てのミーンズまたはステップ・プラス・ファンクション要素の対応する構造、材料、行為、および均等物は、具体的に特許請求したような他の特許請求した要素と組み合わせて機能を実行するためのいずれかの構造、材料、または行為を含むことが意図されている。本発明の記載は、例示および記述の目的のために提示したが、網羅的であることや、開示した形態に本発明を限定することは、意図していない。本発明の範囲から逸脱することなく、当業者には多くの変更および変形が明らかであろう。実施形態は、本開示の原理および実際的な用途を最良に説明するため、更に、想定される特定の用途に適した様々な変更と共に様々な実施形態に関して当業者が本発明を理解することを可能とするために、選択し記載したものである。

Claims (20)

  1. 実行するアクションの通信を容易にするための方法であって、
    処理回路によって読み取り可能であり、方法を実行するために前記処理回路によって実行するための命令を記憶するコンピュータ読み取り可能記憶媒体であって、前記方法が、
    サービス・コール論理プロセッサ(SCLP)命令の実行に応答して制御ブロックを指定することであって、前記制御ブロックが、イベント・タイプ、アダプタの機能ハンドル、アダプタ・タイプ、およびアクション・クオリファイアを含み、更に、前記アクション・クオリファイアに基づいたアクションを実行することであって、前記アクションが前記アダプタのリセットまたは修理アクションの要求の一方を含む、ことと、
    前記SCLPの完了に応答して、オペレーティング・システムに通知することと、
    通知に応答して前記オペレーティング・システムにより発行されたイベント情報記憶コマンドの実行に応答して、前記アクションに関する情報を取得することと、
    を含む、方法。
  2. 前記情報が、前記アダプタについて実行された前記アクションに関連付けられたイベントを示すイベント・コードを含む、請求項1に記載の方法。
  3. 前記イベントが、前記機能ハンドルにより識別された前記アダプタが構成状態に移ったこと、前記アダプタが保留状態からスタンバイ状態に移ったこと、前記アダプタの構成解除、前記アダプタが前記構成状態からスタンバイまたは保留状態に移ったこと、記憶量が変更されたこと、および識別されていない1つ以上のアダプタがスタンバイ状態であり得ることの1つを含む、請求項2に記載の方法。
  4. 前記オペレーティング・システムが、論理的にパーティション化された処理ユニットの論理パーティションにおいて実行しており、前記アダプタが前記論理パーティションにより論理的に所有されている、請求項1に記載の方法。
  5. 前記SCLPが、前記アクションを要求するために前記オペレーティング・システムによって発行され、前記オペレーティング・システムによる要求が、前記アダプタのタイプおよびオペレーティング・システムのタイプとは無関係である、請求項1に記載の方法。
  6. 前記実行することが、前記イベント・タイプについて前記アクションを実行するたびに一貫して前記アクションを実行することを含む、請求項1に記載の方法。
  7. 前記方法が、前記ファームウェアによって、前記制御ブロックの受信に応答して、システム・ログ内におけるエントリの生成を要求することを更に含み、前記エントリが前記制御ブロックからの情報を含む、請求項1に記載の方法。
  8. 前記エントリの生成を要求することが、前記エントリを生成するためにサービス・プロセッサに要求を送信することを含み、前記方法が、
    前記サービス・プロセッサによって、前記要求において与えられたサービス基準コードを解析することと、
    前記サービス・プロセッサによって、前記サービス基準コードに基づいたサービス修理アクションを開始することと、
    を更に含む、請求項7に記載の方法。
  9. 前記アクションにアクション・クオリファイアが関連付けられており、前記サービス基準コードがアダプタ・タイプおよびアクション・クオリファイアの組み合わせに対して一意である、請求項8に記載の方法。
  10. コンピュータ読み取り可能媒体上に記憶されたコンピュータ・プログラム・コードを含むコンピュータ・プログラムであって、コンピュータ・システムにロードされて実行された場合、請求項1から9のいずれかに記載の方法の全てのステップを前記コンピュータ・システムに実行させる、コンピュータ・プログラム。
  11. 実行するアクションの通信を容易にするためのコンピュータ・システムであって、
    メモリと、
    前記メモリと通信状態にある処理ユニットと、を含み、
    前記処理ユニットが、サービス・コール論理プロセッサ(SCLP)命令の実行に応答して制御ブロックを指定し、前記制御ブロックが、イベント・タイプ、アダプタの機能ハンドル、アダプタ・タイプ、およびアクション・クオリファイアを含み、前記アクション・クオリファイアに基づいたアクションを実行するためのものであって、前記アクションが前記アダプタのリセットまたは修理アクションの要求の一方を含み、
    前記処理ユニットが、前記SCLPの完了に応答して、オペレーティング・システムに通知するためのものであり、
    前記処理ユニットが、通知に応答して前記オペレーティング・システムにより発行されたイベント情報記憶コマンドの実行に応答して、前記アクションに関する情報を取得するためのものである、コンピュータ・システム。
  12. 実行するアクションの通信を容易にするためのコンピュータ・システムであって、
    メモリと、
    前記メモリと通信状態にある処理ユニットと、を含み、前記コンピュータ・システムが、
    サービス・コール論理プロセッサ(SCLP)命令の実行に応答して制御ブロックを指定し、前記制御ブロックが、イベント・タイプ、アダプタの機能ハンドル、アダプタ・タイプ、およびアクション・クオリファイアを含み、前記アクション・クオリファイアに基づいたアクションを実行し、前記アクションが前記アダプタのリセットまたは修理アクションの要求の一方を含む、ことと、
    前記SCLPの完了に応答して、オペレーティング・システムに通知することと、
    通知に応答して前記オペレーティング・システムにより発行されたイベント情報記憶コマンドの実行に応答して、前記アクションに関する情報を取得することと、
    を含む方法を実行するように構成されている、コンピュータ・システム。
  13. 前記情報が、前記アダプタについて実行された前記アクションに関連付けられたイベントを示すイベント・コードを含む、請求項12に記載のコンピュータ・システム。
  14. 前記イベントが、前記機能ハンドルにより識別された前記アダプタが構成状態に移ったこと、前記アダプタが保留状態からスタンバイ状態に移ったこと、前記アダプタの構成解除、前記アダプタが前記構成状態からスタンバイまたは保留状態に移ったこと、記憶量が変更されたこと、および識別されていない1つ以上のアダプタがスタンバイ状態であり得ることの1つを含む、請求項13に記載のコンピュータ・システム。
  15. 前記オペレーティング・システムが、論理的にパーティション化された処理ユニットの論理パーティションにおいて実行しており、前記アダプタが前記論理パーティションにより論理的に所有されている、請求項12に記載のコンピュータ・システム。
  16. 前記SCLPが、前記アクションを要求するために前記オペレーティング・システムによって発行され、前記オペレーティング・システムによる要求が、前記アダプタのタイプおよびオペレーティング・システムのタイプとは無関係である、請求項12に記載のコンピュータ・システム。
  17. 前記実行することが前記アクションの実行を含み、前記実行することが、前記イベント・タイプについて前記アクションを実行するたびに一貫して前記アクションを実行することを含む、請求項12に記載のコンピュータ・システム。
  18. 前記方法が、前記ファームウェアによって、前記制御ブロックの受信に応答して、システム・ログ内におけるエントリの生成を要求することを更に含み、前記エントリが前記制御ブロックからの情報を含む、請求項12に記載のコンピュータ・システム。
  19. 前記エントリの生成の要求することが、前記エントリを生成するためにサービス・プロセッサに要求を送信することを含み、前記方法が、
    前記サービス・プロセッサによって、前記要求において与えられたサービス基準コードを解析することと、
    前記サービス・プロセッサによって、前記サービス基準コードに基づいた修理アクションを開始することと、
    を更に含む、請求項18に記載のコンピュータ・システム。
  20. 実行するアクションの通信を容易にするためのコンピュータ・プログラムであって、
    処理回路によって読み取り可能であり、請求項1から9のいずれかに記載の方法の全てのステップを実行するために前記処理回路によって実行するための命令を記憶するコンピュータ読み取り可能記憶媒体を含む、コンピュータ・プログラム。
JP2013515724A 2010-06-23 2010-11-08 アダプタ・イベントに応答して実行されるアクションの通信を容易にするためのコンピュータ・システム、方法、およびコンピュータ・プログラム Expired - Fee Related JP5623634B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/821,192 US8505032B2 (en) 2010-06-23 2010-06-23 Operating system notification of actions to be taken responsive to adapter events
US12/821,192 2010-06-23
PCT/EP2010/067029 WO2011160711A1 (en) 2010-06-23 2010-11-08 Operating system notification of actions to be taken responsive to adapter events

Publications (3)

Publication Number Publication Date
JP2013534664A true JP2013534664A (ja) 2013-09-05
JP2013534664A5 JP2013534664A5 (ja) 2014-08-28
JP5623634B2 JP5623634B2 (ja) 2014-11-12

Family

ID=43581831

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013515724A Expired - Fee Related JP5623634B2 (ja) 2010-06-23 2010-11-08 アダプタ・イベントに応答して実行されるアクションの通信を容易にするためのコンピュータ・システム、方法、およびコンピュータ・プログラム

Country Status (5)

Country Link
US (1) US8505032B2 (ja)
EP (1) EP2430542B1 (ja)
JP (1) JP5623634B2 (ja)
CN (1) CN102906708B (ja)
WO (1) WO2011160711A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8468284B2 (en) 2010-06-23 2013-06-18 International Business Machines Corporation Converting a message signaled interruption into an I/O adapter event notification to a guest operating system
US8650335B2 (en) 2010-06-23 2014-02-11 International Business Machines Corporation Measurement facility for adapter functions
US8635430B2 (en) 2010-06-23 2014-01-21 International Business Machines Corporation Translation of input/output addresses to memory addresses
US8615645B2 (en) 2010-06-23 2013-12-24 International Business Machines Corporation Controlling the selectively setting of operational parameters for an adapter
US8510599B2 (en) * 2010-06-23 2013-08-13 International Business Machines Corporation Managing processing associated with hardware events
US8572635B2 (en) 2010-06-23 2013-10-29 International Business Machines Corporation Converting a message signaled interruption into an I/O adapter event notification
US9176801B2 (en) 2013-09-06 2015-11-03 Sap Se Advanced data models containing declarative and programmatic constraints
US9354948B2 (en) 2013-09-06 2016-05-31 Sap Se Data models containing host language embedded constraints
US9361407B2 (en) 2013-09-06 2016-06-07 Sap Se SQL extended with transient fields for calculation expressions in enhanced data models
US9430523B2 (en) 2013-09-06 2016-08-30 Sap Se Entity-relationship model extensions using annotations
US9575819B2 (en) 2013-09-06 2017-02-21 Sap Se Local buffers for event handlers
US9442977B2 (en) 2013-09-06 2016-09-13 Sap Se Database language extended to accommodate entity-relationship models
US9639572B2 (en) 2013-09-06 2017-05-02 Sap Se SQL enhancements simplifying database querying
US9619552B2 (en) 2013-09-06 2017-04-11 Sap Se Core data services extensibility for entity-relationship models
US10120709B2 (en) 2016-02-29 2018-11-06 Red Hat Israel, Ltd. Guest initiated atomic instructions for shared memory page host copy on write
US10713048B2 (en) * 2017-01-19 2020-07-14 International Business Machines Corporation Conditional branch to an indirectly specified location
CN112685341B (zh) * 2020-12-25 2024-02-23 北京浪潮数据技术有限公司 一种存储系统适配器的配置方法、装置、设备及存储介质

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05181823A (ja) * 1990-08-31 1993-07-23 Internatl Business Mach Corp <Ibm> 区画区分式プロセス環境における区画間制御のための方法及び装置
JPH07295841A (ja) * 1992-10-19 1995-11-10 Internatl Business Mach Corp <Ibm> 動的に資源を再構成するための方法及びシステム
WO2004046926A1 (ja) * 2002-11-21 2004-06-03 Fujitsu Limited イベント通知方法、デバイス及びプロセッサシステム
JP2009123217A (ja) * 2007-11-15 2009-06-04 Internatl Business Mach Corp <Ibm> データ処理システム内で入出力(i/o)仮想化を管理するための方法およびデータ処理システムならびにコンピュータ・プログラム
JP2009151565A (ja) * 2007-12-20 2009-07-09 Hitachi Ltd サーバ装置及びそのリンク回復処理方法

Family Cites Families (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4323963A (en) 1979-07-13 1982-04-06 Rca Corporation Hardware interpretive mode microprocessor
JPS58501921A (ja) 1981-10-13 1983-11-10 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション チヤネル動作の測定のための方法及び装置
US5053952A (en) 1987-06-05 1991-10-01 Wisc Technologies, Inc. Stack-memory-based writable instruction set computer having a single data bus
US5282274A (en) 1990-05-24 1994-01-25 International Business Machines Corporation Translation of multiple virtual pages upon a TLB miss
US5170472A (en) 1991-03-28 1992-12-08 International Business Machines Corp. Dynamically changing a system i/o configuration definition
US5465355A (en) 1991-09-04 1995-11-07 International Business Machines Corporation Establishing and restoring paths in a data processing I/O system
JPH05165715A (ja) 1991-12-12 1993-07-02 Nec Corp 情報処理装置
JPH0821015B2 (ja) 1992-01-20 1996-03-04 インターナショナル・ビジネス・マシーンズ・コーポレイション コンピュータならびにそのシステム再構成化装置および方法
US5617554A (en) 1992-02-10 1997-04-01 Intel Corporation Physical address size selection and page size selection in an address translator
US5600805A (en) 1992-06-15 1997-02-04 International Business Machines Corporation Pass-through for I/O channel subsystem call instructions for accessing shared resources in a computer system having a plurality of operating systems
US5265240A (en) 1992-07-24 1993-11-23 International Business Machines Corporation Channel measurement method and means
US5465332A (en) 1992-09-21 1995-11-07 International Business Machines Corporation Selectable 8/16 bit DMA channels for "ISA" bus
JP2500101B2 (ja) 1992-12-18 1996-05-29 インターナショナル・ビジネス・マシーンズ・コーポレイション 共用変数の値を更新する方法
AU6629894A (en) 1993-05-07 1994-12-12 Apple Computer, Inc. Method for decoding guest instructions for a host computer
US5535352A (en) 1994-03-24 1996-07-09 Hewlett-Packard Company Access hints for input/output address translation mechanisms
US5551013A (en) 1994-06-03 1996-08-27 International Business Machines Corporation Multiprocessor for hardware emulation
DE19580707C2 (de) 1994-06-28 2003-10-30 Intel Corp PCI-ZU-ISA-Interrupt-Protokoll-Konverter und -Auswahlmechanismus
US5748950A (en) 1994-09-20 1998-05-05 Intel Corporation Method and apparatus for providing an optimized compare-and-branch instruction
US5901312A (en) 1994-12-13 1999-05-04 Microsoft Corporation Providing application programs with unmediated access to a contested hardware resource
US5802590A (en) 1994-12-13 1998-09-01 Microsoft Corporation Method and system for providing secure access to computer resources
JPH08263424A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd コンピュータ装置
US5790825A (en) 1995-11-08 1998-08-04 Apple Computer, Inc. Method for emulating guest instructions on a host computer through dynamic recompilation of host instructions
US5960213A (en) 1995-12-18 1999-09-28 3D Labs Inc. Ltd Dynamically reconfigurable multi-function PCI adapter device
US5974440A (en) 1996-03-25 1999-10-26 Texas Instruments Incorporated Microprocessor with circuits, systems, and methods for interrupt handling during virtual task operation
US5819053A (en) 1996-06-05 1998-10-06 Compaq Computer Corporation Computer system bus performance monitoring
US5761448A (en) 1996-08-30 1998-06-02 Ncr Corporation Physical-to-logical bus mapping scheme for computer systems having multiple PCI bus configuration
US5838960A (en) 1996-09-26 1998-11-17 Bay Networks, Inc. Apparatus for performing an atomic add instructions
US5826084A (en) 1997-03-25 1998-10-20 Texas Instruments Incorporated Microprocessor with circuits, systems, and methods for selectively bypassing external interrupts past the monitor program during virtual program operation
US6272257B1 (en) 1997-04-30 2001-08-07 Canon Kabushiki Kaisha Decoder of variable length codes
KR100263672B1 (ko) 1997-05-08 2000-09-01 김영환 가변적인 페이지 크기를 지원하는 어드레스 변환장치
US5914730A (en) 1997-09-09 1999-06-22 Compaq Computer Corp. System and method for invalidating and updating individual GART table entries for accelerated graphics port transaction requests
US6067595A (en) 1997-09-23 2000-05-23 Icore Technologies, Inc. Method and apparatus for enabling high-performance intelligent I/O subsystems using multi-port memories
US5864703A (en) 1997-10-09 1999-01-26 Mips Technologies, Inc. Method for providing extended precision in SIMD vector arithmetic operations
US6078970A (en) 1997-10-15 2000-06-20 International Business Machines Corporation System for determining adapter interrupt status where interrupt is sent to host after operating status stored in register is shadowed to host memory
US6009261A (en) 1997-12-16 1999-12-28 International Business Machines Corporation Preprocessing of stored target routines for emulating incompatible instructions on a target processor
US6023736A (en) 1997-12-19 2000-02-08 International Business Machines Corporation System for dynamically configuring I/O device adapters where a function configuration register contains ready/not ready flags corresponding to each I/O device adapter
US6021458A (en) 1998-01-21 2000-02-01 Intel Corporation Method and apparatus for handling multiple level-triggered and edge-triggered interrupts
US6223299B1 (en) 1998-05-04 2001-04-24 International Business Machines Corporation Enhanced error handling for I/O load/store operations to a PCI device via bad parity or zero byte enables
US6308255B1 (en) 1998-05-26 2001-10-23 Advanced Micro Devices, Inc. Symmetrical multiprocessing bus and chipset used for coprocessor support allowing non-native code to run in a system
US6615305B1 (en) 1998-08-27 2003-09-02 Intel Corporation Interrupt pacing in data transfer unit
US6463582B1 (en) 1998-10-21 2002-10-08 Fujitsu Limited Dynamic optimizing object code translator for architecture emulation and dynamic optimizing object code translation method
US6408347B1 (en) 1998-12-10 2002-06-18 Cisco Technology, Inc. Integrated multi-function adapters using standard interfaces through single a access point
US6519645B2 (en) 1999-02-19 2003-02-11 International Business Machine Corporation Method and apparatus for providing configuration information using a queued direct input-output device
US6349380B1 (en) 1999-03-12 2002-02-19 Intel Corporation Linear address extension and mapping to physical memory using 4 and 8 byte page table entries in a 32-bit microprocessor
US6330656B1 (en) 1999-03-31 2001-12-11 International Business Machines Corporation PCI slot control apparatus with dynamic configuration for partitioned systems
US6578191B1 (en) 1999-05-17 2003-06-10 International Business Machines Corporation Method and apparatus for dynamic generation of adapters
US6330647B1 (en) 1999-08-31 2001-12-11 Micron Technology, Inc. Memory bandwidth allocation based on access count priority scheme
US6772097B1 (en) 1999-09-30 2004-08-03 Intel Corporation Retrieving I/O processor performance monitor data
US6493741B1 (en) 1999-10-01 2002-12-10 Compaq Information Technologies Group, L.P. Method and apparatus to quiesce a portion of a simultaneous multithreaded central processing unit
US6970992B2 (en) 1999-10-04 2005-11-29 Intel Corporation Apparatus to map virtual pages to disparate-sized, non-contiguous real pages and methods relating thereto
US6651126B1 (en) 1999-10-29 2003-11-18 Texas Instruments Incorporated Snapshot arbiter mechanism
US7509391B1 (en) 1999-11-23 2009-03-24 Texas Instruments Incorporated Unified memory management system for multi processor heterogeneous architecture
US6529978B1 (en) 2000-02-23 2003-03-04 International Business Machines Corporation Computer input/output (I/O) interface with dynamic I/O adaptor processor bindings
US6963940B1 (en) 2000-03-30 2005-11-08 International Business Machines Corporation Measuring utilization of individual components of channels
US6772264B1 (en) 2000-05-22 2004-08-03 International Business Machines Corporation Enabling a docking station for ISA adapters
US6715011B1 (en) 2000-05-31 2004-03-30 International Business Machines Corporation PCI/PCI-X bus bridge with performance monitor
US6658599B1 (en) 2000-06-22 2003-12-02 International Business Machines Corporation Method for recovering from a machine check interrupt during runtime
US6654818B1 (en) 2000-06-22 2003-11-25 International Business Machines Corporation DMA access authorization for 64-bit I/O adapters on PCI bus
US6751752B1 (en) 2000-09-01 2004-06-15 Intel Corporation Checking events generated by a device
US6704831B1 (en) 2000-11-16 2004-03-09 Sun Microsystems, Inc. Method and apparatus for converting address information between PCI bus protocol and a message-passing queue-oriented bus protocol
US6658521B1 (en) 2000-12-22 2003-12-02 International Business Machines Corporation Method and apparatus for address translation on PCI bus over infiniband network
US6721839B1 (en) 2000-12-27 2004-04-13 International Business Machines Corporation Method of mapping multiple address spaces into single PCI bus
TW499795B (en) 2001-03-19 2002-08-21 Realtek Semiconductor Corp PCI extended function interface and the PCI device using the same
US6792492B1 (en) 2001-04-11 2004-09-14 Novell, Inc. System and method of lowering overhead and latency needed to service operating system interrupts
US6820164B2 (en) 2001-04-17 2004-11-16 International Business Machines Corporation Peripheral component interconnect bus detection in logically partitioned computer system involving authorizing guest operating system to conduct configuration input-output operation with functions of pci devices
US6842870B2 (en) 2001-09-20 2005-01-11 International Business Machines Corporation Method and apparatus for filtering error logs in a logically partitioned data processing system
US6801993B2 (en) 2001-09-28 2004-10-05 International Business Machines Corporation Table offset for shortening translation tables from their beginnings
US20040025166A1 (en) 2002-02-02 2004-02-05 International Business Machines Corporation Server computer and a method for accessing resources from virtual machines of a server computer via a fibre channel
US6901537B2 (en) 2002-02-27 2005-05-31 International Business Machines Corporation Method and apparatus for preventing the propagation of input/output errors in a logical partitioned data processing system
US6907510B2 (en) 2002-04-01 2005-06-14 Intel Corporation Mapping of interconnect configuration space
US7302692B2 (en) 2002-05-31 2007-11-27 International Business Machines Corporation Locally providing globally consistent information to communications layers
US7197585B2 (en) 2002-09-30 2007-03-27 International Business Machines Corporation Method and apparatus for managing the execution of a broadcast instruction on a guest processor
US7054972B2 (en) 2002-12-13 2006-05-30 Lsi Logic Corporation Apparatus and method for dynamically enabling and disabling interrupt coalescing in data processing system
US7065598B2 (en) 2002-12-20 2006-06-20 Intel Corporation Method, system, and article of manufacture for adjusting interrupt levels
US20040139304A1 (en) 2003-01-09 2004-07-15 International Business Machines Corporation High speed virtual instruction execution mechanism
US7107382B2 (en) 2003-04-03 2006-09-12 Emulex Design & Manufacturing Corporation Virtual peripheral component interconnect multiple-function device
US7139940B2 (en) 2003-04-10 2006-11-21 International Business Machines Corporation Method and apparatus for reporting global errors on heterogeneous partitioned systems
US7281075B2 (en) 2003-04-24 2007-10-09 International Business Machines Corporation Virtualization of a global interrupt queue
US7127599B2 (en) 2003-05-12 2006-10-24 International Business Machines Corporation Managing configurations of input/output system images of an input/output subsystem, wherein a configuration is modified without restarting the input/output subsystem to effect a modification
US7000036B2 (en) 2003-05-12 2006-02-14 International Business Machines Corporation Extended input/output measurement facilities
US7177961B2 (en) 2003-05-12 2007-02-13 International Business Machines Corporation Managing access, by operating system images of a computing environment, of input/output resources of the computing environment
US7130938B2 (en) 2003-05-12 2006-10-31 International Business Machines Corporation Method, system and program products for identifying communications adapters of a computing environment
US7290070B2 (en) 2003-05-12 2007-10-30 International Business Machines Corporation Multiple logical input/output subsystem facility
US7130949B2 (en) 2003-05-12 2006-10-31 International Business Machines Corporation Managing input/output interruptions in non-dedicated interruption hardware environments
US7174550B2 (en) 2003-05-12 2007-02-06 International Business Machines Corporation Sharing communications adapters across a plurality of input/output subsystem images
US6996638B2 (en) 2003-05-12 2006-02-07 International Business Machines Corporation Method, system and program products for enhancing input/output processing for operating system images of a computing environment
US7134052B2 (en) 2003-05-15 2006-11-07 International Business Machines Corporation Autonomic recovery from hardware errors in an input/output fabric
US6931460B2 (en) 2003-05-19 2005-08-16 Emulex Design & Manufacturing Corporation Dynamically self-adjusting polling mechanism
US7420931B2 (en) 2003-06-05 2008-09-02 Nvidia Corporation Using TCP/IP offload to accelerate packet filtering
US7363572B2 (en) 2003-06-05 2008-04-22 Nvidia Corporation Editing outbound TCP frames and generating acknowledgements
EP1489491A1 (en) 2003-06-19 2004-12-22 Texas Instruments Incorporated Dynamically changing the semantic of an instruction
US7013358B2 (en) 2003-08-09 2006-03-14 Texas Instruments Incorporated System for signaling serialized interrupts using message signaled interrupts
US7979548B2 (en) 2003-09-30 2011-07-12 International Business Machines Corporation Hardware enforcement of logical partitioning of a channel adapter's resources in a system area network
US7146482B2 (en) 2003-11-25 2006-12-05 International Business Machines Corporation Memory mapped input/output emulation
US7552436B2 (en) 2003-11-25 2009-06-23 International Business Machines Memory mapped input/output virtualization
US7277968B2 (en) 2004-01-23 2007-10-02 International Business Machines Corporation Managing sets of input/output communications subadapters of an input/output subsystem
US7107384B1 (en) 2004-03-01 2006-09-12 Pericom Semiconductor Corp. Dynamic PCI-bus pre-fetch with separate counters for commands of commands of different data-transfer lengths
US7506214B2 (en) 2004-04-22 2009-03-17 International Business Machines Corporation Application for diagnosing and reporting status of an adapter
US7530071B2 (en) 2004-04-22 2009-05-05 International Business Machines Corporation Facilitating access to input/output resources via an I/O partition shared by multiple consumer partitions
US7209994B1 (en) 2004-05-11 2007-04-24 Advanced Micro Devices, Inc. Processor that maintains virtual interrupt state and injects virtual interrupts into virtual machine guests
US20050289271A1 (en) 2004-06-29 2005-12-29 Martinez Alberto J Circuitry to selectively produce MSI signals
US20060005083A1 (en) 2004-06-30 2006-01-05 International Business Machines Corporation Performance count tracing
US7418572B2 (en) 2004-08-18 2008-08-26 International Business Machines Corporation Pretranslating input/output buffers in environments with multiple page sizes
US7334107B2 (en) 2004-09-30 2008-02-19 Intel Corporation Caching support for direct memory access address translation
US7340582B2 (en) 2004-09-30 2008-03-04 Intel Corporation Fault processing for direct memory access address translation
US7444493B2 (en) 2004-09-30 2008-10-28 Intel Corporation Address translation for input/output devices using hierarchical translation tables
US7373446B2 (en) 2004-11-05 2008-05-13 Microsoft Corporation Method and system for dynamically patching an operating system's interrupt mechanism
US7296120B2 (en) 2004-11-18 2007-11-13 International Business Machines Corporation Mechanism that provides efficient multi-word load atomicity
US7188346B2 (en) 2004-11-29 2007-03-06 International Business Machines Corporation Method, system and program product for correlating data between operating environments
US7284112B2 (en) 2005-01-14 2007-10-16 International Business Machines Corporation Multiple page size address translation incorporating page size prediction
US7562366B2 (en) 2005-02-03 2009-07-14 Solarflare Communications, Inc. Transmit completion event batching
US7493425B2 (en) 2005-02-25 2009-02-17 International Business Machines Corporation Method, system and program product for differentiating between virtual hosts on bus transactions and associating allowable memory access for an input/output adapter that supports virtualization
US7260664B2 (en) 2005-02-25 2007-08-21 International Business Machines Corporation Interrupt mechanism on an IO adapter that supports virtualization
US20060195617A1 (en) 2005-02-25 2006-08-31 International Business Machines Corporation Method and system for native virtualization on a partially trusted adapter using adapter bus, device and function number for identification
US7475166B2 (en) 2005-02-28 2009-01-06 International Business Machines Corporation Method and system for fully trusted adapter validation of addresses referenced in a virtual host transfer request
US7567567B2 (en) 2005-04-05 2009-07-28 Sun Microsystems, Inc. Network system including packet classification for partitioned resources
US7260663B2 (en) 2005-04-07 2007-08-21 International Business Machines Corporation System and method for presenting interrupts
US7200704B2 (en) 2005-04-07 2007-04-03 International Business Machines Corporation Virtualization of an I/O adapter port using enablement and activation functions
US7478178B2 (en) 2005-04-22 2009-01-13 Sun Microsystems, Inc. Virtualization for device sharing
US20060288130A1 (en) 2005-06-21 2006-12-21 Rajesh Madukkarumukumana Address window support for direct memory access translation
US7631097B2 (en) 2005-07-21 2009-12-08 National Instruments Corporation Method and apparatus for optimizing the responsiveness and throughput of a system performing packetized data transfers using a transfer count mark
US8028154B2 (en) 2005-07-29 2011-09-27 Broadcom Corporation Method and system for reducing instruction storage space for a processor integrated in a network adapter chip
US7546487B2 (en) 2005-09-15 2009-06-09 Intel Corporation OS and firmware coordinated error handling using transparent firmware intercept and firmware services
US20070073955A1 (en) 2005-09-29 2007-03-29 Joseph Murray Multi-function PCI device
US7474623B2 (en) 2005-10-27 2009-01-06 International Business Machines Corporation Method of routing I/O adapter error messages in a multi-host environment
US7472261B2 (en) * 2005-11-08 2008-12-30 International Business Machines Corporation Method for performing externally assisted calls in a heterogeneous processing complex
US7882489B2 (en) 2005-11-22 2011-02-01 International Business Machines Corporation Integrated code generation for adapter-specific property template
US20070136554A1 (en) 2005-12-12 2007-06-14 Giora Biran Memory operations in a virtualized system
US7475183B2 (en) 2005-12-12 2009-01-06 Microsoft Corporation Large page optimizations in a virtual machine environment
US7398343B1 (en) 2006-01-03 2008-07-08 Emc Corporation Interrupt processing system
US7653803B2 (en) 2006-01-17 2010-01-26 Globalfoundries Inc. Address translation for input/output (I/O) devices and interrupt remapping for I/O devices in an I/O memory management unit (IOMMU)
US7548999B2 (en) 2006-01-17 2009-06-16 Advanced Micro Devices, Inc. Chained hybrid input/output memory management unit
US7673116B2 (en) 2006-01-17 2010-03-02 Advanced Micro Devices, Inc. Input/output memory management unit that implements memory attributes based on translation data
US20070174723A1 (en) 2006-01-18 2007-07-26 Omar Cardona Sub-second, zero-packet loss adapter failover
US7849232B2 (en) 2006-02-17 2010-12-07 Intel-Ne, Inc. Method and apparatus for using a single multi-function adapter with different operating systems
US7739422B2 (en) 2006-03-21 2010-06-15 International Business Machines Corporation Method to improve system DMA mapping while substantially reducing memory fragmentation
US7412589B2 (en) 2006-03-31 2008-08-12 International Business Machines Corporation Method to detect a stalled instruction stream and serialize micro-operation execution
US8621120B2 (en) 2006-04-17 2013-12-31 International Business Machines Corporation Stalling of DMA operations in order to do memory migration using a migration in progress bit in the translation control entry mechanism
US7613847B2 (en) 2006-05-16 2009-11-03 Hewlett-Packard Development Company, L.P. Partially virtualizing an I/O device for use by virtual machines
US7954099B2 (en) 2006-05-17 2011-05-31 International Business Machines Corporation Demultiplexing grouped events into virtual event queues while in two levels of virtualization
JP4961833B2 (ja) 2006-05-19 2012-06-27 日本電気株式会社 クラスタシステム、負荷分散方法、最適化クライアントプログラム、及び調停サーバプログラム
TW200801952A (en) 2006-06-02 2008-01-01 Via Tech Inc Method for setting up a peripheral component interconnect express (PCIE)
US7546398B2 (en) 2006-08-01 2009-06-09 International Business Machines Corporation System and method for distributing virtual input/output operations across multiple logical partitions
US7496707B2 (en) 2006-08-22 2009-02-24 International Business Machines Corporation Dynamically scalable queues for performance driven PCI express memory traffic
US8725914B2 (en) 2006-08-28 2014-05-13 International Business Machines Corporation Message signaled interrupt management for a computer input/output fabric incorporating platform independent interrupt manager
US7627723B1 (en) 2006-09-21 2009-12-01 Nvidia Corporation Atomic memory operators in a parallel processor
US20080126652A1 (en) 2006-09-27 2008-05-29 Intel Corporation Managing Interrupts in a Partitioned Platform
US7552298B2 (en) 2006-09-28 2009-06-23 Broadcom Corporation Method and system for deferred pinning of host memory for stateful network interfaces
US9135951B2 (en) 2006-10-10 2015-09-15 Qualcomm Incorporated System and method for dynamic audio buffer management
US20080091868A1 (en) 2006-10-17 2008-04-17 Shay Mizrachi Method and System for Delayed Completion Coalescing
US7587575B2 (en) 2006-10-17 2009-09-08 International Business Machines Corporation Communicating with a memory registration enabled adapter using cached address translations
JP2008123298A (ja) 2006-11-13 2008-05-29 Canon Inc 情報処理方法及びシステム
US7849287B2 (en) 2006-11-13 2010-12-07 Advanced Micro Devices, Inc. Efficiently controlling special memory mapped system accesses
US7624235B2 (en) 2006-11-30 2009-11-24 Apple Inc. Cache used both as cache and staging buffer
US7529860B2 (en) 2006-12-19 2009-05-05 International Business Machines Corporation System and method for configuring an endpoint based on specified valid combinations of functions
US7984454B2 (en) 2006-12-19 2011-07-19 International Business Machines Corporation Migration of single root stateless virtual functions
US7617340B2 (en) 2007-01-09 2009-11-10 International Business Machines Corporation I/O adapter LPAR isolation with assigned memory space
US20080168208A1 (en) 2007-01-09 2008-07-10 International Business Machines Corporation I/O Adapter LPAR Isolation In A Hypertransport Environment With Assigned Memory Space Indexing a TVT Via Unit IDs
JP5119686B2 (ja) 2007-03-06 2013-01-16 日本電気株式会社 情報処理装置および設定方法
US7685474B2 (en) * 2007-03-16 2010-03-23 Symantec Corporation Failsafe computer support assistant using a support virtual machine
US20080263391A1 (en) 2007-04-20 2008-10-23 International Business Machines Corporation Apparatus, System, and Method For Adapter Card Failover
EP2075696A3 (en) 2007-05-10 2010-01-27 Texas Instruments Incorporated Interrupt- related circuits, systems and processes
US7617345B2 (en) 2007-07-02 2009-11-10 International Business Machines Corporation Prioritization of interrupts in a storage controller based on interrupt control directives received from hosts
US20090024823A1 (en) 2007-07-20 2009-01-22 Wenjeng Ko Overlayed separate dma mapping of adapters
US8127296B2 (en) 2007-09-06 2012-02-28 Dell Products L.P. Virtual machine migration between processors having VM migration registers controlled by firmware to modify the reporting of common processor feature sets to support the migration
US8762999B2 (en) 2007-09-27 2014-06-24 Oracle America, Inc. Guest-initiated resource allocation request based on comparison of host hardware information and projected workload requirement
US7913030B2 (en) 2007-12-28 2011-03-22 Sandisk Il Ltd. Storage device with transaction logging capability
US8151083B2 (en) 2008-01-11 2012-04-03 International Business Machines Corporation Dynamic address translation with frame management
US8037221B2 (en) 2008-01-16 2011-10-11 International Business Machines Corporation Dynamic allocation of DMA buffers in input/output adaptors
US7996628B2 (en) 2008-02-14 2011-08-09 International Business Machines Corporation Cross adapter shared address translation tables
US8332846B2 (en) 2008-02-28 2012-12-11 Sony Mobile Communications Ab Selective exposure to USB device functionality for a virtual machine by filtering descriptors
US20090276774A1 (en) 2008-05-01 2009-11-05 Junji Kinoshita Access control for virtual machines in an information system
US8032680B2 (en) 2008-06-27 2011-10-04 Microsoft Corporation Lazy handling of end of interrupt messages in a virtualized environment
US8359408B2 (en) 2008-06-30 2013-01-22 Intel Corporation Enabling functional dependency in a multi-function device
US10341804B2 (en) 2009-10-28 2019-07-02 International Business Machines Corporation System, method and computer program product for accessing data from a source by a variety of methods to improve performance and ensure data integrity
US8713350B2 (en) * 2009-12-08 2014-04-29 Hewlett-Packard Development Company, L.P. Handling errors in a data processing system
US8839032B2 (en) * 2009-12-08 2014-09-16 Hewlett-Packard Development Company, L.P. Managing errors in a data processing system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05181823A (ja) * 1990-08-31 1993-07-23 Internatl Business Mach Corp <Ibm> 区画区分式プロセス環境における区画間制御のための方法及び装置
JPH07295841A (ja) * 1992-10-19 1995-11-10 Internatl Business Mach Corp <Ibm> 動的に資源を再構成するための方法及びシステム
WO2004046926A1 (ja) * 2002-11-21 2004-06-03 Fujitsu Limited イベント通知方法、デバイス及びプロセッサシステム
JP2009123217A (ja) * 2007-11-15 2009-06-04 Internatl Business Mach Corp <Ibm> データ処理システム内で入出力(i/o)仮想化を管理するための方法およびデータ処理システムならびにコンピュータ・プログラム
JP2009151565A (ja) * 2007-12-20 2009-07-09 Hitachi Ltd サーバ装置及びそのリンク回復処理方法

Also Published As

Publication number Publication date
CN102906708A (zh) 2013-01-30
CN102906708B (zh) 2015-07-15
JP5623634B2 (ja) 2014-11-12
EP2430542B1 (en) 2013-02-13
EP2430542A1 (en) 2012-03-21
US20110321060A1 (en) 2011-12-29
US8505032B2 (en) 2013-08-06
WO2011160711A1 (en) 2011-12-29

Similar Documents

Publication Publication Date Title
JP5623634B2 (ja) アダプタ・イベントに応答して実行されるアクションの通信を容易にするためのコンピュータ・システム、方法、およびコンピュータ・プログラム
JP5717847B2 (ja) コンピューティング環境のイベントを管理する方法、これを実行するためのコンピュータ・プログラム、およびコンピュータ・システム
JP5736044B2 (ja) アダプタに関する操作パラメータの選択的設定の制御
TWI764082B (zh) 用於經引導中斷虛擬化之中斷信號之方法、電腦系統及電腦程式產品
JP7335339B2 (ja) 有向割り込みの仮想化方法、システム、プログラム
JP5934350B2 (ja) 結合ファシリティのオペレータ・メッセージ・バッファの管理
JP5680194B2 (ja) アダプタとの通信のためのロード命令
JP5739524B2 (ja) アダプタと通信するための保存/保存ブロック命令
JP6005149B2 (ja) 結合ファシリティを試験するためのオペレータ・メッセージ・コマンド
JP2013538378A (ja) コンピューティング環境におけるリソース使用を測定するコンピュータ・システム、方法、およびコンピュータ・プログラム
CN113412473A (zh) 具有中断表的用于多级虚拟化的定向中断
CN113454589A (zh) 用于多级虚拟化的定向中断
JP5995962B2 (ja) オペレータ・メッセージ開始コマンドの実行
JP5911568B2 (ja) オペレータ・メッセージ・コマンドの結合ファシリティへの伝送
JP5995961B2 (ja) オペレータ・メッセージ・コマンドの処理

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130806

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140618

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140618

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20140618

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20140618

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140627

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140620

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20140723

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140801

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140807

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140909

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140909

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20140909

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140924

R150 Certificate of patent or registration of utility model

Ref document number: 5623634

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees