JP2013243418A - モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム - Google Patents

モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム Download PDF

Info

Publication number
JP2013243418A
JP2013243418A JP2013189785A JP2013189785A JP2013243418A JP 2013243418 A JP2013243418 A JP 2013243418A JP 2013189785 A JP2013189785 A JP 2013189785A JP 2013189785 A JP2013189785 A JP 2013189785A JP 2013243418 A JP2013243418 A JP 2013243418A
Authority
JP
Japan
Prior art keywords
electrode
potential
plasma
insulating film
acquired
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013189785A
Other languages
English (en)
Other versions
JP5837012B2 (ja
Inventor
Tomohiko Tatsumi
知彦 辰巳
Seiji Sagawa
誠二 寒川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tohoku University NUC
Lapis Semiconductor Co Ltd
Original Assignee
Tohoku University NUC
Lapis Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tohoku University NUC, Lapis Semiconductor Co Ltd filed Critical Tohoku University NUC
Priority to JP2013189785A priority Critical patent/JP5837012B2/ja
Publication of JP2013243418A publication Critical patent/JP2013243418A/ja
Application granted granted Critical
Publication of JP5837012B2 publication Critical patent/JP5837012B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

【課題】実パターン上のチャージアップをリアルタイムに測定し、更に、自己整合バイアスのウェハ内面分布を測定する。
【解決手段】ウェハ表面の異なる箇所に複数のセンサ50を貼着し、プラズマチャンバ内のステージ上に載置する。RFバイアスを印加し、プラズマチャンバ内にプラズマ32を発生させてウェハをプラズマ32に曝す。ウェハをプラズマ32に曝すと、電子遮蔽効果によって各センサ50内のコンタクトホール56の底に、チャージアップが発生する。即ち、コンタクトホールパターン表面とコンタクトホール56の底との間に電荷の偏りができる。そのため、上部電極55と下部電極53に異なる電位が発生する。この時、上部電極55及び下部電極53の両方又は一方の電位を測定し、あるいは、上部電極55及び下部電極53間の電位差Δを測定し、モニタリングする。
【選択図】図1

Description

本発明は、半導体製造プロセス(工程)及びその他のプラズマを用いる全ての製造プロセスに適用できるモニタリング方法とそのモニタリングシステム、及びプラズマモニタリング方法とそのプラズマモニタリングシステムに関するものである。
従来、プラズマ処理装置内に設置されたウェハの処理過程をモニタするためのプラズマモニタリング方法やプラズマモニタリングシステムに関する技術として、次のような技術が知られている。
図7は、従来のプラズマモニタリングシステムを示す概略の構成図である。
特許文献1及び2には、プラズマモニタリングシステムの技術が記載されている。このプラズマモニタリングシステムは、プラズマ処理装置10を備えている。プラズマ処理装置10は、高周波(以下「RF」という。)バイアスの印加により、真空状態にしたプラズマチャンバ11内にプラズマ12を発生させ、ステージ13上に載置したモニタ対象物であるウェハ20に対するエッチングや成膜を行う装置である。ステージ13には、交流電圧成分除去用のコイル14を介して、自己整合バイアス測定用の電圧計15が接続されている。ウェハ20上には、プラズマプロセス検出用のセンサ21等が貼着されている。
プラズマプロセスのモニタを行う場合、RFバイアスの印加により、プラズマチャンバ11内にプラズマ12が発生し、ウェハ20に対してプラズマ処理(例えば、プラズマエッチング)が行われる。この際、例えば、センサ21によって検出される電圧値を観測することにより、プラズマエッチングの終了時点を検出でき、ウェハ20の高精度加工が可能になる。
又、プラズマエッチングにおいて、プラズマ12から発生するイオンのエネルギにより、ウェハ20におけるパターンの形状や寸法や電気的ダメージが影響を受けることが一般に知られている。よって、プラズマ12からのイオンの入射エネルギとその分布を観察することは重要である。しかし、イオンの入射エネルギは直接測定できないため、自己整合バイアスをモニタして間接的に指標としている。通常、この自己整合バイアスは、プラズマチャンバ11内のステージ13下に設置された電圧計15で平均値を測定している。ここで、自己整合バイアスは交流電圧であり、交流電圧のRF成分を除去し、一定の直流電圧のみを電圧計15で測定するように、コイル14で交流電圧成分を除去し、電圧計15で電圧を測定している。
図8は、自己整合バイアスの説明図である。
状態1に示すように、ウェハ20をプラズマ12に曝すと、プラズマ12は電子eと正イオンhが僅かに分離した状態であり、電子eと正イオンhが共にウェハ20に帯電するように動く。しかし、この時、正イオンhより電子eの方が遥かに軽いため、電子eの方が速度が速く、ウェハ20上(ウェハ20の下にステージ13がある場合はステージ13にも)には大量の電子eが帯電する。そのため、状態2に示すように、その電子帯電によってウェハ20にはマイナスの電位が発生する。
次に、状態3に示すように、速い電子eとは反対の電荷を持つプラスの正イオンhがウェハ20上に到達するが、先に帯電した電子eを打ち消すほどの量は帯電しない。よって、最終的にはプラズマ12からマイナスの電子eもプラスの正イオンhもウェハ20上に到達し、帯電することになるが、最初(状態1)のマイナスの電子eの帯電量が大きいために、ウェハ20の電位はマイナス電位で安定状態となる。このマイナス電位を自己整合バイアスと呼んでいる。
特開2003−282546号公報 特開2005−236199号公報
しかしながら、従来のモニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステムでは、以下のような第1の課題(1)、及び第2の課題(2)があった。
(1) 第1の課題
ウェハ20上に大規模集積回路(以下「LSI」という。)等を形成するための加工では、例えば、プラズマエッチングにより複数のコンタクトホールが形成される。ところが、従来技術では、ウェハ20の表面の電位とコンタクトホール底の電位の両方をモニタすることができないため、電荷蓄積(チャージアップ)による電荷の偏りを測定することができない。アスペクト比(コンタクトホールの直径に対する深さの比)が高い場合、電子eはコンタクトホール底へ到達し難い(電子遮蔽効果)。そのため、コンタクトホール底は電子eの供給が不足し、コンタクトホールパターン表面に比較すると、コンタクトホール底はプラスにチャージアップする。これらはトランジスタの絶縁破壊、エッチング速度低下、エッチングが進行しない等の問題を引き起こす。先端の65nm世代以降のコンタクトホール径はΦ0.1μmであり、アスペクト比10位と大きいため、チャージアップは深刻な問題である。
又、一般的にチャージアップをモニタする場合、記録用メモリトランジスタ(Non-Volatile Memory Transistor、以下「NVM」という。)や、回路パターンが形成されていないウェハ(ブランクウェハ)を用いている。しかし、両者共に実パターン上のチャージアップの測定も、リアルタイムでの測定もできないという課題がある。次に、この課題(a)、(b)を詳細に説明する。
(a) NVM方式の課題
NVM方式は、プラズマ12に対して露出しているウェハ20の表面上のアンテナ(導体)がウェハ20内に埋め込まれているNVMのゲート電極に接続されている。NVMはゲート電極に印加される電位の大きさによって、トランジスタの特性(トランジスタのソース電極・ドレイン電極間の電流の流れ易さ)が変化する。そのため、チャージアップがNVM方式チャージアップモニタウェハ上に起こると、アンテナに電荷が貯まり、アンテナの電位が変化する。このアンテナはNVMのゲート電極に繋がっているので、アンテナ電位の大きさによって、NVMの特性が変化する。つまり、チャージアップ量の大きさ(電位変化幅)をトランジスタの特性変化量から知ることができる。従って、NVM方式は一旦、モニタ対象であるセンサウェハをプラズマ12に曝し、NVMの特性を変化させた後、プラズマ12からセンサウェハを取り出して、NVMの特性がプラズマ12に曝す前と後とで、どの位変化(トランジスタの電流の流れ易さの変化量)したかを測定機で測定する。
よって、プラズマ12中でチャージアップが起きている時には、チャージアップ(例えば、アンテナの電位)を観察することができず、リアルタイムでは観察できない。又、平坦なアンテナ(導体)でチャージアップを受ける(拾う)ので、コンタクトホールのような実際のLSI製品等が有するパターンの中で発生するようなチャージアップを測定できない。
(b) ブランクウェハを用いたときの課題
ブランクウェハは、シリコン酸化膜やシリコン窒化膜がシリコン基板上一面に単に形成されているだけである。この絶縁膜が形成されたウェハ20をプラズマ12に曝すと、絶縁膜表面がチャージアップされる。次に、このウェハ20をプラズマチャンバ11から取り出すと、絶縁膜上に蓄積された電荷が残っている(チャージアップの残留)。この残留電荷量を非接触タイプの電位測定機で測定し、チャージアップ度合いを計測する。そのため、この方式も、プラズマ12から一旦センサウェハを取り出した後での測定であり、実際にプラズマ12中でチャージアップが発生している時ではなく、リアルタイム測定はできない。又、シリコン基板上の無地の絶縁膜であるので、コンタクトホールのような実際のパターン(コンタクトホール等)中のチャージアップは測定できない。
(2) 第2の課題
プラズマ12によるウェハ20へのイオンの入射エネルギは、直接測定できないため、自己整合バイアスをモニタして間接的に指標としている。通常、この自己整合バイアスは、ステージ13下に設置された電圧計15で平均値を測定しているため、自己整合バイアスの面内分布を測定することができないという課題がある。次に、この課題を詳細に説明する。
図7に示すように、通常、ステージ13は導電性の電極であり、プラズマ12内で自己整合バイアスが発生すると、ステージ13のプラズマ12に曝されている領域(外周等)は自己整合バイアスが印加される。このステージ13から下に電圧計15が繋がっており、自己整合バイアスを電圧計15で読む。そのため、自己整合バイアスは、ステージ13のプラズマ露出部分の全面積(例えば、ステージ13の外周部分)をアンテナとして測定することになる。よって、ウェハ20上(ステージ13上)の複数のポイントで自己整合バイアスがどの程度異なるか等の測定はできない。図7では、ステージ13の外周部分の領域をアンテナとした場合の平均的自己整合バイアス(ステージ13の外周部分の領域の様々なポイントでは若干バイアスが異なっても、それら各バイアスの平均値)を測定することになる。
前記課題を解決するために、本発明の内の第1の発明であるモニタリング方法は、基板と、前記基板の表面上に形成された第1の絶縁膜と、前記第1の絶縁膜上に形成された第1の電極と、前記第1の電極の一部を露出する貫通孔を備えて前記第1の電極上に形成された第2の絶縁膜と、前記第2の絶縁膜の表面上に形成された第2の電極と、を有するセンサを使用する。前記モニタリング方法は、測定対象の電位を、前記第1の電極は前記貫通孔によって露出された表面にて取得し、前記第2の電極は前記第2の電極の表面にて取得し、前記センサは、前記第1の電極によって取得された電位及び前記第2の電極によって取得された電位を検出することを特徴とする。
第2の発明であるプラズマモニタリング方法は、基板と、前記基板とは絶縁された状態で前記基板上に形成された導電性の第1の電極と、前記第1の電極上に形成された絶縁膜と、前記絶縁膜に形成され、前記絶縁膜の表面から前記第1の電極に達する深さを有するコンタクトホールと、前記絶縁膜の表面に形成され、プラズマプロセス中はプラズマに面する導電性の第2の電極と、を有するセンサを使用する。前記プラズマモニタリング方法は、前記プラズマプロセス中、前記プラズマプロセスによって発生する電位を取得した前記第1及び第2の電極の電位、又は前記第1及び第2の電極間の電位差、を測定し、モニタリングすることを特徴とする。
第3の発明であるモニタリグシステムは、基板と、前記基板の表面上に形成された第1の絶縁膜と、前記第1の絶縁膜上に形成された第1の電極と、前記第1の電極の一部を露出する貫通孔を備えて前記第1の電極上に形成された第2の絶縁膜と、前記第2の絶縁膜の表面上に形成された第2の電極と、を有するセンサと、前記第1の電極によって取得された電位と前記第2の電極によって取得された電位、又は前記第1の電極によって取得された電位と前記第2の電極によって取得された電位の差分を測定する電位計と、を備えている。前記モニタリグシステムは、測定対象の電位を、前記第1の電極は前記コンタクトホールによって露出された表面にて取得し、前記第2の電極は前記第2の電極の表面にて取得することを特徴とする。
第4の発明であるプラズマモニタリグシステムは、基板と、前記基板とは絶縁された状態で前記基板上に形成された導電性の第1の電極と、前記第1の電極上に形成された絶縁膜と、前記絶縁膜に形成され、前記絶縁膜の表面から前記第1の電極に達する深さを有するコンタクトホールと、前記絶縁膜の表面に形成され、プラズマプロセス中はプラズマに面する導電性の第2の電極と、を有するセンサと、前記プラズマプロセス中、前記第1及び第2の電極の電位、又は前記第1及び第2の電極間の電位差、を測定する電位計と、を備えている。前記プラズマモニタリグシステムは、測定対象の電位を、前記第1の電極は前記コンタクトホールによって露出された表面にて取得し、前記第2の電極は前記第2の電極の表面にて取得することを特徴とする。
本発明によれば、第2の絶縁膜の表面上に形成された第2の電極と、第2の絶縁膜が備える貫通孔によって露出された第1の電極とを備えたセンサによって、第1の電極は前記貫通孔によって露出された表面にて取得し、第2の電極は第2の電極の表面にて取得することとしたので、第2の絶縁膜の表面及び貫通孔の内部が実際に測定対象の電位から受ける影響をより正確に検出できる。よって、プロセス条件を最適化し、チャージアップ起因の歩留り低下を改善できる。
本発明の実施例1を示すプラズマプロセス検出用センサの概略の断面図である。 本発明の実施例1を示すプラズマモニタリングシステムの概略の構成図である。 図1のセンサの製造方法例を示す概略の断面工程図である。 図1のセンサの製造方法例を示す概略の断面工程図である。 図1のセンサの製造方法例を示す概略の断面工程図である。 図1のセンサの製造方法例を示す概略の断面工程図である。 図1の上部電極55の電位と下部電極53の電位の自己整合バイアス依存を示す実験データの図である。 本発明の実施例2を示すプラズマモニタリングシステムの概略の構成図である。 本発明の実施例3を示すプラズマプロセス検出用センサ50Aの概略の断面図である。 従来のプラズマモニタリングシステムを示す概略の構成図である。 自己整合バイアスの説明図である。
本発明を実施するための形態は、以下の好ましい実施例の説明を添付図面と照らし合わせて読むと、明らかになるであろう。但し、図面はもっぱら解説のためのものであって、本発明の範囲を限定するものではない。
(実施例1のモニタリングシステム)
図2は、本発明の実施例1を示すモニタリングシステム(例えば、プラズマモニタリングシステム)の概略の構成図である。
このプラズマモニタリングシステムは、プラズマ処理装置30を備えている。プラズマ処理装置30は、RFバイアスの印加により、真空状態にしたプラズマチャンバ31内にプラズマ32を発生させ、導電性のステージ33上に載置したモニタ対象物であるシリコンウェハ等といった半導体ウェハ等のウェハ40に対するエッチングや成膜を行う装置である。ステージ33には、交流電圧成分除去用のコイル34を介して、自己整合バイアス測定用の電圧計35が接続されている。ウェハ40の表面内における所定の1箇所、あるいは異なる複数箇所(図2では2箇所の例が示されている。)には、センサとしての2つのプラズマプロセス検出用センサ50(=50−1,50−2)が貼着されている。
図1は、本発明の実施例1である図2中に示すプラズマプロセス検出用センサ50の概略の断面図である。
このプラズマプロセス検出用センサ50は、基板(例えば、シリコン基板)51を有し、このシリコン基板51上に、膜厚約1.0μmのシリコン酸化膜(SiO2膜)等からなる第1の絶縁膜としての絶縁膜52が形成されている。絶縁膜52上には、膜厚約300nmのポリシリコン(Poly−Si)等の導電性物質からなる第1の電極(例えば、下部電極)53が選択的に形成され、この上に、膜厚約1.0μmのシリコン酸化膜等からなる第2の絶縁膜としての絶縁膜54が堆積されている。絶縁膜54上には、膜厚約300nmのポリシリコン等の導電性物質からなる第2の電極(例えば、上部電極)55が選択的に形成されている。上部電極55には、実際にウェハに形成される複数の断面円形のコンタクトホール56からなるコンタクトホールパターンが形成されている。各コンタクトホール56は、断面円形の直径が約100nmで、深さは上部電極55の表面から下部電極53の表面に達する長さを有し、約1.3μmである。
絶縁膜54の表面の露出箇所には、配線接続用エリア57が開口され、下部電極53の表面が露出している。上部電極55は、絶縁膜54の表面と交差する第1の側面と、第1の側面と交差する第1の表面とを有している。上部電極55の第1の表面には配線58が接続されると共に、下部電極53にも配線59が接続され、この2つの配線58,59が図示しない端子を介して図2のプラズマチャンバ31の外部に引き出されている。外部に引き出された2つの配線58,59のうち、一方の配線58には、電位を測定するための第2の電位計としての電位計60が接続され、この電位計60が基準電位(例えば、グランド電位)の端子62に接続されている。同様に、他方の配線59にも、電位を測定するための第1の電位計としての電位計61が接続され、この電位計61が基準電位(例えば、グランド電位)の端子63に接続されている。
(実施例1のセンサの製造方法)
図3−1〜図3−4は、図1のセンサ50の製造方法例を示す概略の断面工程図である。図1のセンサ50は、例えば、以下のような工程(1)〜(9)により製造される。
絶縁膜形成工程(1)において、熱酸化処理により、シリコン基板51上に膜厚約1.0μmのシリコン酸化膜からなる絶縁膜52を形成する。導電膜形成工程(2)において、気相成長法(以下「CVD法」という。)により、絶縁膜52上に、所定の不純物イオン濃度を有する膜厚約300nmのポリシリコン膜からなる導電膜53aを形成する。電極形成工程(3)において、ホトリソグラフィ技術により、導電膜53a上に、レジスト膜からなる電極パターンのマスクを形成し、次に、プラズマエッチング等のドライエッチング技術により、導電膜53aをエッチングして下部電極53を形成した後、不要になったマスクを灰化して除去する。
絶縁膜形成工程(4)において、CVD法により、膜厚約1.0μmのシリコン酸化膜からなる絶縁膜54を堆積する。導電膜形成工程(5)において、工程(2)と同様に、CVD法により、絶縁膜54上に、所定の不純物イオン濃度を有する膜厚約300nmのポリシリコン膜からなる導電膜55aを形成する。電極形成工程(6)において、工程(3)と同様に、ホトリソグラフィ技術により、導電膜55a上に、レジスト膜からなる電極パターンのマスクを形成し、プラズマエッチング等のドライエッチング技術により、導電膜55aをエッチングして上部電極55を形成する。
コンタクトホール形成工程(7)において、ホトリソグラフィ技術により、上部電極55上に、レジストパターンを形成した後、プラズマエッチング等のドライエッチング技術により、レジストパターンをマスクにして上部電極55及び絶縁膜54を下部電極53の表面の深さまでエッチングし、複数の断面円形のコンタクトホール56からなるコンタクトホールパターンを形成する。各コンタクトホール56の断面円形の直径は約100nm、深さは約1.3μmである。配線接続用エリア開口工程(8)において、ホトリソグラフィ技術とドライエッチング技術により、絶縁膜54の露出した表面から下部電極53の表面までエッチングして配線接続用エリア57を開口する。
その後、配線接続工程(9)において、上部電極55と下部電極53に配線58,59をそれぞれ接続し、図2に示すプラズマチャンバ31の外部に設けた電位計60,61にそれぞれ接続する。
(実施例1のモニタリング方法)
次に、本実施例のモニタリング方法(例えば、プラズマモニタリング方法)について説明する。
前記のようにして製造した実際のコンタクトホールパターンからなるセンサ50を複数(例えば、2つ)用意する。そして、図2に示すウェハ40の表面の異なる2箇所に、2つのセンサ50(=50−1,50−2)を貼着し、このセンサ付きウェハ40をプラズマ処理装置30におけるプラズマチャンバ31内のステージ33上に載置する。次に、例えば、プラズマチャンバ31内の圧力を120mTorr、封入ガスとしてCHF3、CF4、N2及びArの混合ガスを封入し、プラズマ処理装置30に1600WのRFバイアスを印加し、プラズマチャンバ31内にプラズマ32を発生させてウェハ40をプラズマ32に曝す。
ウェハ40をプラズマ32に曝すと、図1に示すように、電子遮蔽効果(電子シェーディング効果)によって各センサ50(=50−1,50−2)内のコンタクトホール56の底に、チャージアップが発生する。即ち、下部電極53より上部電極55の方が電子eが多く蓄積し、コンタクトホールパターン表面とコンタクトホール56の底との間に電荷の偏りができる。そのため、上部電極55と下部電極53に異なる電位が発生する。この時、上部電極55及び下部電極53の両方又は一方の電位を電位計60,61で測定し、あるいは、上部電極55及び下部電極53間の電位差Δ(=V2−V1、但し、V2;電位計61の指示値、V1;電位計60の指示値)を電位計60,61で測定し、モニタリングする。
この際、プラズマ32内で自己整合バイアスVdcが発生すると、導電性のステージ33のプラズマ32に曝されている領域(外周等)は自己整合バイアスVdcが印加される。このステージ33から下に電圧計35が接続されているので、自己整合バイアスVdcを電圧計35で読む。そのため、自己整合バイアスVdcは、ステージ33のプラズマ露出部分の全面積(例えば、ステージ33の外周部分)をアンテナとして測定することになる。
(実施例1の効果)
図4は、図1の上部電極55の電位と下部電極53の電位の自己整合バイアス依存を示す実験データの図であり、横軸に自己整合バイアスVdc(V)、及び縦軸に上部電極55と下部電極53の電位(V)が採られている。
本実施例1によれば、各センサ50(=50−1,50−2)において実際のコンタクトホールパターン表面とコンタクトホール底に上部電極55と下部電極53を設け、電位計60,61により、コンタクトホールパターン表面の電位とコンタクトホール底の電位を同時に計測するので、図4に示す実験データから分かるように、実際のコンタクトホールパターン中に発生するチャージアップを上部電極55の電位と下部電極53の電位との電位差として観察することができる。そのため、チャージアップの影響をより正確に検出できる。しかも、その電位差をプラズマ発生中に測定するので、リアルタイムでチャージアップを観察することができる。よって、プロセス条件を最適化し、チャージアップ起因の歩留り低下を改善できる。
更に、図4に示す実験データから分かるように、上部電極55の電位は、図2の電圧計35で測定された自己整合バイアスVdcと相関関係にある。よって、ウェハ面内の異なる複数箇所(例えば、2箇所)にセンサ50−1,50−2を配置しているので、間接的に自己整合バイアス電圧Vdcの面内分布をモニタリングすることができる。モニタリング精度を上げるためには、センサ50の設置数を増やせば良い。
(実施例2の構成)
図5(A)、(B)は、本発明の実施例2を示すモニタリングシステム(例えば、プラズマモニタリングシステム)の概略の構成図であり、実施例1を示す図1及び図2中の要素と共通の要素には共通の符号が付されている。
複数(例えば、2つ)のウェハ40−1,40−2上に、実施例1のセンサ50(=50−1〜50−5)をそれぞれ貼着する。この時、ウェハ40−1,40−2上のセンサ50−1,・・・のコンタクトホール部分の総面積(=コンタクトホール56の断面円形の面積×ウェハ40−1,40−2上にあるコンタクトホール56の数)がそれぞれ異なるようにセンサ付きウェハ40−1,40−2を構成する。例えば、図5(A)、(B)では、配置するセンサ50−1,・・・の数をウェハ40−1,40−2毎に変えており、図5(A)では、ウェハ40−1の面内に2つのセンサ50−1,50−2を配置し、図5(B)では、ウェハ40−2の面内に5つのセンサ50−1〜50−5を配置している。これにより、ウェハ40−2に配置されたセンサ50−1〜50−5におけるコンタクトホール部分の総面積は、ウェハ40−1に配置されたセンサ50−1,50−2におけるコンタクトホール部分の総面積の2.5倍になる。
(実施例2のモニタリング方法)
次に、本実施例のモニタリング方法(例えば、プラズマモニタリング方法)について説明する。
センサ50−1,・・・が配置された2種類のウェハ40−1,40−2を、同一条件のプラズマ32に曝す。即ち、先ず、1種類目のセンサ付きウェハ40−1をプラズマチャンバ31内に設置し、あるプラズマ条件に曝した後、そのセンサ付きウェハ40−1はプラズマチャンバ31から取り出し、次に、2種類目のセンサ付きウェハ40−2をプラズマチャンバ31内に入れて1回目と同じプラズマ条件に曝す。
すると、電子遮蔽効果によってセンサ50−1,・・・のコンタクトホール底にチャージアップが発生するため、上部電極55と下部電極53に異なる電位が発生する。この時、各ウェハ40−1,40−2に配置されているセンサ50−1,・・・の電極間の電位差を電位計60,61で測定し、モニタリングする。
ここで、1つのセンサ50の電位差を測定する方法としては、センサ1つに対して2つの電位計60,61を接続し、その2つの電位計60,61で測定した電位を比較する方法(電位の差をとる方法)や、1つのセンサ50の2つの上部電極55及び下部電極53に1つの電圧計(この電圧計は2電極分の電位を測定するために2つの端子を持つ)を接続し、直接電極間電圧を測定する等の方法がある。よって、電位計で測定する場合は1つのセンサ毎に2つの電位計が必要になり、電圧計で測定する場合は、1つのセンサ毎に1つの電圧計が必要になるが、いずれの方法を採用しても良い。
(実施例2の効果)
本実施例2によれば、同一プラズマ条件の下で、ウェハ40−1,40−2上に配置されたセンサ50−1,・・・のコンタクトホール部分の総面積が異なるセンサ付きウェハ40−1,40−2に対して測定された電位差を比較する。これにより、チャージアップのパターンレシオ依存(ウェハ当たりのコンタクトホール部分の総面積依存)を観察できる。
即ち、通常、プラズマエッチングする対象面積が広いほど、プラズマエッチングのために消費されるプラズマガスの量が大きくなるが(エッチング対象物との反応ガス量が多いため)、この時、消費量に対してプラズマガスの供給量が不十分になると、プラズマエッチングされるスピードが遅くなる。このプラズマガスの供給量に対して消費量が増加することで、エッチングスピードが減速することをローディング効果と呼び、これはエッチングスピードのパターンレシオ依存(エッチングされる面積依存)を測定して調べる。
本実施例2のセンサ50−1,・・・もコンタクトホール56内の側壁絶縁膜等が僅かにエッチングされるものと考えられる。従って、ウェハ40−1,40−2内に存在するコンタクトホール56の面積が広い程(コンタクトホール56の数が多い場合や1つのコンタクトホール56の直径が大きい等)、コンタクトホール側壁絶縁膜等と反応するガスが多くなり(プラズマチャンバ31内のプラズマガスの内、コンタクトホール56内で消費されるガス量が増える)、その結果、プラズマチャンバ31内のプラズマ状態が変化する。そのため、プラズマ32の変化に起因したチャージアップ変化が起きると考えられる。よって、チャージアップのパターンレシオ依存を観察することにより、プラズマ状態を的確にモニタリングすることができる。
図6は、本発明の実施例3を示すプラズマプロセス検出用センサ50Aの概略の断面図であり、実施例1を示す図1中の要素と共通の要素には共通の符号が付されている。
実施例1及び2のセンサ50において、上部電極55と下部電極53との間に、1つ又は複数の中間電極を設けても良い。1つの中間電極を追加した例が本実施例3の図6に示されている。
本実施例3のセンサ50Aでは、下部電極53と上部電極55との間の絶縁膜54中に、所定の不純物イオン濃度を有する膜厚約300nmのポリシリコン膜からなる第3の電極としての中間電極64が形成されている。中間電極64には、配線65によって電位計66が接続され、この電位計66が基準電位(例えば、グランド電位)の端子67に接続されている。
プラズマ32により、コンタクトホール56の内壁にもチャージアップが起きるので、LSI製品等でコンタクトホール56をプラズマエッチングで形成する際は、エッチングを促進する正イオンhがコンタクトホール内壁の電位の影響を受けてコンタクトホール底方向への軌道が曲がり、内壁に衝突してこの内壁がエッチングされる現象が起きる。コンタクトホール56の内壁のエッチングが顕著であると、製品歩留りが悪くなる等の問題となる。よって、コンタクトホール内壁の電位はプラズマ32からの正イオンhの軌道に影響を与えるので、中間電極64を設けることにより、上部電極55と下部電極53との間のコンタクトホール内壁の電位を電位計66で測定でき、コンタクトホール56内のチャージアップをより詳細に調べることが可能になる。
なお、中間電極64を2つ以上設ける場合は、上部電極55と下部電極53との間を3等分、4等分等と均等に等分した位置に設けるか、あるいは、上部電極55と下部電極53との間において電位を測定したい位置に設ければ良い。
(変形例)
本発明は、上記実施例1〜3に限定されず、種々の利用形態や変形が可能である。その利用形態や変形例としては、例えば、次の(i)〜(iv)のようなものがある。
(i) 本発明では、図示のプラズマ処理装置30、及びセンサ50,50A,・・・の構成や製造方法等を、図示以外のものに変更しても良い。
(ii) 図2では、ウェハ40の表面に2つのセンサ50−1,50−2を設けているが、使用方法等によっては1つのセンサ50−1をウェハ40の表面、あるいはウェハ40の近傍(例えば、ステージ33の外周等)に設けても良い。同様に、図5では、各ウェハ40−1,40−2の表面に複数のセンサ50−1,・・・をそれぞれ設けているが、センサ50−1,・・・にそれぞれ形成されるコンタクトホール56の数を変える等して、1つのセンサ50−1をウェハ40−1又は40−2に設けてモニタリングしても良い。
(iii) 図2に示すプラズマモニタリングシステムでは、プラズマ処理装置30を備えているが、使用方法等によっては、図1に示すセンサ50及び電位計60,61によりプラズマモニタリングシステムを構成したり、あるいは、1つ又は複数のセンサ50が装着されたセンサ付きウェハ40と電位計60,61とによりプラズマモニタリングシステムを構成しても良い。この際、電位計60,61を小型化し、この小型化した電位計60,61と他の回路部品(例えば、駆動用電池やデータ蓄積用メモリ等)とをセンサ50内あるいはセンサ付きウェハ40内に内蔵させれば、プラズマモニタリングシステムを小型化できて使い勝手が良い。
(iv) 実施例では、プラズマを用いた半導体製造プロセスについて説明したが、本発明は、半導体製造プロセス以外の平面パネル製造等といった他のプラズマを用いる全ての製造プロセスに応用可能である。
30 プラズマ処理装置
31 プラズマチャンバ
32 プラズマ
33 ステージ
35 電圧計
40,40−1,40−2 ウェハ
50,50−1〜50−5,50A センサ
51 シリコン基板
52,54 絶縁膜
53 下部電極
55 上部電極
56 コンタクトホール
60,61,66 電位計
64 中間電極

Claims (14)

  1. 基板と、前記基板の表面上に形成された第1の絶縁膜と、前記第1の絶縁膜上に形成された第1の電極と、前記第1の電極の一部を露出する貫通孔を備えて前記第1の電極上に形成された第2の絶縁膜と、前記第2の絶縁膜の表面上に形成された第2の電極と、を有するセンサを用いたモニタリング方法であって、
    測定対象の電位を、前記第1の電極は前記貫通孔によって露出された表面にて取得し、前記第2の電極は前記第2の電極の表面にて取得し、
    前記第1の電極によって取得された電位及び前記第2の電極によって取得された電位を検出することを特徴とするモニタリング方法。
  2. 前記第2の電極の表面は、前記第2の絶縁膜の表面と交差する第1の側面と、前記第1の側面と交差する第1の表面とを有し、
    前記第2の電極は、前記測定対象の電位を前記第1の表面にて取得することを特徴とする請求項1に記載のモニタリング方法。
  3. 前記第1の電極によって取得された電位と前記第2の電極によって取得された電位との差分を検出することを特徴とする請求項1又は2に記載のモニタリング方法。
  4. 前記第1の電極によって取得された電位と前記第2の電極によって取得された電位との差分の検出は、前記第1の電極と前記第2の電極とで前記測定対象の電圧成分から同時に取得した電位の差分により行うことを特徴とする請求項3に記載のモニタリング方法。
  5. 前記測定対象はプラズマであることを特徴とする請求項1〜4のいずれか1項に記載のモニタリング方法。
  6. 前記センサをプラズマチャンバ内に配置し、且つ前記第1の電極に接続された第1の電位計と前記第2の電極に接続された第2の電位計とを用いることにより、前記第1の電極の電位及び前記第2の電極の電位を検出することを特徴とする請求項1〜5のいずれか1項に記載のモニタリング方法。
  7. 前記第1の電極によって取得された電位及び前記第2の電極によって取得された電位の検出を、前記プラズマチャンバ内の複数箇所に配置した前記センサのそれぞれから行うことを特徴とする請求項6に記載のモニタリング方法。
  8. 前記センサは、前記第1及び第2の電極の他に、前記第1及び第2の電極間に絶縁されて配置された1つ又は複数の第3の電極を有し、
    前記第1の電極によって取得された電位と前記第3の電極によって取得された電位との差分、又は前記第2の電極によって取得された電位と前記第3の電極によって取得された電位の差分、を検出することを特徴とする請求項1〜7のいずれか1項に記載のモニタリング方法。
  9. 基板と、前記基板とは絶縁された状態で前記基板上に形成された導電性の第1の電極と、前記第1の電極上に形成された絶縁膜と、前記絶縁膜に形成され、前記絶縁膜の表面から前記第1の電極に達する深さを有するコンタクトホールと、前記絶縁膜の表面に形成され、プラズマプロセス中はプラズマに面する導電性の第2の電極と、を有するセンサを用い、
    前記プラズマプロセス中、前記プラズマプロセスによって発生する電位を取得した前記第1及び第2の電極の電位、又は前記第1及び第2の電極間の電位差、を測定し、モニタリングすることを特徴とするプラズマモニタリング方法。
  10. 基板と、前記基板の表面上に形成された第1の絶縁膜と、前記第1の絶縁膜上に形成された第1の電極と、前記第1の電極の一部を露出する貫通孔を備えて前記第1の電極上に形成された第2の絶縁膜と、前記第2の絶縁膜の表面上に形成された第2の電極と、を有するセンサと、
    前記第1の電極によって取得された電位と前記第2の電極によって取得された電位、又は前記第1の電極によって取得された電位と前記第2の電極によって取得された電位の差分を測定する電位計と、
    を備え、
    測定対象の電位を、前記第1の電極は前記貫通孔によって露出された表面にて取得し、前記第2の電極は前記第2の電極の表面にて取得することを特徴とするモニタリングシステム。
  11. 前記第2の電極の表面は、前記第2の絶縁膜の表面と交差する第1の側面と、前記第1の側面と交差する第1の表面とを有し、
    前記第2の電極は、前記測定対象の電位を前記第1の表面にて取得することを特徴とする請求項10に記載のモニタリングシステム。
  12. 前記測定対象は、プラズマであることを特徴とする請求項10又は11に記載のモニタリングシステム。
  13. 前記センサは、前記第1及び第2の電極の他に、前記第1及び第2の電極間に絶縁されて配置された1つ又は複数の第3の電極を有し、
    前記第1の電極によって取得された電位と前記第3の電極によって取得された電位との差分、又は前記第2の電極によって取得された電位と前記第3の電極によって取得された電位の差分、を検出することを特徴とする請求項10〜12のいずれか1項に記載のモニタリングシステム。
  14. 基板と、前記基板とは絶縁された状態で前記基板上に形成された導電性の第1の電極と、前記第1の電極上に形成された絶縁膜と、前記絶縁膜に形成され、前記絶縁膜の表面から前記第1の電極に達する深さを有するコンタクトホールと、前記絶縁膜の表面に形成され、プラズマプロセス中はプラズマに面する導電性の第2の電極と、を有するセンサと、
    前記プラズマプロセス中、前記第1及び第2の電極の電位、又は前記第1及び第2の電極間の電位差、を測定する電位計と、
    を備え、
    測定対象の電位を、前記第1の電極は前記コンタクトホールによって露出された表面にて取得し、前記第2の電極は前記第2の電極の表面にて取得することを特徴とするプラズマモニタリングシステム。
JP2013189785A 2013-09-12 2013-09-12 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム Active JP5837012B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2013189785A JP5837012B2 (ja) 2013-09-12 2013-09-12 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013189785A JP5837012B2 (ja) 2013-09-12 2013-09-12 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007225677A Division JP5407019B2 (ja) 2007-08-31 2007-08-31 プラズマモニタリング方法

Publications (2)

Publication Number Publication Date
JP2013243418A true JP2013243418A (ja) 2013-12-05
JP5837012B2 JP5837012B2 (ja) 2015-12-24

Family

ID=49843940

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013189785A Active JP5837012B2 (ja) 2013-09-12 2013-09-12 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム

Country Status (1)

Country Link
JP (1) JP5837012B2 (ja)

Cited By (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016060801A1 (en) * 2014-10-14 2016-04-21 Applied Materials, Inc Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
WO2021006999A1 (en) * 2019-07-09 2021-01-14 Tokyo Electron Limited Process control enabled vdc sensor for plasma process
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
WO2021149842A1 (ko) * 2020-01-20 2021-07-29 (주)제이디 정전용량 방식의 상태 측정 장치
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
WO2023199380A1 (ja) * 2022-04-11 2023-10-19 三菱電機株式会社 プラズマ処理システム及び学習済モデルの製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09252038A (ja) * 1996-03-15 1997-09-22 Fujitsu Ltd プラズマ損傷評価装置及びプラズマ損傷評価方法
JPH10228996A (ja) * 1997-02-13 1998-08-25 Fujitsu Ltd プラズマ空間電位の測定装置
JP2000311890A (ja) * 1999-03-22 2000-11-07 Samsung Electronics Co Ltd プラズマエッチング方法および装置
JP2003282546A (ja) * 2002-03-26 2003-10-03 Tohoku Techno Arch Co Ltd オンウエハ・モニタリング・システム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09252038A (ja) * 1996-03-15 1997-09-22 Fujitsu Ltd プラズマ損傷評価装置及びプラズマ損傷評価方法
JPH10228996A (ja) * 1997-02-13 1998-08-25 Fujitsu Ltd プラズマ空間電位の測定装置
JP2000311890A (ja) * 1999-03-22 2000-11-07 Samsung Electronics Co Ltd プラズマエッチング方法および装置
JP2003282546A (ja) * 2002-03-26 2003-10-03 Tohoku Techno Arch Co Ltd オンウエハ・モニタリング・システム

Cited By (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
WO2016060801A1 (en) * 2014-10-14 2016-04-21 Applied Materials, Inc Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021006999A1 (en) * 2019-07-09 2021-01-14 Tokyo Electron Limited Process control enabled vdc sensor for plasma process
WO2021149842A1 (ko) * 2020-01-20 2021-07-29 (주)제이디 정전용량 방식의 상태 측정 장치
WO2023199380A1 (ja) * 2022-04-11 2023-10-19 三菱電機株式会社 プラズマ処理システム及び学習済モデルの製造方法

Also Published As

Publication number Publication date
JP5837012B2 (ja) 2015-12-24

Similar Documents

Publication Publication Date Title
JP5837012B2 (ja) モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム
JP5407019B2 (ja) プラズマモニタリング方法
KR100889708B1 (ko) 플라즈마 충전 전압의 실시간 측정 방법
US8193824B2 (en) Monitoring plasma induced damage during semiconductor wafer processes
JP3957705B2 (ja) プラズマ処理装置
KR20200038440A (ko) 플라즈마 측정용 웨이퍼
CN206422042U (zh) 释放焊垫等离子体的pid测试结构
JP5276926B2 (ja) コンタクトホール側壁の抵抗値測定方法
JP3846016B2 (ja) 電子シェーディングダメージの測定方法
KR101917832B1 (ko) 플라즈마 밀도 측정용 웨이퍼
US20120322170A1 (en) Pinhole inspection method of insulator layer
CN103943608B (zh) 一种检测多晶硅残留的测试结构
US8419892B2 (en) Plasma process detecting sensor
US5904490A (en) Method of measuring electron shading damage
KR20190130864A (ko) 플라즈마 측정용 웨이퍼
JP5255907B2 (ja) プラズマモニタリングシステム
JP5530214B2 (ja) 半導体集積装置の評価システム及び評価用半導体チップ
JP2009059879A (ja) 紫外光モニタリングシステム
US20030197175A1 (en) Test structure for evaluating antenna effects
KR20190130858A (ko) 플라즈마 측정용 웨이퍼
KR102056085B1 (ko) 플라즈마 측정용 웨이퍼
JP3332022B2 (ja) 半導体装置のダメージ評価方法
KR20210117828A (ko) 플라즈마 측정용 웨이퍼
KR20190130856A (ko) 플라즈마 측정용 웨이퍼
US20210109051A1 (en) Inspection Structure and Inspection Method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131010

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140110

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140716

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140812

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150518

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151006

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151104

R150 Certificate of patent or registration of utility model

Ref document number: 5837012

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250