JP2012080133A - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP2012080133A
JP2012080133A JP2012010607A JP2012010607A JP2012080133A JP 2012080133 A JP2012080133 A JP 2012080133A JP 2012010607 A JP2012010607 A JP 2012010607A JP 2012010607 A JP2012010607 A JP 2012010607A JP 2012080133 A JP2012080133 A JP 2012080133A
Authority
JP
Japan
Prior art keywords
film
insulating film
wiring
semiconductor device
wirings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012010607A
Other languages
Japanese (ja)
Inventor
Junji Noguchi
純司 野口
Takashi Matsumoto
隆 松本
Takafumi Oshima
隆文 大島
Toshihiko Onozuka
利彦 小野塚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2012010607A priority Critical patent/JP2012080133A/en
Publication of JP2012080133A publication Critical patent/JP2012080133A/en
Pending legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a manufacturing method of a semiconductor device capable of reducing capacitance between wiring lines of a semiconductor device, and implementing a countermeasure against misalignment vias.SOLUTION: The manufacturing method of a semiconductor device comprises processes of: forming insulator films 74 in space areas on wiring lines and between wiring lines; removing the insulator films 74 in regions other than the peripheral regions of through holes which expose the top face of wiring lines whose intervals between adjacent wiring lines are narrow while leaving the insulator films 74 in the peripheral regions as reservoirs; and forming insulator films 77 on the wiring lines while leaving gaps in the space areas between the wiring lines where the insulator films 74 are removed.

Description

本発明は、半導体装置およびその製造技術に関し、特に、銅を主成分とする主導体膜を含む配線を有する半導体装置に適用して有効な技術に関する。   The present invention relates to a semiconductor device and a manufacturing technique thereof, and more particularly, to a technology effective when applied to a semiconductor device having a wiring including a main conductor film containing copper as a main component.

埋込配線構造は、絶縁膜に形成された配線溝や孔などのような配線開口部内に、ダマシン(Damascene)技術(シングルダマシン(Single-Damascene)技術およびデュアルダマシン(Dual-Damascene)技術)と称する配線形成技術によって、配線材料を埋め込むことで形成される。しかし、主配線材料が銅(Cu)の場合、銅がアルミニウム(Al)などのような金属と比較して絶縁膜中に拡散されやすいことから、その銅からなる埋込配線が絶縁膜と直接接しないように、埋込配線の表面(底面および側面)を薄いバリア金属膜で覆うことにより、埋込配線中の銅が絶縁膜中に拡散するのを抑制または防止するようにしている。また、配線開口部が形成された絶縁膜の上面に、例えば窒化シリコン膜などからなる配線キャップ用バリア絶縁膜を形成して埋込配線の上面を覆うことにより、埋込配線中の銅が埋込配線の上面から絶縁膜中に拡散するのを抑制または防止するようにしている。   The embedded wiring structure has Damascene technology (Single-Damascene technology and Dual-Damascene technology) in wiring openings such as wiring trenches and holes formed in insulating films. It is formed by embedding a wiring material by a so-called wiring forming technique. However, when the main wiring material is copper (Cu), copper is more easily diffused into the insulating film than a metal such as aluminum (Al), so the embedded wiring made of copper is directly connected to the insulating film. By covering the surface (bottom surface and side surface) of the embedded wiring with a thin barrier metal film so as not to contact, copper in the embedded wiring is suppressed or prevented from diffusing into the insulating film. Further, by forming a barrier insulating film for wiring cap made of, for example, a silicon nitride film on the upper surface of the insulating film in which the wiring opening is formed, the copper in the embedded wiring is buried. The diffusion from the upper surface of the embedded wiring into the insulating film is suppressed or prevented.

近年、このような埋込配線の間隔は、半導体装置の高集積化に伴い、減少してきている。これにより、配線間の寄生容量が増大して信号遅延が生じ、隣接配線との間にクロストークが発生する。このため、配線間の寄生容量を低減することが望まれる。配線間の寄生容量を低減するために、配線間絶縁膜として低誘電率材料が使用される。また、例えば特許文献1には、配線を逆テーパ形状に形成し、この配線間の空間にエアギャップが形成されるように層間絶縁膜を形成する技術が開示されている。このエアギャップにより、配線間容量の低減を図っている。その他、配線間にエアギャップを形成する技術として、特許文献2がある。   In recent years, the interval between such embedded wirings has been reduced as semiconductor devices are highly integrated. As a result, the parasitic capacitance between the wirings increases, causing a signal delay, and crosstalk occurs between adjacent wirings. For this reason, it is desired to reduce the parasitic capacitance between the wirings. In order to reduce the parasitic capacitance between the wirings, a low dielectric constant material is used as the insulating film between the wirings. For example, Patent Document 1 discloses a technique in which wirings are formed in an inversely tapered shape, and an interlayer insulating film is formed so that an air gap is formed in a space between the wirings. By this air gap, the wiring capacitance is reduced. As another technique for forming an air gap between wirings, there is Patent Document 2.

特開2001−85519号公報JP 2001-85519 A 特開2003−297918号公報JP 2003-297918 A

ところが、本発明者の検討結果によれば、上記銅を主導体層とする埋込み配線技術においては、以下の課題があることを見い出した。
銅を配線材料に用いた場合、TDDB(Time Dependence on Dielectric Breakdown)寿命が、他の金属材料(例えばアルミニウムやタングステン)に比べて著しく短いという問題がある。その上、配線ピッチの微細化が進み、実効電界強度が増加する傾向にあることに加え、近年は配線容量を低減する観点などから酸化シリコンよりも誘電率の低い絶縁材料を配線間の絶縁膜として使用する方向にあるが、誘電率の低い絶縁膜は一般的に絶縁耐圧も低いことから、TDDB寿命の確保が益々困難になる状況にある。
However, according to the examination results of the present inventors, it has been found that the embedded wiring technology using the copper as the main conductor layer has the following problems.
When copper is used as a wiring material, there is a problem that a TDDB (Time Dependence on Dielectric Breakdown) life is significantly shorter than other metal materials (for example, aluminum and tungsten). Furthermore, in addition to the trend toward finer wiring pitches and increased effective electric field strength, in recent years, insulating materials having a dielectric constant lower than that of silicon oxide have been used as insulating films between wirings in order to reduce wiring capacitance. However, since an insulating film having a low dielectric constant generally has a low withstand voltage, it is increasingly difficult to ensure the TDDB life.

TDDB寿命の劣化は、一般に配線材料に適用された銅が周辺に拡散し、これが配線間の絶縁破壊耐圧を低下させると考えられている。たとえば、特許文献1では、バリア金属膜およびバリア絶縁膜については全く考慮されていない。このため、層間絶縁膜のエアギャップにより配線間容量は低減しても、配線材料として使用されている銅が層間絶縁膜中に拡散し、TDDB寿命が低減してしまう。また、配線に逆テーパを持たせることによりエアギャップを形成しているため、配線の上端部に電界が集中し、TDDB寿命が更に低減してしまう。また、特許文献1では、配線に接続するビアの形成については全く開示されていない。   The deterioration of the TDDB life is generally considered that copper applied to the wiring material diffuses to the periphery, which reduces the dielectric breakdown voltage between the wirings. For example, Patent Document 1 does not consider the barrier metal film and the barrier insulating film at all. For this reason, even if the inter-wiring capacitance is reduced due to the air gap of the interlayer insulating film, copper used as the wiring material diffuses into the interlayer insulating film and the TDDB life is reduced. Further, since the air gap is formed by giving the wiring an inverse taper, the electric field concentrates on the upper end portion of the wiring, and the TDDB life is further reduced. Further, Patent Document 1 does not disclose the formation of vias connected to wiring.

特許文献2には、TDDB寿命の劣化を対策する技術が開示されている。しかしながら、特許文献2ではミスアライメント・ビアの対策については全く考慮されておらず、ビアを形成するためのスルーホール位置にリソグラフィープロセスにおける露光装置によって配線との合わせズレが発生し、スルーホール下部にエアギャップが存在した場合、その後、洗浄液やCuめっき液が浸透してしまい電気的接続不良や容量増加といった問題を有していた。   Patent Document 2 discloses a technique for taking measures against deterioration of the TDDB life. However, in Patent Document 2, no countermeasures against misalignment and vias are taken into consideration, and an alignment device with a wiring is generated at the through hole position for forming the via by an exposure apparatus in the lithography process, and the lower part of the through hole is formed. When the air gap exists, the cleaning solution or the Cu plating solution permeates thereafter, causing problems such as poor electrical connection and increased capacity.

本発明の目的は、銅を主導体層とする配線間の絶縁破壊耐性を向上させることができる半導体装置およびその製造方法を提供することにある。
本発明の他の目的は、ミスアライメント・ビア(合わせズレしたビア)を対策しつつ、銅を主導体層とする配線間の容量を低減できる半導体装置およびその製造方法を提供することにある。本 発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
An object of the present invention is to provide a semiconductor device capable of improving the dielectric breakdown resistance between wirings using copper as a main conductor layer, and a manufacturing method thereof.
Another object of the present invention is to provide a semiconductor device capable of reducing the capacitance between wirings using copper as a main conductor layer and a method for manufacturing the same while taking measures against misalignment vias (vias misaligned). The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。   Of the inventions disclosed in the present application, the outline of typical ones will be briefly described as follows.

本発明による半導体装置の製造方法は、以下の工程を有する。
(a)半導体基板上の第1の絶縁膜に複数の配線溝を形成する工程、
(b)前記複数の配線溝のそれぞれの内部を含む前記第1の絶縁膜上に第1の導体膜を形成する工程、
(c)前記複数の配線溝の外部の前記第1の導体膜を除去することによって、前記複数の配線溝のそれぞれの内部に前記第1の導体膜からなる配線を形成する工程、
(d)後の工程で形成される前記配線の上面を露出するスルーホールの下部領域及びその周辺領域の前記第1の絶縁膜を残し、前記各領域以外の前記第1の絶縁膜を除去する工程、
(e)前記第1の絶縁膜が除去された前記配線間のスペース領域に空隙を残しつつ、前記配線上に第2の絶縁膜を形成する工程、
(f)前記配線の上部の前記第2の絶縁膜を貫通するスルーホールを形成する工程、
(g)前記スルーホールの内部に第2の導体膜を形成する工程。
A manufacturing method of a semiconductor device according to the present invention includes the following steps.
(A) forming a plurality of wiring grooves in the first insulating film on the semiconductor substrate;
(B) forming a first conductor film on the first insulating film including each of the plurality of wiring grooves;
(C) forming a wiring made of the first conductive film inside each of the plurality of wiring grooves by removing the first conductive film outside the plurality of wiring grooves;
(D) The first insulating film in the lower region of the through hole that exposes the upper surface of the wiring to be formed in a later step and the peripheral region thereof are left, and the first insulating film other than the regions is removed. Process,
(E) forming a second insulating film on the wiring while leaving a gap in the space region between the wirings from which the first insulating film has been removed;
(F) forming a through hole penetrating the second insulating film above the wiring;
(G) A step of forming a second conductor film inside the through hole.

本発明による半導体装置の製造方法は、以下の工程を有する。
(a)半導体基板上の第1の絶縁膜に複数の配線溝を形成する工程、
(b)前記複数の配線溝のそれぞれの内部を含む前記第1の絶縁膜上に第1の導体膜を形成する工程、
(c)前記複数の配線溝の外部の前記第1の導体膜をCMP法で除去することによって、前記複数の配線溝のそれぞれの内部に前記第1の導体膜からなる配線を形成する工程、
(d)前記第1の絶縁膜上及び前記複数の配線上に第1のバリア絶縁膜を形成する工程、
(e)後の工程で形成される前記配線の上面を露出するスルーホールの下部領域及びその周辺領域の前記第1のバリア絶縁膜及び前記第1の絶縁膜を残し、前記各領域以外の前記第1のバリア絶縁膜及び前記第1の絶縁膜を除去する工程、
(f)前記第1のバリア絶縁膜上及び前記配線の側面及び上面上に第2のバリア絶縁膜を形成する工程、
(g)前記第1のバリア絶縁膜及び前記第1の絶縁膜が除去された前記配線間のスペース領域に空隙を残しつつ、前記第2のバリア絶縁膜上に第2の絶縁膜を形成する工程、
(h)前記配線の上部の前記第1のバリア絶縁膜と前記第2のバリア絶縁膜と前記第2の絶縁膜とを貫通するスルーホールを形成する工程、
(i)前記スルーホールの内部に第2の導体膜を形成する工程。
A manufacturing method of a semiconductor device according to the present invention includes the following steps.
(A) forming a plurality of wiring grooves in the first insulating film on the semiconductor substrate;
(B) forming a first conductor film on the first insulating film including each of the plurality of wiring grooves;
(C) forming a wiring made of the first conductor film inside each of the plurality of wiring grooves by removing the first conductor film outside the plurality of wiring grooves by a CMP method;
(D) forming a first barrier insulating film on the first insulating film and the plurality of wirings;
(E) The first barrier insulating film and the first insulating film in the lower region of the through hole exposing the upper surface of the wiring formed in a later step and the peripheral region, and the first insulating film are left, and the regions other than the respective regions Removing the first barrier insulating film and the first insulating film;
(F) forming a second barrier insulating film on the first barrier insulating film and on a side surface and an upper surface of the wiring;
(G) forming a second insulating film on the second barrier insulating film while leaving a gap in the space region between the wiring from which the first barrier insulating film and the first insulating film have been removed; Process,
(H) forming a through hole penetrating the first barrier insulating film, the second barrier insulating film, and the second insulating film above the wiring;
(I) A step of forming a second conductor film inside the through hole.

本発明による半導体装置の製造方法は、以下の工程を有する。
(a)半導体基板上に第1の導体膜を形成する工程、
(b)フォトレジストパターンをマスクにしたドライエッチング法により、前記第1の導体膜を選択的に除去して複数の第1の配線を形成する工程、
(c)前記配線上及び前記配線間のスペース領域に第1の絶縁膜を形成する工程、
(d)後の工程で形成される前記配線の上面を露出するスルーホールの下部領域及びその周辺領域の前記第1の絶縁膜を残し、前記各領域以外の前記第1の絶縁膜を除去する工程、
(e)前記第1の絶縁膜が除去された前記配線間のスペース領域に空隙を残しつつ、前記配線上に第2の絶縁膜を形成する工程、
(f)前記配線の上部の前記第1の絶縁膜と前記第2の絶縁膜とを貫通するスルーホールを形成する工程、
(g)前記スルーホールの内部に第2の導体膜を形成する工程。
A manufacturing method of a semiconductor device according to the present invention includes the following steps.
(A) forming a first conductor film on the semiconductor substrate;
(B) forming a plurality of first wirings by selectively removing the first conductor film by a dry etching method using a photoresist pattern as a mask;
(C) forming a first insulating film on the wiring and in a space region between the wiring;
(D) The first insulating film in the lower region of the through hole that exposes the upper surface of the wiring to be formed in a later step and the peripheral region thereof are left, and the first insulating film other than the regions is removed. Process,
(E) forming a second insulating film on the wiring while leaving a gap in the space region between the wirings from which the first insulating film has been removed;
(F) forming a through hole penetrating the first insulating film and the second insulating film above the wiring;
(G) A step of forming a second conductor film inside the through hole.

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。
配線間の絶縁破壊耐性を向上させることができ、また、ミスアライメント・ビア(合わせズレしたビア)を対策しつつ、配線間の容量を低減することができる。
Among the inventions disclosed in the present application, effects obtained by typical ones will be briefly described as follows.
The dielectric breakdown resistance between the wirings can be improved, and the capacitance between the wirings can be reduced while taking measures against misalignment vias (aligned vias).

本発明の一実施の形態である半導体装置のレイアウトの平面図である。It is a top view of the layout of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造工程中における、図1のA−A線の要部平面図である。2 is a fragmentary plan view taken along line AA of FIG. 1 during the manufacturing process of the semiconductor device according to the embodiment of the present invention; FIG. 図2のA−A線の断面図である。It is sectional drawing of the AA line of FIG. 図3に続く半導体装置の製造工程中における要部断面図である。FIG. 4 is a fragmentary cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 3; 図4に続く半導体装置の製造工程中における要部断面図である。FIG. 5 is a fragmentary cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 4; 図5に続く半導体装置の製造工程中における要部断面図である。FIG. 6 is a fragmentary cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 5; 図6のA−A線の平面図である。It is a top view of the AA line of FIG. 図7に続く半導体装置の製造工程中における要部断面図である。FIG. 8 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 7; 図8に続く半導体装置の製造工程中における要部断面図である。FIG. 9 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 8; 図9に続く半導体装置の製造工程中における要部断面図である。FIG. 10 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 9; 図7に続く、本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。FIG. 8 is a fragmentary cross-sectional view of the semiconductor device according to another embodiment of the present invention during the manufacturing step following that of FIG. 7; 図11に続く半導体装置の製造工程中における要部の断面図である。FIG. 12 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor device subsequent to FIG. 11. 図12に続く半導体装置の製造工程中における要部の断面図である。FIG. 13 is a cross-sectional view of the main part during the manufacturing process of the semiconductor device following FIG. 12. 図13に続く半導体装置の製造工程中における要部断面図である。FIG. 14 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 13; 図14に続く半導体装置の製造工程中における要部断面図である。FIG. 15 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 14; 図13に続く本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。FIG. 14 is an essential part cross sectional view of the semiconductor device according to another embodiment of the present invention during the manufacturing step following FIG. 13; 図16に続く半導体装置の製造工程中における要部断面図である。FIG. 17 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 16; 図10、図15に続く半導体装置の製造工程中における要部断面図である。FIG. 16 is a fragmentary cross-sectional view of the semiconductor device during a manufacturing step following that of FIGS. 10 and 15; 図18のA−A線の平面図である。It is a top view of the AA line of FIG. 図19に続く半導体装置の製造工程中における要部断面図である。FIG. 20 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 19; 図20に続く半導体装置の製造工程中における要部断面図である。FIG. 21 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 20; 図21に続く半導体装置の製造工程中における要部断面図である。FIG. 22 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 21; 図22に続く半導体装置の製造工程中における要部断面図である。FIG. 23 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 22; 図23に続く半導体装置の製造工程中における要部断面図である。FIG. 24 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 23; 図24に続く半導体装置の製造工程中における要部断面図である。FIG. 25 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 24; 図25に続く半導体装置の製造工程中における要部断面図である。FIG. 26 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 25; 図26のA−A線の平面図である。It is a top view of the AA line of FIG. 図27に続く半導体装置の製造工程中における要部断面図である。FIG. 28 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 27; 図28に続く半導体装置の製造工程中における要部断面図である。FIG. 29 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 28; 図29に続く半導体装置の製造工程中における要部断面図である。FIG. 30 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 29; 本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device which is other embodiment of this invention. 図3に続く、本発明の他の実施の形態である半導体装置の製造工程中における要部断面図である。FIG. 4 is a fragmentary cross-sectional view of the semiconductor device according to another embodiment of the present invention during the manufacturing step following that of FIG. 3; 図32に続く半導体装置の製造工程中における要部断面図である。FIG. 33 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 32; 図33に続く半導体装置の製造工程中における要部断面図である。FIG. 34 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 33; 図34に続く半導体装置の製造工程中における要部断面図である。FIG. 35 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 34; 図35に続く半導体装置の製造工程中における要部断面図である。FIG. 36 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 35; 図36に続く半導体装置の製造工程中における要部断面図である。FIG. 37 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 36; 図37に続く半導体装置の製造工程中における要部断面図である。FIG. 38 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 37; 図38に続く半導体装置の製造工程中における要部断面図である。FIG. 39 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 38; 図39に続く半導体装置の製造工程中における要部断面図である。FIG. 40 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 39; 図40に続く半導体装置の製造工程中における要部断面図である。FIG. 41 is an essential part cross sectional view of the semiconductor device during a manufacturing step following FIG. 40; 本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。It is principal part sectional drawing in the manufacturing process of the semiconductor device which is other embodiment of this invention.

以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の機能を有する部材には同一の符号を付し、その繰り返しの説明は省略する。また、以下の実施の形態では、特に必要なとき以外は同一または同様な部分の説明を原則として繰り返さない。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiments, and the repetitive description thereof will be omitted. In the following embodiments, the description of the same or similar parts will not be repeated in principle unless particularly necessary.

まず、本発明者らによって検討された上記銅を主導体層とした埋込み配線間におけるTDDB寿命の劣化原因について説明する。なお、TDDB(Time Dependence on Dielectric Breakdown)寿命とは、絶縁破壊の時間的依存性を客観的に計る尺度であって、所定の温度(例えば140℃)の測定条件下で電極間に比較的高い電圧を加え、電圧印加から絶縁破壊までの時間を印加電界に対してプロットしたグラフを作成し、このグラフから実際の使用電界強度(例えば0.2MV/cm)に外挿して求めた時間(寿命)をいう。   First, the cause of deterioration of the TDDB life between the embedded wirings using the above copper as the main conductor layer studied by the present inventors will be described. The TDDB (Time Dependence on Dielectric Breakdown) lifetime is a measure for objectively measuring the time dependency of dielectric breakdown, and is relatively high between electrodes under a predetermined temperature (eg, 140 ° C.) measurement condition. Create a graph in which the time from voltage application to dielectric breakdown is plotted against the applied electric field by applying voltage, and the time (lifetime) obtained by extrapolating from this graph to the actual electric field strength (for example, 0.2 MV / cm) ).

TDDB寿命の劣化は、一般に配線材料に適用された銅が周辺に拡散し、これが配線間の絶縁破壊耐圧を低下させると考えられている。しかし、本発明者らによる検討結果によれば銅の拡散現象は、次のような要因が支配的である。すなわち、第1は、隣接配線間の絶縁膜中を拡散する銅は、原子状の銅よりも、酸化銅(CuO)あるいは銅シリサイドから供給されるイオン化銅が配線間の電位でドリフトし拡散する要因が支配的である。第2は、銅の拡散経路は銅配線が形成された絶縁膜と配線キャップ膜(バリア絶縁膜)との界面が支配的である。そして、これらのことから、TDDB寿命の劣化が、次のようなメカニズムによるものであることが分かった。   The deterioration of the TDDB life is generally considered that copper applied to the wiring material diffuses to the periphery, which reduces the dielectric breakdown voltage between the wirings. However, according to the examination results by the present inventors, the following factors are dominant in the copper diffusion phenomenon. That is, first, in copper diffused in the insulating film between adjacent wirings, ionized copper supplied from copper oxide (CuO) or copper silicide drifts and diffuses at the potential between the wirings rather than atomic copper. The factor is dominant. Second, the copper diffusion path is dominated by the interface between the insulating film on which the copper wiring is formed and the wiring cap film (barrier insulating film). From these facts, it was found that the deterioration of the TDDB life is due to the following mechanism.

すなわち、銅を主導体膜とする埋込み配線の表面には、CMP後の表面プロセスにより酸化銅(CuO)が形成されたり、また、キャップ膜(窒化シリコン膜)の形成の際に銅シリサイド(CuSix)が形成されたりする。このような酸化銅あるいは銅シリサイドは、純粋な銅と比較してイオン化され易い。このようにしてイオン化された銅は配線間の電界によりドリフトされ、配線間の絶縁膜に拡散される。一方、上記埋込み配線を形成する絶縁膜(酸化シリコン膜)とキャップ膜(窒化シリコン膜)との界面は、CMPダメージ、有機物またはダングリングボンドが多く形成され、不連続であり、密着性にも乏しい。このようなダングリングボンドの存在は、上記銅イオンの拡散を助長する作用を有し、銅イオンは界面に沿ってドリフトされ拡散する。すなわち、配線間の前記界面にリークパスが形成される。リークパスを流れるリーク電流は、長時間のリーク作用と電流による熱ストレスも加わり、その後、加速度的に電流値が増加して絶縁破壊に至る(TDDB寿命の低下)。 That is, copper oxide (CuO) is formed on the surface of the embedded wiring using copper as a main conductor film by a surface process after CMP, and copper silicide (CuSi) is formed when a cap film (silicon nitride film) is formed. x ) is formed. Such copper oxide or copper silicide is easily ionized as compared with pure copper. The copper ionized in this way is drifted by the electric field between the wirings and diffused into the insulating film between the wirings. On the other hand, the interface between the insulating film (silicon oxide film) and the cap film (silicon nitride film) forming the embedded wiring is discontinuous due to the formation of a lot of CMP damage, organic matter or dangling bonds. poor. The presence of such dangling bonds has an effect of promoting the diffusion of the copper ions, and the copper ions are drifted and diffused along the interface. That is, a leak path is formed at the interface between the wirings. The leakage current flowing through the leakage path is also subjected to a long-term leakage action and thermal stress due to the current, and then the current value increases at an accelerated rate, leading to dielectric breakdown (decrease in TDDB life).

そこで、本実施の形態においては、上記リークパスとして作用する界面であるCMP面(CMPで研磨された面)を同層配線間から無くして、TDDB特性を改善させることを検討した。更に、合わせズレしたビアを対策し、かつ配線間の寄生容量を低減させることも検討した。   Therefore, in the present embodiment, it has been studied to improve the TDDB characteristics by eliminating the CMP surface (surface polished by CMP) that is an interface acting as a leak path from between the same-layer wirings. In addition, measures were taken to prevent misaligned vias and to reduce parasitic capacitance between wires.

本実施の形態の半導体装置およびその製造工程について、図面を参照して説明する。図1は、本発明の実勢の形態である集積回路チップ9のレイアウトの平面図である。一般的な集積回路では、RAM(Random Access Memory)等の密集パターン部19aと周辺回路等を含む疎パターン部19bからなる。密集パターン部19aでは、配線パターン及びコンタクト、スルーホールが密集している。一方、疎パターン部19bでは、比較的配線間スペースにゆとりがあり、また接続されるスルーホールの数が、密集パターン部19aに比べ、少ないという特徴を持つ。   The semiconductor device of this embodiment and its manufacturing process will be described with reference to the drawings. FIG. 1 is a plan view of a layout of an integrated circuit chip 9 which is an embodiment of the present invention. A general integrated circuit includes a dense pattern portion 19a such as a RAM (Random Access Memory) and a sparse pattern portion 19b including peripheral circuits. In the dense pattern portion 19a, wiring patterns, contacts, and through holes are dense. On the other hand, the sparse pattern portion 19b has a feature that there is a relatively large space between wirings, and the number of through holes to be connected is smaller than that of the dense pattern portion 19a.

図2は、本発明の一実施の形態である半導体装置、例えばCMISFET(Complementary Metal Insulator Semiconductor Field Effect Transistor)、の製造工程中の要部平面図であり、図1のA−Aを抜粋した平面図である。図3は図1のA−A断面図である。
図2および図3に示すように、例えば1〜10Ωcm程度の比抵抗を有するp型の単結晶シリコンなどからなるウエハまたは半導体基板1は、その主面に素子分離領域2が形成されている。素子分離領域2は酸化シリコンなどからなり、例えばSTI(Shallow Trench Isolation)法またはLOCOS(Local Oxidization of Silicon )法などにより形成される。
FIG. 2 is a plan view of an essential part during a manufacturing process of a semiconductor device according to an embodiment of the present invention, for example, CMISFET (Complementary Metal Insulator Semiconductor Field Effect Transistor), and is a plan view excerpted from AA in FIG. FIG. 3 is a cross-sectional view taken along the line AA in FIG.
As shown in FIGS. 2 and 3, a wafer or semiconductor substrate 1 made of p-type single crystal silicon having a specific resistance of about 1 to 10 Ωcm, for example, has an element isolation region 2 formed on its main surface. The element isolation region 2 is made of silicon oxide or the like, and is formed by, for example, an STI (Shallow Trench Isolation) method or a LOCOS (Local Oxidization of Silicon) method.

半導体基板1には、その主面から所定の深さに渡ってp型ウエル3およびn型ウエル4が形成されている。p型ウエル3は、例えばホウ素などの不純物をイオン注入することなどによって形成され、n型ウエル4は、例えばリンなどの不純物をイオン注入することなどによって形成される。   A p-type well 3 and an n-type well 4 are formed in the semiconductor substrate 1 from the main surface to a predetermined depth. The p-type well 3 is formed by ion-implanting impurities such as boron, and the n-type well 4 is formed by ion-implanting impurities such as phosphorus.

p型ウエル3の領域では、素子分離領域2で囲まれた活性領域に、nチャネル型のMISFET(Qn)が形成されている。また、n型ウエル4の領域では、素子分離領域2で囲まれた活性領域に、pチャネル型のMISFET(Qp)が形成されている。n型MISFET(Qn)およびp型MISFET(Qp)のゲート絶縁膜5は、例えば薄い酸化シリコン膜、または酸窒化シリコン膜などからなり、例えば熱酸化法などによって形成される。   In the p-type well 3 region, an n-channel MISFET (Qn) is formed in the active region surrounded by the element isolation region 2. In the region of the n-type well 4, a p-channel type MISFET (Qp) is formed in the active region surrounded by the element isolation region 2. The gate insulating film 5 of the n-type MISFET (Qn) and the p-type MISFET (Qp) is made of, for example, a thin silicon oxide film or a silicon oxynitride film, and is formed by, for example, a thermal oxidation method.

n型MISFET(Qn)およびp型MISFET(Qp)のゲート電極6は、例えば、低抵抗の多結晶シリコン膜上にチタンシリサイド(TiSi)層またはコバルトシリサイド(CoSi)層10を積層することにより形成されている。ゲート電極6の側壁上には、例えば酸化シリコンなどからなる側壁スペーサまたはサイドウォール7が形成されている。 For the gate electrode 6 of the n-type MISFET (Qn) and the p-type MISFET (Qp), for example, a titanium silicide (TiSi x ) layer or a cobalt silicide (CoSi x ) layer 10 is laminated on a low-resistance polycrystalline silicon film. It is formed by. On the side wall of the gate electrode 6, a side wall spacer or side wall 7 made of, for example, silicon oxide is formed.

n型MISFET(Qn)のソースおよびドレイン領域である、n型の半導体領域8は、例えば、サイドウォール7の形成後に、p型ウエル3のゲート電極6およびサイドウォール7の両側の領域にリンなどの不純物をイオン注入することにより形成される。p型MISFET(Qp)のソースおよびドレイン領域である、p型の半導体領域9は、例えば、サイドウォール7の形成後に、n型ウエル4のゲート電極6およびサイドウォール7の両側の領域にホウ素などの不純物をイオン注入することにより形成される。また、n型半導体領域8およびp型の半導体領域9の上面の一部には、例えばチタンシリサイド層またはコバルトシリサイド層などのようなシリサイド層10が形成されている。   The n-type semiconductor region 8 which is the source and drain regions of the n-type MISFET (Qn) is formed, for example, with phosphorus in the regions on both sides of the gate electrode 6 and the sidewall 7 of the p-type well 3 after the sidewall 7 is formed. These impurities are formed by ion implantation. The p-type semiconductor region 9, which is the source and drain region of the p-type MISFET (Qp), includes, for example, boron in the regions on both sides of the gate electrode 6 and the sidewall 7 of the n-type well 4 after the sidewall 7 is formed. These impurities are formed by ion implantation. A silicide layer 10 such as a titanium silicide layer or a cobalt silicide layer is formed on part of the upper surface of the n-type semiconductor region 8 and the p-type semiconductor region 9.

このような半導体基板1上には、ゲート電極6およびサイドウォール7を覆うように、窒化シリコン膜11が形成されている。また、絶縁膜12には、ゲート電極6間の狭いスペースを埋め込み可能なリフロー性の高い絶縁膜、例えばBPSG(Boron-doped Phospho Silicate Glass)膜などからなる。絶縁膜12には、コンタクトホール13が形成されている。コンタクトホール13の底部では、半導体基板1の主面の一部、例えばn型の半導体領域8およびp型の半導体領域9の一部、やゲート電極6の一部などが露出されている。   A silicon nitride film 11 is formed on the semiconductor substrate 1 so as to cover the gate electrode 6 and the sidewalls 7. The insulating film 12 is made of a highly reflowable insulating film capable of filling a narrow space between the gate electrodes 6, for example, a BPSG (Boron-doped Phospho Silicate Glass) film. A contact hole 13 is formed in the insulating film 12. At the bottom of the contact hole 13, part of the main surface of the semiconductor substrate 1, for example, part of the n-type semiconductor region 8 and p-type semiconductor region 9, part of the gate electrode 6, and the like are exposed.

このコンタクトホール13内には、タングステン(W)などからなる導体膜が形成されている。例えば窒化チタン膜を形成した後、タングステン膜をCVD(Chemical Vapor Deposition)法によって窒化チタン膜上にコンタクトホール13を埋めるように形成し、絶縁膜12上の不要なタングステン膜および窒化チタン膜をCMP(Chemical Mechanical Polishing)法またはエッチバック法などによって除去することにより形成される。   A conductive film made of tungsten (W) or the like is formed in the contact hole 13. For example, after forming a titanium nitride film, a tungsten film is formed by CVD (Chemical Vapor Deposition) so as to fill the contact hole 13 on the titanium nitride film, and an unnecessary tungsten film and titanium nitride film on the insulating film 12 are CMPed. It is formed by removing by (Chemical Mechanical Polishing) method or etch back method.

スルーホール13が埋め込まれた絶縁膜12上には、例えば絶縁膜14a及び絶縁膜14bから成る層間絶縁膜に溝を形成した後、タングステンなどからなる導体膜を埋め込みCMP(Chemical Mechanical Polishing)法で余剰の導体膜を除去して作製するダマシン(Damascene)法によって、 第1層配線15が形成されている。第1層配線15は、スルーホール13を介してn型MISFET(Qn)およびp型MISFET(Qp)のソース・ドレイン用の半導体領域8および9やゲート電極6と電気的に接続されている。第1層配線15は、タングステンに限定されず種々変更可能であり、例えばアルミニウム(Al)またはアルミニウム合金などの単体膜あるいはこれらの単体膜の上下層の少なくとも一方にチタン(Ti)や窒化チタン(TiN)などのような金属膜を形成した積層金属膜としても良い。   On the insulating film 12 in which the through hole 13 is embedded, a groove is formed in an interlayer insulating film made of, for example, an insulating film 14a and an insulating film 14b, and then a conductive film made of tungsten or the like is embedded by a CMP (Chemical Mechanical Polishing) method. The first layer wiring 15 is formed by a damascene method that is manufactured by removing the excess conductor film. The first layer wiring 15 is electrically connected to the semiconductor regions 8 and 9 for the source / drain of the n-type MISFET (Qn) and p-type MISFET (Qp) and the gate electrode 6 through the through hole 13. The first layer wiring 15 is not limited to tungsten and can be variously modified. For example, a single film such as aluminum (Al) or an aluminum alloy, or titanium (Ti) or titanium nitride (at least one of upper and lower layers of these single films) is used. A laminated metal film in which a metal film such as TiN) is formed may be used.

絶縁膜14aはダマシン法によって溝加工する場合、エッチングストッパー膜としての役割を持ち、抵抗ばらつきを低減させることができる。絶縁膜14aとして、例えば窒化シリコン(Si)膜、炭化シリコン(SiC)膜または炭窒化シリコン(SiCN)膜を用いても良い。これら窒化シリコン膜、炭化シリコン膜または炭窒化シリコン膜は、例えばプラズマCVD法によって形成することができる。プラズマCVD法で形成された炭化シリコン膜としては、例えばBLOk(AMAT社製、比誘電率=4.3〜5.0)がある。その形成に際しては、例えばトリメチルシランとヘリウム(またはN、NH)との混合ガスを用いる。
絶縁膜14bには、酸化シリコン膜(たとえばTEOS(Tetraethoxysilane)酸化膜)を用いる。さらに、配線間容量低減のため、絶縁膜14bには、例えば有機ポリマーまたは有機シリカガラスなどのような低誘電率材料(いわゆるLow−K絶縁膜、Low−K材料)からなる。なお、低誘電率な絶縁膜(Low−K絶縁膜)とは、パッシベーション膜に含まれる酸化シリコン膜(たとえばTEOS(Tetraethoxysilane)酸化膜)の誘電率よりも低い誘電率を有する絶縁膜を例示できる。一般的には、TEOS酸化膜の比誘電率ε=4.1〜4.2程度以下を低誘電率な絶縁膜と言う。
When the groove is processed by the damascene method, the insulating film 14a has a role as an etching stopper film and can reduce resistance variation. As the insulating film 14a, for example, a silicon nitride (Si x N y ) film, a silicon carbide (SiC) film, or a silicon carbonitride (SiCN) film may be used. These silicon nitride film, silicon carbide film, or silicon carbonitride film can be formed by, for example, a plasma CVD method. As a silicon carbide film formed by the plasma CVD method, for example, there is BLOk (manufactured by AMAT, relative permittivity = 4.3 to 5.0). In the formation, for example, a mixed gas of trimethylsilane and helium (or N 2 , NH 3 ) is used.
A silicon oxide film (for example, a TEOS (Tetraethoxysilane) oxide film) is used for the insulating film 14b. Further, in order to reduce the capacitance between wirings, the insulating film 14b is made of a low dielectric constant material (so-called Low-K insulating film, Low-K material) such as organic polymer or organic silica glass. Note that the low dielectric constant insulating film (Low-K insulating film) can be exemplified by an insulating film having a dielectric constant lower than that of a silicon oxide film (eg, TEOS (Tetraethoxysilane) oxide film) included in the passivation film. . Generally, the dielectric constant ε = 4.1 to 4.2 or less of the TEOS oxide film is called an insulating film having a low dielectric constant.

上記低誘電率材料としての有機ポリマーには、例えばSiLK(米The Dow Chemical Co製、比誘電率=2.7、耐熱温度=490℃以上、絶縁破壊耐圧=4.0〜5.0MV/Vm)またはポリアリルエーテル(PAE)系材料のFLARE(米Honeywell Electronic Materials製、比誘電率=2.8、耐熱温度=400℃以上)がある。このPAE系材料は、基本性能が高く、機械的強度、熱的安定性および低コスト性に優れるという特徴を有している。上記低誘電率材料としての有機シリカガラス(SiOC系材料)には、例えばHSG−R7(日立化成工業製、比誘電率=2.8、耐熱温度=650℃)、Black Diamond(米Applied Materials,Inc製、比誘電率=3.0〜2.4、耐熱温度=450℃)またはp−MTES(日立開発製、比誘電率=3.2)がある。この他のSiOC系材料には、例えばCORAL(米Novellus Systems,Inc製、比誘電率=2.7〜2.4、耐熱温度=500℃)、Aurora2.7(日本エー・エス・エム社製、比誘電率=2.7、耐熱温度=450℃)がある。   Examples of the organic polymer as the low dielectric constant material include SiLK (manufactured by The Dow Chemical Co., USA, relative dielectric constant = 2.7, heat resistant temperature = 490 ° C. or higher, dielectric breakdown voltage = 4.0 to 5.0 MV / Vm). ) Or FLARE of polyallyl ether (PAE) material (manufactured by Honeywell Electronic Materials, relative permittivity = 2.8, heat-resistant temperature = 400 ° C. or higher). This PAE material is characterized by high basic performance and excellent mechanical strength, thermal stability and low cost. Examples of the organic silica glass (SiOC-based material) as the low dielectric constant material include, for example, HSG-R7 (manufactured by Hitachi Chemical Co., Ltd., relative dielectric constant = 2.8, heat resistant temperature = 650 ° C.), Black Diamond (Applied Materials, USA) Inc., relative dielectric constant = 3.0 to 2.4, heat-resistant temperature = 450 ° C.) or p-MTES (manufactured by Hitachi Development Co., Ltd., relative dielectric constant = 3.2). Other SiOC materials include, for example, CORAL (manufactured by Novellus Systems, Inc., relative permittivity = 2.7 to 2.4, heat-resistant temperature = 500 ° C.), Aurora 2.7 (manufactured by Japan ASM Co., Ltd.) , Relative dielectric constant = 2.7, heat-resistant temperature = 450 ° C.).

また、他に絶縁膜14bの低誘電率材料には、例えばFSG(SiOF系材料)、HSQ(hydrogen silsesquioxane)系材料、MSQ(methyl silsesquioxane)系材料、ポーラスHSQ系材料、ポーラスMSQ材料またはポーラス有機系材料を用いることもできる。上記HSQ系材料には、例えばOCD T−12(東京応化工業製、比誘電率=3.4〜2.9、耐熱温度=450℃)、FOx(米Dow Corning Corp.製、比誘電率=2.9)またはOCL T−32(東京応化工業製、比誘電率=2.5、耐熱温度=450℃)などがある。上記MSQ系材料には、例えばOCD T−9(東京応化工業製、比誘電率=2.7、耐熱温度=600℃)、LKD−T200(JSR製、比誘電率=2.7〜2.5、耐熱温度=450℃)、HOSP(米Honeywell Electronic Materials製、比誘電率=2.5、耐熱温度=550℃)、HSG−RZ25(日立化成工業製、比誘電率=2.5、耐熱温度=650℃)、OCL T−31(東京応化工業製、比誘電率=2.3、耐熱温度=500℃)またはLKD−T400(JSR製、比誘電率=2.2〜2、耐熱温度=450℃)などがある。   Other low dielectric constant materials for the insulating film 14b include, for example, FSG (SiOF-based material), HSQ (hydrogen silsesquioxane) -based material, MSQ (methyl silsesquioxane) -based material, porous HSQ-based material, porous MSQ material, or porous organic material. A system material can also be used. Examples of the HSQ-based material include OCD T-12 (manufactured by Tokyo Ohka Kogyo Co., Ltd., dielectric constant = 3.4 to 2.9, heat-resistant temperature = 450 ° C.), FOx (manufactured by Dow Corning Corp., USA), dielectric constant = 2.9) or OCL T-32 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative dielectric constant = 2.5, heat-resistant temperature = 450 ° C.). Examples of the MSQ material include OCD T-9 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative dielectric constant = 2.7, heat-resistant temperature = 600 ° C.), LKD-T200 (manufactured by JSR, relative dielectric constant = 2.7-2. 5, heat-resistant temperature = 450 ° C., HOSP (manufactured by Honeywell Electronic Materials, relative dielectric constant = 2.5, heat-resistant temperature = 550 ° C.), HSG-RZ25 (manufactured by Hitachi Chemical, relative dielectric constant = 2.5, heat-resistant Temperature = 650 ° C.), OCL T-31 (manufactured by Tokyo Ohka Kogyo Co., Ltd., dielectric constant = 2.3, heat-resistant temperature = 500 ° C.) or LKD-T400 (manufactured by JSR, dielectric constant = 2.2-2, heat-resistant temperature) = 450 ° C.).

上記ポーラスHSQ系材料には、例えばXLK(米Dow Corning Corp.製、比誘電率=2.5〜2)、OCL T−72(東京応化工業製、比誘電率=2.2〜1.9、耐熱温度=450℃)、Nanoglass(米Honeywell Electronic Materials製、比誘電率=2.2〜1.8、耐熱温度=500℃以上)またはMesoELK(米Air Productsand Chemicals,Inc、比誘電率=2以下)がある。上記ポーラスMSQ系材料には、例えばHSG−6211X(日立化成工業製、比誘電率=2.4、耐熱温度=650℃)、ALCAP−S(旭化成工業製、比誘電率=2.3〜1.8、耐熱温度=450℃)、OCLT−77(東京応化工業製、比誘電率=2.2〜1.9、耐熱温度=600℃)、HSG−6210X(日立化成工業製、比誘電率=2.1、耐熱温度=650℃)またはsilica aerogel(神戸製鋼所製、比誘電率1.4〜1.1)などがある。上記ポーラス有機系材料には、例えばPolyELK(米Air Productsand Chemicals,Inc、比誘電率=2以下、耐熱温度=490℃)などがある。上記SiOC系材料、SiOF系材料は、例えばCVD法によって形成されている。例えば上記Black Diamondは、トリメチルシランと酸素との混合ガスを用いたCVD法などによって形成される。また、上記p−MTESは、例えばメチルトリエトキシシランとNOとの混合ガスを用いたCVD法などによって形成される。それ以外の上記低誘電率の絶縁材料は、例えば塗布法で形成されている。 Examples of the porous HSQ material include XLK (manufactured by Dow Corning Corp., relative dielectric constant = 2.5-2), OCL T-72 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative dielectric constant = 2.2-1.9). , Heat resistant temperature = 450 ° C), Nanoglass (manufactured by Honeywell Electronic Materials, relative dielectric constant = 2.2 to 1.8, heat resistant temperature = 500 ° C or higher) or MesoELK (US Air Products and Chemicals, Inc, relative dielectric constant = 2) There are following). Examples of the porous MSQ material include HSG-6221X (manufactured by Hitachi Chemical Co., Ltd., relative dielectric constant = 2.4, heat-resistant temperature = 650 ° C.), ALCAP-S (manufactured by Asahi Kasei Kogyo Co., Ltd., relative dielectric constant = 2.3-1). .8, heat resistant temperature = 450 ° C.), OCLT-77 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative dielectric constant = 2.2 to 1.9, heat resistant temperature = 600 ° C.), HSG-6210X (manufactured by Hitachi Chemical Co., Ltd., relative dielectric constant) = 2.1, heat-resistant temperature = 650 ° C.) or silica aerogel (manufactured by Kobe Steel, relative dielectric constant: 1.4 to 1.1). Examples of the porous organic material include PolyELK (US Air Products and Chemicals, Inc., dielectric constant = 2 or less, heat-resistant temperature = 490 ° C.). The SiOC material and the SiOF material are formed by, for example, a CVD method. For example, the Black Diamond is formed by a CVD method using a mixed gas of trimethylsilane and oxygen. The p-MTES is formed by, for example, a CVD method using a mixed gas of methyltriethoxysilane and N 2 O. The other low dielectric constant insulating materials are formed by, for example, a coating method.

このようなLow−K材料を用いた場合には、絶縁膜14b上には、Low−Kキャップ用の絶縁膜が必要な場合がある。Low−Kキャップ用の絶縁膜には、例えば二酸化シリコン(SiO)に代表される酸化シリコン(SiO)膜や、比較的膜強度が高いpSiOC膜を用いる。これらのLow−kキャップ膜は、CMP処理時における絶縁膜14b機械的強度の確保、表面保護および耐湿性の確保などのような機能を有している。 When such a Low-K material is used, an insulating film for a Low-K cap may be necessary on the insulating film 14b. As the insulating film for the Low-K cap, for example, a silicon oxide (SiO x ) film typified by silicon dioxide (SiO 2 ) or a pSiOC film having a relatively high film strength is used. These Low-k cap films have functions such as ensuring the mechanical strength of the insulating film 14b, surface protection, and ensuring moisture resistance during the CMP process.

第1層配線の上部には、絶縁膜16および17からなるスルーホール層間構造があり、第1層配線を作製したときと同じく、絶縁膜16および17は、絶縁膜14a及び14bと同様な方法及び材料で作製することが可能である。この絶縁膜16および17には、第1層配線15の一部が露出するビアまたはスルーホール18が形成されている。このスルーホール18内には、例えばタングステンなどからなる導体膜が埋め込まれている。   There is a through-hole interlayer structure composed of insulating films 16 and 17 above the first layer wiring, and the insulating films 16 and 17 are the same as the insulating films 14a and 14b, as in the case of manufacturing the first layer wiring. And can be made of materials. The insulating films 16 and 17 are formed with vias or through holes 18 in which a part of the first layer wiring 15 is exposed. A conductive film made of tungsten or the like is embedded in the through hole 18.

図4〜6は、図2に続く半導体装置の製造工程中における要部断面図を示している。なお、理解を簡単にするために、図4〜6では、図3の絶縁膜17より下の構造に対応する部分は図示を省略している。   4 to 6 are fragmentary cross-sectional views of the semiconductor device during the manufacturing process subsequent to FIG. For easy understanding, the portions corresponding to the structure below the insulating film 17 in FIG. 3 are not shown in FIGS.

まず、本実施の形態においては、図4に示されるように、スルーホール18が埋め込まれた絶縁膜17上に絶縁膜20をプラズマCVD法などによって形成する。絶縁膜20は、例えばプラズマCVD法によって形成された窒化シリコン膜からなり、その厚さは、例えば25nm〜50nm程度である。絶縁膜20の他の材料として、例えばプラズマCVD法で形成された炭化シリコン膜、プラズマCVD法で形成されたSiCN膜またはプラズマCVD法で形成された酸窒化シリコン(SiON)膜の単体膜を用いても良い。これらの膜を用いた場合、窒化シリコン膜に比べて誘電率を大幅に下げることができるので、配線容量を低減することができ、半導体装置の動作速度を向上させることができる。プラズマCVD法で形成された炭化シリコン膜には、例えば上記BLOk(AMAT社製)がある。また、SiCN膜の成膜に際しては、例えばヘリウム(He)と、アンモニア(NH)と、トリメチルシラン(3MS)との混合ガスが用いられる。また、プラズマCVD法で形成された酸窒化シリコン膜としては、例えばPE−TMS(Canon製、誘電率=3.9)があり、その形成に際しては、例えばトリメトキシシラン(TMS)ガスと酸化窒素(NO)ガスとの混合ガスが用いられる。 First, in the present embodiment, as shown in FIG. 4, the insulating film 20 is formed on the insulating film 17 in which the through holes 18 are buried by a plasma CVD method or the like. The insulating film 20 is made of, for example, a silicon nitride film formed by a plasma CVD method, and the thickness thereof is, for example, about 25 nm to 50 nm. As another material of the insulating film 20, for example, a silicon carbide film formed by a plasma CVD method, a SiCN film formed by a plasma CVD method, or a single film of a silicon oxynitride (SiON) film formed by a plasma CVD method is used. May be. When these films are used, the dielectric constant can be significantly reduced as compared with the silicon nitride film, so that the wiring capacitance can be reduced and the operation speed of the semiconductor device can be improved. An example of the silicon carbide film formed by the plasma CVD method is the BLOk (manufactured by AMAT). In forming the SiCN film, for example, a mixed gas of helium (He), ammonia (NH 3 ), and trimethylsilane (3MS) is used. Moreover, as a silicon oxynitride film formed by the plasma CVD method, for example, there is PE-TMS (manufactured by Canon, dielectric constant = 3.9), and in the formation thereof, for example, trimethoxysilane (TMS) gas and nitrogen oxide are used. A mixed gas with (N 2 O) gas is used.

次に、絶縁膜20上に絶縁膜21を形成する。絶縁膜21には、上記Low−K材料、例えばSiOF膜やSiOC膜のようなLow−K絶縁膜を用いる。また、絶縁膜21上にキャップ成膜する絶縁膜22には、例えば酸化シリコン膜等を用いる。工程を簡単にするために、絶縁膜22を省略し、絶縁膜21に酸化シリコン、SiOC膜単体を用いることも可能である。   Next, an insulating film 21 is formed on the insulating film 20. For the insulating film 21, the Low-K material, for example, a Low-K insulating film such as a SiOF film or a SiOC film is used. Further, for example, a silicon oxide film or the like is used for the insulating film 22 that forms a cap on the insulating film 21. In order to simplify the process, it is possible to omit the insulating film 22 and use a silicon oxide or SiOC film alone for the insulating film 21.

次に、絶縁膜22上に反射防止膜23およびフォトレジスト膜を順に形成し、露光によりフォトレジスト膜をパターン化してフォトレジストパターン24を形成する。そして、フォトレジストパターン24をエッチングマスクにしたドライエッチング法により、反射防止膜23を選択的に除去する。その後、フォトレジストパターン24をエッチングマスクにしたドライエッチング法により、絶縁膜22、21を選択的に除去し、開口部を形成する。それから、アッシングを行い、フォトレジストパターン24および反射防止膜23をアッシングして除去した後、最後に絶縁膜22、21の開口部から露出する絶縁膜20をエッチングする。これにより、図5に示されるように、開口部または配線溝25が形成される。配線溝25の底面からはプラグ18の上面が露出される。なお、フォトレジストパターン24をエッチングマスクにしたドライエッチング法により、絶縁膜20、21および22を選択的に除去し、開口部または配線溝25を形成した後、フォトレジストパターン24および反射防止膜23を除去することもできる。   Next, an antireflection film 23 and a photoresist film are sequentially formed on the insulating film 22, and the photoresist film is patterned by exposure to form a photoresist pattern 24. Then, the antireflection film 23 is selectively removed by a dry etching method using the photoresist pattern 24 as an etching mask. Thereafter, the insulating films 22 and 21 are selectively removed by a dry etching method using the photoresist pattern 24 as an etching mask to form an opening. Then, ashing is performed to remove the photoresist pattern 24 and the antireflection film 23 by ashing, and finally the insulating film 20 exposed from the openings of the insulating films 22 and 21 is etched. Thereby, as shown in FIG. 5, an opening or a wiring groove 25 is formed. The upper surface of the plug 18 is exposed from the bottom surface of the wiring groove 25. The insulating films 20, 21 and 22 are selectively removed by dry etching using the photoresist pattern 24 as an etching mask to form openings or wiring grooves 25, and then the photoresist pattern 24 and the antireflection film 23 are formed. Can also be removed.

次に、図6に示すように、基板1の主面上の全面に、例えば窒化チタン(TiN)などからなる厚さ5〜50nm程度の薄い導電性バリア膜(第1導体膜)26aをスパッタリング法などを用いて形成する。導電性バリア膜26aは、例えば後述の主導体膜形成用の銅の拡散を防止する機能および主導体膜のリフロー時に銅の濡れ性を向上させる機能などを有している。このような導電性バリア膜26aの材料としては、窒化チタンに代えて、銅と殆ど反応しない窒化タングステン(WN)または窒化タンタル(TaN)などのような高融点金属窒化物を用いることもできる。また、導電性バリア膜26aの材料として、高融点金属窒化物にシリコン(Si)を添加した材料や、銅と反応し難いタンタル(Ta)、チタン(Ti)、タングステン(W)、チタンタングステン(TiW)合金などのような高融点金属や、絶縁膜との密着性が良いTaNとCuの濡れ性が良いTaを組み合わせたTaN/Ta積層バリアを用いることもできる。   Next, as shown in FIG. 6, a thin conductive barrier film (first conductor film) 26 a made of, for example, titanium nitride (TiN) or the like and having a thickness of about 5 to 50 nm is sputtered on the entire main surface of the substrate 1. It is formed using a method. The conductive barrier film 26a has, for example, a function of preventing diffusion of copper for forming a main conductor film, which will be described later, and a function of improving the wettability of copper when the main conductor film is reflowed. As the material of the conductive barrier film 26a, refractory metal nitride such as tungsten nitride (WN) or tantalum nitride (TaN) that hardly reacts with copper can be used instead of titanium nitride. Further, as a material of the conductive barrier film 26a, a material obtained by adding silicon (Si) to refractory metal nitride, tantalum (Ta), titanium (Ti), tungsten (W), titanium tungsten ( It is also possible to use a TaN / Ta multilayer barrier in which a high melting point metal such as a (TiW) alloy or the like, or TaN having good adhesion to an insulating film and Ta having good wettability with Cu are combined.

続いて、導電性バリア膜26a上に、例えば厚さ800〜1600nm程度の相対的に厚い銅からなる主導体膜(第2導体膜)26bを形成する。主導体膜26bは、例えばCVD法、スパッタリング法またはめっき法などを用いて形成することができる。その後、例えば150〜400℃程度の非酸化性雰囲気(例えば水素雰囲気や窒素雰囲気)中において基板1に対して熱処理を施すことにより主導体膜26bをリフローさせ、銅を配線溝25の内部に隙間なく埋め込む。   Subsequently, a main conductor film (second conductor film) 26b made of relatively thick copper having a thickness of, for example, about 800 to 1600 nm is formed on the conductive barrier film 26a. The main conductor film 26b can be formed using, for example, a CVD method, a sputtering method, a plating method, or the like. Thereafter, the main conductor film 26b is reflowed by performing a heat treatment on the substrate 1 in a non-oxidizing atmosphere (for example, a hydrogen atmosphere or a nitrogen atmosphere) at about 150 to 400 ° C. Embed without.

次に、主導体膜26b、導電性バリア膜26aをCMP法によって研磨する。これにより、図6に示すように、相対的に薄い導電性バリア膜26aと相対的に厚い主導体膜26bとからなる第2層配線(配線)26を配線溝25内に形成する。第2層配線26は、プラグ18を介して第1層配線15と電気的に接続されている。   Next, the main conductor film 26b and the conductive barrier film 26a are polished by the CMP method. Thereby, as shown in FIG. 6, a second layer wiring (wiring) 26 composed of a relatively thin conductive barrier film 26 a and a relatively thick main conductor film 26 b is formed in the wiring groove 25. The second layer wiring 26 is electrically connected to the first layer wiring 15 through the plug 18.

図7は、図6に対応する領域の要部平面図を示している。図7では、第2層配線26と、第2層配線と上層に接続されるスルーホールの形成位置27が示されている。このスルーホール位置が、リソグラフィープロセスにおける露光装置によって合わせズレが発生し、さらにスルーホール下部に空隙(エアギャップ)が存在した場合、その後、洗浄液やCuめっき液が浸透していまい、電気的接続不良や容量増加の問題を引き起こす。したがって、この合わせズレしたスルーホール(ミスアライメント・スルーホール)を対策するためには、合わせズレが発生してもビア下部に絶縁膜のリザーバーが存在して通常層間構造と同じ状態になるように、リザーバー形成位置28を設定する必要がある。図8以降に、このリザーバー形成方法を説明する。   FIG. 7 shows a plan view of the main part of the region corresponding to FIG. In FIG. 7, the second layer wiring 26 and the formation position 27 of the through hole connected to the second layer wiring and the upper layer are shown. If this through-hole position is misaligned by the exposure device in the lithography process and there is a gap (air gap) below the through-hole, then the cleaning solution or Cu plating solution will not penetrate, resulting in poor electrical connection. And cause capacity increase problems. Therefore, in order to take measures against this misaligned through hole (misalignment through hole), even if misalignment occurs, a reservoir of an insulating film exists under the via so that it is in the same state as the normal interlayer structure. The reservoir forming position 28 needs to be set. The reservoir forming method will be described with reference to FIG.

図8は図7に続く、図7のA−A断面図である。なお、図8においても、図3の絶縁膜17より下の構造に対応する部分は図示を省略している。絶縁膜22及び第2層配線26上に、バリア絶縁膜29を20〜50nm成膜する。絶縁膜29は、例えば窒化シリコン膜からなり、銅配線のバリア絶縁膜として機能する。従って、絶縁膜29は、第2層配線26の主導体膜26b中の銅が、後で形成される層間絶縁膜36中に拡散するのを抑制または防止する。絶縁膜29の他の材料として、例えば炭化シリコン(SiC)膜、炭窒化シリコン(SiCN)膜または酸窒化シリコン(SiON)膜の単体膜を用いても良い。これらの膜を用いた場合、窒化シリコン膜に比べて誘電率を大幅に下げることができるので、配線容量を低減することができ、半導体装置の動作速度を向上させることができる。プラズマCVD法で形成された炭化シリコン膜には、例えばBLOk(AMAT社製)がある。その成膜ガスは、上記した通りである。上記SiCN膜の成膜に際しては、例えばヘリウム(He)と、アンモニア(NH)と、トリメチルシラン(3MS)との混合ガスを用いる。また、上記プラズマCVD法で形成された酸窒化シリコン膜としては、例えばPE−TMS(Canon製、誘電率=3.9)がある。上記酸窒化シリコン膜の形成に際しては、例えばトリメトキシシラン(TMS)ガスと酸化窒素(NO)ガスとの混合ガスを用いる。 FIG. 8 is a cross-sectional view taken along the line AA of FIG. In FIG. 8 as well, the portion corresponding to the structure below the insulating film 17 in FIG. 3 is not shown. A barrier insulating film 29 is formed to a thickness of 20 to 50 nm on the insulating film 22 and the second layer wiring 26. The insulating film 29 is made of, for example, a silicon nitride film and functions as a barrier insulating film for copper wiring. Therefore, the insulating film 29 suppresses or prevents the copper in the main conductor film 26b of the second layer wiring 26 from diffusing into the interlayer insulating film 36 to be formed later. As another material of the insulating film 29, for example, a single film of a silicon carbide (SiC) film, a silicon carbonitride (SiCN) film, or a silicon oxynitride (SiON) film may be used. When these films are used, the dielectric constant can be significantly reduced as compared with the silicon nitride film, so that the wiring capacitance can be reduced and the operation speed of the semiconductor device can be improved. An example of the silicon carbide film formed by the plasma CVD method is BLOk (manufactured by AMAT). The film forming gas is as described above. In forming the SiCN film, for example, a mixed gas of helium (He), ammonia (NH 3 ), and trimethylsilane (3MS) is used. Moreover, as a silicon oxynitride film formed by the plasma CVD method, for example, PE-TMS (manufactured by Canon, dielectric constant = 3.9) is available. In forming the silicon oxynitride film, for example, a mixed gas of trimethoxysilane (TMS) gas and nitrogen oxide (N 2 O) gas is used.

その後、絶縁膜29上にフォトレジスト膜を順に形成し、露光によりフォトレジスト膜をパターン化してフォトレジストパターン30を形成する。この際に、絶縁膜29は、フォトレジストパターン30と銅配線26との反応防止膜として機能する。このようなリザーバー層形成の際、さらに精度を向上させるため、フォトレジスト膜の下部、バリア絶縁膜29の上部に反射防止膜を用いることも可能である。このように、リザーバー用フォトレジストパターンと下層配線の間に少なくとも絶縁膜層1層以上を挿入する構造が重要である。   Thereafter, a photoresist film is sequentially formed on the insulating film 29, and the photoresist film is patterned by exposure to form a photoresist pattern 30. At this time, the insulating film 29 functions as a reaction preventing film between the photoresist pattern 30 and the copper wiring 26. When forming such a reservoir layer, an antireflection film can be used below the photoresist film and above the barrier insulating film 29 in order to further improve accuracy. Thus, a structure in which at least one insulating film layer is inserted between the photoresist pattern for the reservoir and the lower layer wiring is important.

そして、フォトレジストパターン30をエッチングマスクにしたドライエッチング法により、絶縁膜29、22、21、20を選択的に除去し、開口部を形成する(図9)この際、半導体基板1をプラズマCVD装置の処理室内に配置し、CFガスを導入してプラズマ電源を印加することにより、基板1(特に第2層配線26が露出するCMP面)に対して、CFプラズマ処理を施し、絶縁膜29、22、21、20を除去する。このようなCFプラズマ処理により、26bのCu配線表面は、一時的に有機系副生成物やフッ化系副生成物が僅かに生じるが、その後に実施する後洗浄(たとえば、有機酸洗浄、フッ酸洗浄、有機アルカリ洗浄あるいはそれらの混合溶液による洗浄)や、水素アニール処理によって除去できる。また、絶縁膜21にSiLK等のシリコンを含まない有機膜を用いた場合、絶縁膜21のエッチングには、アンモニアやN/H混合ガス等の還元性プラズマを用いる。なお、プラズマ処理とは、プラズマ状態にある環境に、基板表面、あるいは、基板上に絶縁膜、金属膜等のような部材が形成されている時にはその部材表面を暴露し、プラズマの化学的、機械的(ボンバードメント)作用を表面に与えて処理することをいう。また、還元性雰囲気のプラズマとは、還元作用、すなわち、酸素を引き抜く作用を有するラジカル、イオン、原子、分子等の反応種が支配的に存在するプラズマ環境をいう。 Then, the insulating films 29, 22, 21, and 20 are selectively removed by dry etching using the photoresist pattern 30 as an etching mask to form openings (FIG. 9). At this time, the semiconductor substrate 1 is formed by plasma CVD. The substrate 1 (especially the CMP surface where the second layer wiring 26 is exposed) is subjected to CF 4 plasma treatment by placing CF 4 gas and applying a plasma power supply in the processing chamber of the apparatus to insulate the substrate 1. The films 29, 22, 21, and 20 are removed. By such CF 4 plasma treatment, the surface of the Cu wiring of 26b temporarily generates a slight amount of organic by-products and fluorinated by-products, but after-cleaning (for example, organic acid cleaning, It can be removed by hydrofluoric acid cleaning, organic alkali cleaning or a mixed solution thereof) or hydrogen annealing treatment. In the case where an organic film not containing silicon such as SiLK is used for the insulating film 21, reducing plasma such as ammonia or N 2 / H 2 mixed gas is used for etching the insulating film 21. The plasma treatment means that the surface of the substrate or the surface of the member such as an insulating film or a metal film is formed on the substrate in an environment in a plasma state, and the chemical surface of the plasma is exposed. It means that the surface is treated with mechanical (bombardment) action. In addition, the plasma in a reducing atmosphere refers to a plasma environment in which reactive species such as radicals, ions, atoms, and molecules that have a reducing action, that is, an action of extracting oxygen, exist predominantly.

図10は、図9に続く半導体装置の製造工程中における要部断面図を示している。なお、図10においても、図2の絶縁膜17より下の構造に対応する部分は図示を省略している。絶縁膜22、21、20が除去された後、後洗浄を行い、その後、半導体基板1の主面の全面上に絶縁膜31をプラズマCVD法などによって形成する。すなわち、第2層配線26の上面および側面、リザーバー形成に用いたバリア絶縁膜29、絶縁膜17を覆うように、絶縁膜31を20〜50nm成膜する。絶縁膜31は、例えば窒化シリコン膜からなり、銅配線のバリア絶縁膜として機能する。従って、絶縁膜31は、第2層配線26の主導体膜26b中の銅が、後で形成される層間絶縁膜36中に拡散するのを抑制または防止する。絶縁膜31の他の材料として、例えば炭化シリコン(SiC)膜、炭窒化シリコン(SiCN)膜または酸窒化シリコン(SiON)膜の単体膜を用いても良い。これらの膜を用いた場合、窒化シリコン膜に比べて誘電率を大幅に下げることができるので、配線容量を低減することができ、半導体装置の動作速度を向上させることができる。プラズマCVD法で形成された炭化シリコン膜には、例えばBLOk(AMAT社製)がある。その成膜ガスは、上記した通りである。上記SiCN膜の成膜に際しては、例えばヘリウム(He)と、アンモニア(NH)と、トリメチルシラン(3MS)との混合ガスを用いる。また、上記プラズマCVD法で形成された酸窒化シリコン膜としては、例えばPE−TMS(Canon製、誘電率=3.9)がある。上記酸窒化シリコン膜の形成に際しては、例えばトリメトキシシラン(TMS)ガスと酸化窒素(NO)ガスとの混合ガスを用いる。 FIG. 10 is a fragmentary cross-sectional view of the semiconductor device during the manufacturing step following that of FIG. 9. In FIG. 10 as well, portions corresponding to the structure below the insulating film 17 in FIG. 2 are not shown. After the insulating films 22, 21, and 20 are removed, post-cleaning is performed, and then an insulating film 31 is formed on the entire main surface of the semiconductor substrate 1 by a plasma CVD method or the like. That is, the insulating film 31 is formed to a thickness of 20 to 50 nm so as to cover the upper and side surfaces of the second layer wiring 26, the barrier insulating film 29 used for forming the reservoir, and the insulating film 17. The insulating film 31 is made of, for example, a silicon nitride film and functions as a barrier insulating film for copper wiring. Therefore, the insulating film 31 suppresses or prevents the copper in the main conductor film 26b of the second layer wiring 26 from diffusing into the interlayer insulating film 36 formed later. As another material of the insulating film 31, for example, a single film of a silicon carbide (SiC) film, a silicon carbonitride (SiCN) film, or a silicon oxynitride (SiON) film may be used. When these films are used, the dielectric constant can be significantly reduced as compared with the silicon nitride film, so that the wiring capacitance can be reduced and the operation speed of the semiconductor device can be improved. An example of the silicon carbide film formed by the plasma CVD method is BLOk (manufactured by AMAT). The film forming gas is as described above. In forming the SiCN film, for example, a mixed gas of helium (He), ammonia (NH 3 ), and trimethylsilane (3MS) is used. Moreover, as a silicon oxynitride film formed by the plasma CVD method, for example, PE-TMS (manufactured by Canon, dielectric constant = 3.9) is available. In forming the silicon oxynitride film, for example, a mixed gas of trimethoxysilane (TMS) gas and nitrogen oxide (N 2 O) gas is used.

図10に示すように、このように作製した配線構造では、スルーホールが作製される領域のバリア絶縁膜は、スルーホールが形成されない配線の上部及び側部に対して、相対的に厚くなるという構造が出来上がる。スルーホール下部のバリア絶縁膜は、スルーホール加工の際、エッチングストッパー層としての役割も持つため、約40〜50nm以上は必要である。したがって、例えばバリア絶縁膜29及び31を各25nmずつ成膜すれば、スルーホールが存在する可能性があるリザーバー領域では、バリア絶縁膜は50nmとなり、その他の配線周囲ではバリア絶縁膜31の25nmのみで、容量低減とスルーホール加工マージンの確保を効率的に達成することができる。   As shown in FIG. 10, in the wiring structure manufactured in this way, the barrier insulating film in the region where the through hole is formed is relatively thicker than the upper and side portions of the wiring where the through hole is not formed. The structure is completed. The barrier insulating film below the through hole also has a role as an etching stopper layer during the through hole processing, and therefore needs to be about 40 to 50 nm or more. Therefore, for example, if the barrier insulating films 29 and 31 are each formed to a thickness of 25 nm, the barrier insulating film has a thickness of 50 nm in the reservoir region where a through hole may exist, and only 25 nm of the barrier insulating film 31 around other wirings. Thus, it is possible to efficiently achieve capacity reduction and securing a through-hole processing margin.

次に、図11〜図17については、上記図8〜図10で述べた内容とは異なるリザーバー形成方法について説明する。
図11は図7に続く、本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。図8〜図10で述べたスルーホール・リザーバー形成方法では、レジストマスクパターン30によるエッチングのため、ドライエッチ装置によっては、Cu残渣膜がバリア絶縁膜29や第2層配線26の周囲に発生してしまう可能性がある。そこで、図11〜図15に、ドライエッチ装置に関わらず、リザーバーを形成する方法を述べる。まず、図11に示すように、バリア絶縁膜29上に、さらに絶縁膜32を、例えばシリコン酸化膜やSiOC膜を100〜400nm成膜する。その後、絶縁膜32上にフォトレジスト膜を順に形成し、露光によりフォトレジスト膜をパターン化してフォトレジストパターン33を形成する。このようなリザーバー層形成の際、さらに精度を向上させるため、フォトレジスト膜の下部、バリア絶縁膜32の上部に反射防止膜を用いることも可能である。
Next, regarding FIGS. 11 to 17, a reservoir forming method different from the contents described in FIGS. 8 to 10 will be described.
FIG. 11 is a fragmentary cross-sectional view of the semiconductor device according to another embodiment of the present invention during the manufacturing step following that of FIG. 7. In the through-hole reservoir forming method described with reference to FIGS. 8 to 10, a Cu residue film is generated around the barrier insulating film 29 and the second layer wiring 26 depending on the dry etching apparatus because of etching using the resist mask pattern 30. There is a possibility that. Therefore, FIGS. 11 to 15 describe a method of forming a reservoir regardless of the dry etching apparatus. First, as shown in FIG. 11, an insulating film 32, for example, a silicon oxide film or a SiOC film is formed to a thickness of 100 to 400 nm on the barrier insulating film 29. Thereafter, a photoresist film is sequentially formed on the insulating film 32, and the photoresist film is patterned by exposure to form a photoresist pattern 33. When forming such a reservoir layer, an antireflection film can be used below the photoresist film and above the barrier insulating film 32 in order to further improve accuracy.

次に、図12に示すように、フォトレジストパターン33をマスクにして絶縁膜32をエッチングし、バリア絶縁膜29上で一度エッチングを止める。ここで、図13に示すようにアッシングを行い、レジストパターン33を除去する。こうすることによって、レジスト側壁にリスパッタされるCu残渣膜を防止することができる。この後は、図14に示すように、絶縁膜用マスク32を用いて、絶縁膜29、22、21、20をエッチングする。その後、後洗浄や水素アニール処理を行った後、バリア絶縁膜31を、第2層配線26の上面および側面、リザーバー形成に用いたバリア絶縁膜29、絶縁膜17を覆うように、絶縁膜31を20〜50nm成膜する。このようなプロセスによって、図15に示すように、図10と等価な配線構造が得られる。   Next, as shown in FIG. 12, the insulating film 32 is etched using the photoresist pattern 33 as a mask, and the etching is once stopped on the barrier insulating film 29. Here, ashing is performed as shown in FIG. 13 to remove the resist pattern 33. By doing so, the Cu residue film resputtered on the resist side wall can be prevented. Thereafter, as shown in FIG. 14, the insulating films 29, 22, 21, and 20 are etched using the insulating film mask 32. Thereafter, after performing post-cleaning and hydrogen annealing treatment, the insulating film 31 is formed so as to cover the upper and side surfaces of the second layer wiring 26, the barrier insulating film 29 used for forming the reservoir, and the insulating film 17. Is deposited in a thickness of 20 to 50 nm. By such a process, as shown in FIG. 15, a wiring structure equivalent to FIG. 10 is obtained.

また、別の実施形態として、図16は図13に続く、本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。絶縁膜パターン32を用いて絶縁膜22、21、20をエッチングする際、絶縁膜32とバリア絶縁膜29の選択比が低い場合、バリア絶縁膜29を完全に取り去った後、図17に示すように、絶縁膜22、第2層配線26および絶縁膜17上に、新しいバリア絶縁膜34を成膜する。絶縁膜34は、例えば窒化シリコン膜からなり、銅配線のバリア絶縁膜として機能する。従って、絶縁膜34は、第2層配線26の主導体膜26b中の銅が、後で形成される層間絶縁膜36中に拡散するのを抑制または防止する。絶縁膜34の他の材料として、例えば炭化シリコン(SiC)膜、炭窒化シリコン(SiCN)膜または酸窒化シリコン(SiON)膜の単体膜を用いても良い。これらの膜を用いた場合、窒化シリコン膜に比べて誘電率を大幅に下げることができるので、配線容量を低減することができ、半導体装置の動作速度を向上させることができる。プラズマCVD法で形成された炭化シリコン膜には、例えばBLOk(AMAT社製)がある。その成膜ガスは、上記した通りである。上記SiCN膜の成膜に際しては、例えばヘリウム(He)と、アンモニア(NH)と、トリメチルシラン(3MS)との混合ガスを用いる。また、上記プラズマCVD法で形成された酸窒化シリコン膜としては、例えばPE−TMS(Canon製、誘電率=3.9)がある。上記酸窒化シリコン膜の形成に際しては、例えばトリメトキシシラン(TMS)ガスと酸化窒素(NO)ガスとの混合ガスを用いる。 As another embodiment, FIG. 16 is a fragmentary cross-sectional view of the semiconductor device according to another embodiment of the present invention during the manufacturing process following FIG. When the insulating films 22, 21, and 20 are etched using the insulating film pattern 32, if the selectivity between the insulating film 32 and the barrier insulating film 29 is low, the barrier insulating film 29 is completely removed and then as shown in FIG. Then, a new barrier insulating film 34 is formed on the insulating film 22, the second layer wiring 26 and the insulating film 17. The insulating film 34 is made of, for example, a silicon nitride film and functions as a barrier insulating film for copper wiring. Therefore, the insulating film 34 suppresses or prevents the copper in the main conductor film 26b of the second layer wiring 26 from diffusing into the interlayer insulating film 36 formed later. As another material of the insulating film 34, for example, a single film of a silicon carbide (SiC) film, a silicon carbonitride (SiCN) film, or a silicon oxynitride (SiON) film may be used. When these films are used, the dielectric constant can be significantly reduced as compared with the silicon nitride film, so that the wiring capacitance can be reduced and the operation speed of the semiconductor device can be improved. An example of the silicon carbide film formed by the plasma CVD method is BLOk (manufactured by AMAT). The film forming gas is as described above. In forming the SiCN film, for example, a mixed gas of helium (He), ammonia (NH 3 ), and trimethylsilane (3MS) is used. Moreover, as a silicon oxynitride film formed by the plasma CVD method, for example, PE-TMS (manufactured by Canon, dielectric constant = 3.9) is available. In forming the silicon oxynitride film, for example, a mixed gas of trimethoxysilane (TMS) gas and nitrogen oxide (N 2 O) gas is used.

図18は図10または図15に続く、本発明の実施の形態である半導体装置の製造工程中の要部断面図である。バリア絶縁膜31上に、絶縁膜36、37を成膜する。絶縁膜36には、SiOFやSiOCといったLow−K絶縁膜、絶縁膜37にはLow−K絶縁膜のキャップとしてシリコン酸化膜等を用いる。工程簡略化のために、絶縁膜37を省略し、シリコン酸化膜やSiOC膜等の絶縁膜36単体を成膜することも可能である。   FIG. 18 is a fragmentary cross-sectional view of the semiconductor device according to the embodiment of the present invention during the manufacturing process following FIG. 10 or FIG. 15. Insulating films 36 and 37 are formed on the barrier insulating film 31. A low-K insulating film such as SiOF or SiOC is used for the insulating film 36, and a silicon oxide film or the like is used for the insulating film 37 as a cap for the low-K insulating film. In order to simplify the process, it is possible to omit the insulating film 37 and form a single insulating film 36 such as a silicon oxide film or a SiOC film.

本実施の形態においては、最近接配線間(最小隣接配線間、最小ピッチ配線間)では絶縁膜36がコンフォーマルには成膜されない条件で、絶縁膜36を成膜する。ここで、最近接配線とは、同層配線において隣接する配線同士の間隔(隣接配線間距離)が最小である配線に対応する。最近接配線間では、寄生容量の低減がより重要である。   In the present embodiment, the insulating film 36 is formed under the condition that the insulating film 36 is not formed conformally between the nearest wirings (between the minimum adjacent wirings and the minimum pitch wirings). Here, the closest wiring corresponds to a wiring in which the distance between adjacent wirings (distance between adjacent wirings) in the same layer wiring is minimum. It is more important to reduce the parasitic capacitance between the closest wirings.

最近接配線間では、絶縁膜36の堆積が進行するに従って、対向する配線側面(配線対向面)の上部近傍での堆積物に遮られて徐々に反応種が下方に入り込みにくくなる。このため、対向する配線側面の下部近傍での堆積速度は上部近傍での堆積速度に比べて小さくなる。従って、対向する配線側面上に堆積された絶縁膜36の厚みは均一にはならず、上部近傍での厚みが下部近傍よりも厚くなる。このような現象は、第2層配線26の最近接配線間、すなわち第2層配線26のうちの最も近接した配線同士の間では、より顕著である。   As the deposition of the insulating film 36 progresses between the closest wirings, the reactive species are less likely to enter the lower side gradually by being blocked by deposits in the vicinity of the upper portion of the opposing wiring side surface (wiring facing surface). For this reason, the deposition rate near the lower part of the opposing wiring side surface is smaller than the deposition rate near the upper part. Therefore, the thickness of the insulating film 36 deposited on the opposing wiring side surface is not uniform, and the thickness in the vicinity of the upper portion is thicker than that in the vicinity of the lower portion. Such a phenomenon is more conspicuous between the closest wirings of the second layer wirings 26, that is, between the closest wirings of the second layer wirings 26.

このため、第2層配線26の最近接配線間では、絶縁膜36は第2層配線26の形状を反映したコンフォーマルな形状にはならず、図18に示されるような空隙(エアギャップ)35が生じる。また、絶縁膜36の成膜には、プラズマCVD法などを用いることができ、絶縁膜36の成膜条件を調整することなどにより、上述のような空隙(エアギャップ)部分35を最近接配線間に容易に形成することができる。また、本実施の形態では、第2層配線26の上面および側面をバリア絶縁膜としての絶縁膜31で覆うので、第2層配線26において導電性バリア膜26aを省略し、銅からなる主導体膜26bだけで第2層配線26を形成することもできる。絶縁膜36、37を成膜後、配線間に発生した段差を解消するため、層間CMPを行い平坦化する。   Therefore, the insulating film 36 does not have a conformal shape reflecting the shape of the second layer wiring 26 between the closest wirings of the second layer wiring 26, and a gap (air gap) as shown in FIG. 35 is produced. Further, the insulating film 36 can be formed by a plasma CVD method or the like. By adjusting the film forming conditions of the insulating film 36, the gap (air gap) portion 35 as described above is connected to the nearest wiring. It can be easily formed in between. In the present embodiment, since the upper surface and the side surface of the second layer wiring 26 are covered with the insulating film 31 as the barrier insulating film, the conductive barrier film 26a is omitted from the second layer wiring 26, and the main conductor made of copper is used. The second layer wiring 26 can also be formed only by the film 26b. After the insulating films 36 and 37 are formed, interlayer CMP is performed to planarize the steps generated between the wirings.

次に、図19に示すように絶縁膜39を成膜後、絶縁膜39上に反射防止膜40およびフォトレジスト膜を順に形成し、露光によりフォトレジスト膜をパターン化してフォトレジストパターン41を形成する。そして、フォトレジストパターン41をエッチングマスクにしたドライエッチング法により、反射防止膜40および絶縁膜39を選択的に除去し、アッシングを行い反射防止膜及びフォトレジスト膜を除去する。その結果、図20に示すように、後に配線溝となる開口部42が作製できる。   Next, as shown in FIG. 19, after forming an insulating film 39, an antireflection film 40 and a photoresist film are sequentially formed on the insulating film 39, and the photoresist film is patterned by exposure to form a photoresist pattern 41. To do. Then, the antireflection film 40 and the insulating film 39 are selectively removed by dry etching using the photoresist pattern 41 as an etching mask, and ashing is performed to remove the antireflection film and the photoresist film. As a result, as shown in FIG. 20, an opening 42 to be a wiring groove later can be manufactured.

次に、スルーホールを形成するためのパターニングを行う。図21に示すように、絶縁膜37及び39上に、反射防止膜43およびフォトレジスト膜を順に形成し、露光によりフォトレジスト膜をパターン化してフォトレジストパターン44を形成する。 図22は、図21に続く半導体装置の製造工程中における図2に対応する領域の要部平面図を示している。図22では、第2層配線位置26cと第2層配線と第3層配線に接続される合わせズレしたスルーホール位置38、第2層配線の周囲に形成されたリザーバー形成位置28が示されている。ここでは、スルーホール38が、図21のビアパターンの露光時に、実際に合わせズレした位置を示している。   Next, patterning for forming a through hole is performed. As shown in FIG. 21, an antireflection film 43 and a photoresist film are sequentially formed on the insulating films 37 and 39, and the photoresist film is patterned by exposure to form a photoresist pattern 44. FIG. 22 is a plan view of a principal part of a region corresponding to FIG. 2 in the manufacturing process of the semiconductor device subsequent to FIG. In FIG. 22, the second layer wiring position 26c, the misaligned through-hole position 38 connected to the second layer wiring and the third layer wiring, and the reservoir forming position 28 formed around the second layer wiring are shown. Yes. Here, a position where the through hole 38 is actually misaligned during exposure of the via pattern of FIG. 21 is shown.

図23は図22に続く、図22のA−A断面図である。フォトレジストパターン44をエッチングマスクにしたドライエッチング法により、反射防止膜43および絶縁膜39、37、36を選択的に除去し、アッシングを行い反射防止膜及びフォトレジスト膜を除去して、スルーホール開口部45を形成する。
次に、図24に示すように絶縁膜マスク39を用いて溝加工を行って溝開口部46を作製し、続いて図25に示すように、絶縁膜マスク39と同時にスルーホール下部に存在するバリア絶縁膜29及び31を同時に除去する。
FIG. 23 is a cross-sectional view taken along the line AA of FIG. 22 following FIG. Through the dry etching method using the photoresist pattern 44 as an etching mask, the antireflection film 43 and the insulating films 39, 37, and 36 are selectively removed, ashing is performed to remove the antireflection film and the photoresist film, and a through hole is obtained. An opening 45 is formed.
Next, as shown in FIG. 24, groove processing is performed using the insulating film mask 39 to produce a groove opening 46. Subsequently, as shown in FIG. The barrier insulating films 29 and 31 are removed simultaneously.

次に、基板1の主面上の全面に、例えば窒化チタン(TiN)などからなる厚さ5〜50nm程度の薄い導電性バリア膜(第1導体膜)47aをスパッタリング法などを用いて形成する。導電性バリア膜47aは、窒化チタン以外にも26aで上述した様々な材料を適用することができる。続いて、導電性バリア膜47a上に、例えば厚さ800〜1600nm程度の相対的に厚い銅からなる主導体膜(第2導体膜)47bを形成する。主導体膜47bは、例えばCVD法、スパッタリング法またはめっき法などを用いて形成することができる。その後、例えば150〜400℃程度の非酸化性雰囲気(例えば水素雰囲気や窒素雰囲気)中において基板1に対して熱処理を施すことにより主導体膜47bをリフローさせ、銅を配線溝45及び46の内部に隙間なく埋め込む。   Next, a thin conductive barrier film (first conductor film) 47a made of titanium nitride (TiN) or the like and having a thickness of about 5 to 50 nm is formed on the entire main surface of the substrate 1 using a sputtering method or the like. . For the conductive barrier film 47a, various materials described above in 26a can be applied in addition to titanium nitride. Subsequently, a main conductor film (second conductor film) 47b made of relatively thick copper having a thickness of, for example, about 800 to 1600 nm is formed on the conductive barrier film 47a. The main conductor film 47b can be formed using, for example, a CVD method, a sputtering method, a plating method, or the like. Thereafter, the main conductor film 47b is reflowed by performing a heat treatment on the substrate 1 in a non-oxidizing atmosphere (for example, a hydrogen atmosphere or a nitrogen atmosphere) of about 150 to 400 ° C. Embed without any gaps.

次に、主導体膜47b、導電性バリア膜47aをCMP法によって研磨する。これにより、図26に示すように、相対的に薄い導電性バリア膜47aと相対的に厚い主導体膜47bとからなる第3層配線(配線)47を配線溝45及び46内に形成する。第3層配線47は、スルーホール45を介して第1層配線15、第2層配線26と電気的に接続されている。   Next, the main conductor film 47b and the conductive barrier film 47a are polished by the CMP method. As a result, as shown in FIG. 26, third layer wiring (wiring) 47 composed of a relatively thin conductive barrier film 47a and a relatively thick main conductor film 47b is formed in the wiring grooves 45 and 46. The third layer wiring 47 is electrically connected to the first layer wiring 15 and the second layer wiring 26 through the through hole 45.

図27は、図26に続く半導体装置の製造工程中における図2に対応する領域の要部平面図を示している。図27では、第3層配線47と第2層配線と上層に接続されるスルーホールの形成位置49が示されている。図7の説明時と同様に、合わせズレしたスルーホール(ミスアライメント・スルーホール)を対策するため、第3層配線の限定された部分が通常層間構造と同じ状態になるように、リザーバー形成位置50を設定する。   FIG. 27 is a plan view of an essential part of a region corresponding to FIG. 2 in the manufacturing process of the semiconductor device subsequent to FIG. In FIG. 27, the formation position 49 of the through hole connected to the third layer wiring 47, the second layer wiring and the upper layer is shown. As in the description of FIG. 7, in order to prevent misaligned through-holes (misalignment through-holes), the reservoir formation position is set so that the limited portion of the third-layer wiring is in the same state as the normal interlayer structure. 50 is set.

図28は図27に続く、図27のA−A断面図である。なお、図28においても、図3の絶縁膜17より下の構造に対応する部分は図示を省略している。絶縁膜37及び第3層配線47上に、バリア絶縁膜48を20〜50nm成膜する。絶縁膜48は、例えば窒化シリコン膜からなり、銅配線のバリア絶縁膜として機能する。従って、絶縁膜48は、第3層配線47の主導体膜47b中の銅が、後で形成される層間絶縁膜53中に拡散するのを抑制または防止する。絶縁膜48の他の材料として、例えば炭化シリコン(SiC)膜、炭窒化シリコン(SiCN)膜または酸窒化シリコン(SiON)膜の単体膜を用いても良い。これらの膜を用いた場合、窒化シリコン膜に比べて誘電率を大幅に下げることができるので、配線容量を低減することができ、半導体装置の動作速度を向上させることができる。作成方法は、図8及び絶縁膜29で上述した内容と同じであるため、省略する。   28 is a cross-sectional view taken along the line AA of FIG. 27, following FIG. Also in FIG. 28, the illustration corresponding to the structure below the insulating film 17 in FIG. 3 is omitted. On the insulating film 37 and the third layer wiring 47, a barrier insulating film 48 is formed to a thickness of 20 to 50 nm. The insulating film 48 is made of, for example, a silicon nitride film and functions as a barrier insulating film for copper wiring. Therefore, the insulating film 48 suppresses or prevents copper in the main conductor film 47b of the third layer wiring 47 from diffusing into the interlayer insulating film 53 to be formed later. As another material of the insulating film 48, for example, a single film of a silicon carbide (SiC) film, a silicon carbonitride (SiCN) film, or a silicon oxynitride (SiON) film may be used. When these films are used, the dielectric constant can be significantly reduced as compared with the silicon nitride film, so that the wiring capacitance can be reduced and the operation speed of the semiconductor device can be improved. The manufacturing method is the same as that described above with reference to FIG.

次に、図7〜図10で述べたのと同様に、第3層配線47の周囲にリザーバー50を形成する。図28は、レジストマクスパターンによりバリア絶縁膜48、絶縁膜37、36をエッチング後、絶縁膜36、37、バリア絶縁膜48及び第3層配線47の上部及び側壁に、新たにバリア絶縁膜51を20〜50nm成膜する。絶縁膜51は、例えば窒化シリコン膜からなり、銅配線のバリア絶縁膜として機能する。従って、絶縁膜48は、第3層配線47の主導体膜47b中の銅が、後で形成される層間絶縁膜53中に拡散するのを抑制または防止する。絶縁膜48の他の材料として、例えば炭化シリコン(SiC)膜、炭窒化シリコン(SiCN)膜または酸窒化シリコン(SiON)膜の単体膜を用いても良い。これらの膜を用いた場合、窒化シリコン膜に比べて誘電率を大幅に下げることができるので、配線容量を低減することができ、半導体装置の動作速度を向上させることができる。作成方法は、図8及び絶縁膜29で上述した内容と同じであるため、省略する。   Next, as described with reference to FIGS. 7 to 10, the reservoir 50 is formed around the third layer wiring 47. In FIG. 28, after the barrier insulating film 48 and the insulating films 37 and 36 are etched by the resist mask pattern, a new barrier insulating film 51 is formed on the upper and side walls of the insulating films 36 and 37, the barrier insulating film 48, and the third layer wiring 47. Is deposited in a thickness of 20 to 50 nm. The insulating film 51 is made of, for example, a silicon nitride film and functions as a barrier insulating film for copper wiring. Therefore, the insulating film 48 suppresses or prevents copper in the main conductor film 47b of the third layer wiring 47 from diffusing into the interlayer insulating film 53 to be formed later. As another material of the insulating film 48, for example, a single film of a silicon carbide (SiC) film, a silicon carbonitride (SiCN) film, or a silicon oxynitride (SiON) film may be used. When these films are used, the dielectric constant can be significantly reduced as compared with the silicon nitride film, so that the wiring capacitance can be reduced and the operation speed of the semiconductor device can be improved. The manufacturing method is the same as that described above with reference to FIG.

次に図30に示すように、絶縁膜53、54を成膜し、絶縁膜CMPによって平坦化を行う。上層に続く場合も、図19〜図30で示した方法によって繰り返し、第4層配線以降の上層配線を形成することもできる。また、第1層配線15を、第2層配線26と同様にして形成した銅配線とし、第2層配線26を第3層配線47と同様にして形成した銅配線とすることもできる。   Next, as shown in FIG. 30, insulating films 53 and 54 are formed and planarized by the insulating film CMP. Also in the case of following the upper layer, the upper layer wiring after the fourth layer wiring can be formed by repeating the method shown in FIGS. Alternatively, the first layer wiring 15 may be a copper wiring formed in the same manner as the second layer wiring 26, and the second layer wiring 26 may be a copper wiring formed in the same manner as the third layer wiring 47.

本実施の形態によれば、同層配線間にCMP面(CMPで研磨された面)がない。すなわち、第2層配線26および第3層配線47を形成するためのCMP工程で研磨された絶縁膜21、22および36、37の大半は除去されており、第2層配線26および第3層配線47を覆うようにバリア絶縁膜31および51が形成されている。このため、第2層配線26および第3層配線47において、限定されたリザーバー領域以外では、同層配線の上面同士がCMP面を介して連結されることはない。これにより、配線間絶縁耐圧が向上し、TDDB寿命も向上させることができる。つまり、半導体装置の信頼性を高めることができる。   According to this embodiment, there is no CMP surface (surface polished by CMP) between the same-layer wirings. That is, most of the insulating films 21, 22, 36, and 37 polished in the CMP process for forming the second layer wiring 26 and the third layer wiring 47 are removed, and the second layer wiring 26 and the third layer are removed. Barrier insulating films 31 and 51 are formed so as to cover the wiring 47. For this reason, in the second layer wiring 26 and the third layer wiring 47, the upper surfaces of the same layer wirings are not connected to each other through the CMP surface except in the limited reservoir region. Thereby, the withstand voltage between wiring can improve and the TDDB life can also be improved. That is, the reliability of the semiconductor device can be improved.

また、最も容量低減が必要な同層配線における最近接配線間に、空隙(エアギャップ)35および52を形成するので、配線間容量を効率的に低減することができる。配線のバリア絶縁膜31および51に比較的誘電率の高い材料を用いたとしても、配線間容量の低減が可能である。また同層配線の隣接配線間距離が大きな領域では、配線間にエアギャップを形成せずLow−K材料を成膜する。このため、全体の機械的強度を維持することが可能となる。   In addition, since the gaps (air gaps) 35 and 52 are formed between the closest wirings in the same-layer wiring that requires the most capacity reduction, the inter-wiring capacity can be efficiently reduced. Even if a material having a relatively high dielectric constant is used for the barrier insulating films 31 and 51 of the wiring, the capacitance between the wirings can be reduced. In the region where the distance between adjacent wirings of the same layer wiring is large, a low-K material is formed without forming an air gap between the wirings. For this reason, it becomes possible to maintain the whole mechanical strength.

本実施の形態では、スルーホールとその下層配線と接続する周囲には、リザーバー28及び50による絶縁膜領域が形成されるが、その割合は最近接配線パターン領域に対して少ないため、エアギャップによる容量低減効果は充分に発揮できる。   In the present embodiment, an insulating film region is formed by the reservoirs 28 and 50 around the through hole and its lower layer wiring. However, since the ratio is smaller than the nearest wiring pattern region, it is caused by the air gap. The capacity reduction effect can be sufficiently exhibited.

また、本実施の形態では、最近接配線間でなくとも、隣接配線間隔が比較的小さく、その間の寄生容量を低減したい配線間にはエアギャップ35または52を形成してもよい。配線間距離がどの程度の場合までエアギャップを形成するかは、バリア絶縁膜31または51の成膜条件や絶縁膜36または52の成膜条件などを調整することによって、制御することができる。これにより、配線パターン密度が高い領域では、隣接配線間にエアギャップを形成して配線間容量を低減し、配線パターン密度が低い領域では、配線間をLow−K材料で埋めて、機械的強度を維持することができる。   Further, in the present embodiment, the air gap 35 or 52 may be formed between the wirings where the adjacent wiring interval is relatively small and the parasitic capacitance between them is desired to be reduced, not between the nearest wirings. The extent to which the air gap is formed can be controlled by adjusting the film formation conditions of the barrier insulating film 31 or 51, the film formation conditions of the insulating film 36 or 52, and the like. As a result, in a region where the wiring pattern density is high, an air gap is formed between adjacent wirings to reduce the capacitance between the wirings. In a region where the wiring pattern density is low, the spaces between the wirings are filled with a Low-K material, and the mechanical strength is increased. Can be maintained.

本発明者は、実験およびシミュレーションにより、本実施の形態の配線構造の容量低減効果について調べた。比較例として、配線を埋め込むための絶縁膜および層間絶縁膜をLow−K材料で構成しかつ一般的なダマシン技術で形成された銅配線構造を用いた。   The inventor investigated the capacitance reduction effect of the wiring structure of the present embodiment through experiments and simulations. As a comparative example, a copper wiring structure in which an insulating film and an interlayer insulating film for embedding the wiring are made of a Low-K material and is formed by a general damascene technique is used.

その結果、本実施の形態の配線構造は、上記比較例に対して、配線間容量を20〜30%程度低減することができた。また、上層配線と下層配線の間の容量はほとんど変わらず、同層の配線間容量のみが減少した。このため、配線のクロストークの影響を低減できる。また、実効誘電率εr(上記比較例の銅配線構造ではεrは3.1程度)を2.3〜2.7程度へ大幅に低減することができた。従って、同世代のLow−K材料を層間絶縁膜に用いて、1世代以上先の低容量配線構造を実現できる。   As a result, the wiring structure of the present embodiment was able to reduce the inter-wiring capacitance by about 20 to 30% compared to the comparative example. In addition, the capacitance between the upper layer wiring and the lower layer wiring hardly changed, and only the capacitance between the wirings in the same layer decreased. For this reason, the influence of the crosstalk of wiring can be reduced. Further, the effective dielectric constant εr (εr is about 3.1 in the copper wiring structure of the comparative example) can be greatly reduced to about 2.3 to 2.7. Therefore, a low-capacity wiring structure of one generation or more can be realized by using the same generation Low-K material for the interlayer insulating film.

図31は、本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。本実施の形態の半導体装置は、上記実施の形態1の第2層配線26および第3層配線47ように隣接配線間にエアギャップを形成しかつ隣接配線間をCMP面で接続しない配線層及びリザーバーを有した構造と、一般的な埋込配線技術を用いて形成された配線層とが組み合わされた多層配線構造を有している。図31において、第4層配線55上部の絶縁膜60の形成工程までは、上記実施の形態1の図4〜図10及び、図18〜図30までの製造工程とほぼ同様であるので、その説明は省略し、ここではそれ以降の製造工程について説明する。   FIG. 31 is a fragmentary cross-sectional view of the semiconductor device as another embodiment of the present invention during the manufacturing process thereof. The semiconductor device of the present embodiment includes a wiring layer in which an air gap is formed between adjacent wirings and the adjacent wirings are not connected on the CMP surface, like the second layer wiring 26 and the third layer wiring 47 of the first embodiment. It has a multilayer wiring structure in which a structure having a reservoir and a wiring layer formed using a general embedded wiring technique are combined. In FIG. 31, the process up to the formation of the insulating film 60 on the fourth layer wiring 55 is substantially the same as the manufacturing process of FIGS. 4 to 10 and FIGS. The description is omitted, and the subsequent manufacturing process will be described here.

第5層以降の配線層は、一般的な埋込配線技術、例えば一般的なデュアルダマシン技術を用いて形成する。まず、絶縁膜60をCMPによって平坦化した後、第5層配線用を形成する。そして、デュアルダマシン技術を用いて、絶縁膜60、59、57、56に形成された配線溝に埋め込まれた第5層配線61を形成する。それから、第5層配線61の上面を含む絶縁膜60上に、窒化シリコン、炭化シリコン、炭窒化シリコンまたは酸窒化シリコン膜などからなる絶縁膜62を、バリア絶縁膜として形成する。その後、絶縁膜62上に、Low−K材料などからなる絶縁膜63、64を形成する。同様に、デュアルダマシン技術を用いて、絶縁膜62〜64に形成された配線溝に埋め込まれた第6層配線65を形成する。それから、第6層配線65の上面を含む絶縁膜64上に、絶縁膜62と同様の材料、例えば窒化シリコンなどからなる絶縁膜66を、バリア絶縁膜として形成する。   The fifth and subsequent wiring layers are formed using a general buried wiring technique, for example, a general dual damascene technique. First, after the insulating film 60 is planarized by CMP, a fifth layer wiring is formed. Then, using the dual damascene technique, the fifth layer wiring 61 embedded in the wiring trench formed in the insulating films 60, 59, 57, and 56 is formed. Then, an insulating film 62 made of silicon nitride, silicon carbide, silicon carbonitride, silicon oxynitride film or the like is formed as a barrier insulating film on the insulating film 60 including the upper surface of the fifth layer wiring 61. Thereafter, insulating films 63 and 64 made of a Low-K material or the like are formed on the insulating film 62. Similarly, the sixth layer wiring 65 embedded in the wiring trench formed in the insulating films 62 to 64 is formed by using the dual damascene technique. Then, on the insulating film 64 including the upper surface of the sixth layer wiring 65, an insulating film 66 made of the same material as the insulating film 62, for example, silicon nitride is formed as a barrier insulating film.

なお、絶縁膜36、53、59及び63としてCVD法を用いて形成した膜、例えば酸化シリコン膜、FSG(SiOF系材料)膜、SiOC膜またはポーラスシリコン(Polus−Si)系材料膜、を用いることもできる。
多層配線構造において、隣接配線間隔が比較的小さい、すなわち配線ピッチが比較的小さい配線層では、配線間容量が増大しかつTDDB寿命が低減しやすい。本実施の形態によれば、そのような配線間容量が増大しかつTDDB寿命が低減しやすい配線層において、限定されたリザーバー領域以外の同層配線間にCMP面をなくしてTDDB寿命を向上させ、かつ、リザーバー構造を用いて合わせズレしたビアのコンタクトを良好に保ちつつ、同層配線の最近接配線間にエアギャップを形成して配線間容量を低減することができる。
As the insulating films 36, 53, 59 and 63, a film formed by a CVD method, for example, a silicon oxide film, an FSG (SiOF-based material) film, a SiOC film, or a porous silicon (Polus-Si) -based material film is used. You can also.
In a multilayer wiring structure, a wiring layer having a relatively small interval between adjacent wirings, that is, a wiring layer having a relatively small wiring pitch, tends to increase the inter-wiring capacity and reduce the TDDB life. According to the present embodiment, in such a wiring layer that increases the capacitance between wirings and easily reduces the TDDB life, the CMP surface is eliminated between the same-layer wirings other than the limited reservoir region, thereby improving the TDDB life. In addition, while maintaining a good contact of the vias that are misaligned using the reservoir structure, an air gap can be formed between the closest wirings of the same layer wiring to reduce the capacitance between the wirings.

図32は、図3に続く本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。なお、図32においても、図3の絶縁膜17より下の構造に対応する部分は図示を省略している。   FIG. 32 is a fragmentary cross-sectional view of the semiconductor device according to another embodiment of the present invention subsequent to FIG. 3 during the manufacturing process. Also in FIG. 32, the illustration corresponding to the structure below the insulating film 17 in FIG. 3 is omitted.

本実施の形態は、アルミニウム(Al)配線に代表される導電膜をドライエッチング法によって配線層を形成する場合のエアギャップ配線について示している。ま ず、本実施の形態においては、図32に示されるように、スルーホール18が埋め込まれた絶縁膜17上に導電膜70をスパッタ法やCVD法などによって形成する。ここでいう導電膜70とは、アルミニウムに限定されず種々変更可能であり、例えばアルミニウム(Al)またはアルミニウム合金などの単体膜あるいはこれらの単体膜の上下層の少なくとも一方にチタン(Ti)や窒化チタン(TiN)などのような金属膜を形成した積層金属膜としても良いし、タングステン膜等でも良い。   This embodiment shows an air gap wiring in the case where a wiring layer is formed by dry etching a conductive film typified by aluminum (Al) wiring. First, in the present embodiment, as shown in FIG. 32, a conductive film 70 is formed on the insulating film 17 in which the through holes 18 are buried by sputtering or CVD. The conductive film 70 here is not limited to aluminum and can be variously modified. For example, at least one of a single film such as aluminum (Al) or an aluminum alloy or upper and lower layers of these single films is nitride (Ti) or nitride. A laminated metal film in which a metal film such as titanium (TiN) is formed may be used, or a tungsten film or the like may be used.

次に、図33に示すように、導電膜70上に反射防止膜71およびフォトレジスト膜を順に形成し、露光によりフォトレジスト膜をパターン化してフォトレジストパターン72を形成する。そして、フォトレジストパターン72をエッチングマスクにしたドライエッチング法により、反射防止膜71を選択的に除去する。その後、フォトレジストパターン72をエッチングマスクにしたドライエッチング法により、導電膜70を選択的に除去し、第2配線層73を形成する。その後、図34に示すように、絶縁膜74を第2配線層間及び第2配線層間上に、コンフォーマルな条件で絶縁膜を成膜し、図8と同様にビア・リザーバー用のフォトレジストパターン75を形成する。   Next, as shown in FIG. 33, an antireflection film 71 and a photoresist film are sequentially formed on the conductive film 70, and the photoresist film is patterned by exposure to form a photoresist pattern 72. Then, the antireflection film 71 is selectively removed by a dry etching method using the photoresist pattern 72 as an etching mask. Thereafter, the conductive film 70 is selectively removed by a dry etching method using the photoresist pattern 72 as an etching mask, and a second wiring layer 73 is formed. Thereafter, as shown in FIG. 34, an insulating film 74 is formed on the second wiring layer and on the second wiring layer under a conformal condition, and a photoresist pattern for via reservoir is formed as in FIG. 75 is formed.

次に、図35に示すように、このリザーバー用フォトレジストパターン75をマスクにして、絶縁膜74をドライエッチングによってリザーバー用絶縁膜パターンが形成される。このように、リザーバー用フォトレジストパターンと下層配線の間に、少なくとも絶縁膜層1層以上を挿入する構造が重要である。
次に、図36に示すように、絶縁膜77をコンフォーマルでない条件で成膜し、エアギャップ76を隣接配線間スペースが狭い部分に選択的に形成し、層間絶縁膜CMPを行って、絶縁膜77上部を平坦化する。
Next, as shown in FIG. 35, the reservoir insulating film pattern is formed by dry etching the insulating film 74 using the reservoir photoresist pattern 75 as a mask. Thus, a structure in which at least one insulating film layer or more is inserted between the photoresist pattern for the reservoir and the lower layer wiring is important.
Next, as shown in FIG. 36, an insulating film 77 is formed under non-conformal conditions, an air gap 76 is selectively formed in a portion where the space between adjacent wirings is narrow, and an interlayer insulating film CMP is performed for insulation. The upper part of the film 77 is planarized.

次に、図37に示すように、絶縁膜77中に、ビア78を形成する。このとき、ビア部が形成される隣接配線間が狭い箇所は、さきほど形成されてリザーバーが存在するため、合わせズレが発生しても問題とならず、良好なコンタクトを形成することができる。   Next, as shown in FIG. 37, a via 78 is formed in the insulating film 77. At this time, since the portion where the gap between adjacent wirings where the via portion is formed is formed earlier and there is a reservoir, even if misalignment occurs, no problem occurs and a good contact can be formed.

図38〜図41は、第3配線層の作成方法を示している。まず、図38に示すように、導電膜79を成膜し、導電膜79上に反射防止膜80およびフォトレジスト膜を順に形成し、露光によりフォトレジスト膜をパターン化してフォトレジストパターン81を形成する。ここで、導電膜79とは、アルミニウムに限定されず種々変更可能であり、例えばアルミニウム(Al)またはアルミニウム合金などの単体膜あるいはこれらの単体膜の上下層の少なくとも一方にチタン(Ti)や窒化チタン(TiN)などのような金属膜を形成した積層金属膜としても良いし、タングステン膜等でも良い。   38 to 41 show a method of creating the third wiring layer. First, as shown in FIG. 38, a conductive film 79 is formed, an antireflection film 80 and a photoresist film are sequentially formed on the conductive film 79, and the photoresist film is patterned by exposure to form a photoresist pattern 81. To do. Here, the conductive film 79 is not limited to aluminum and can be variously changed. For example, titanium (Ti) or nitridation is formed on at least one of a single film such as aluminum (Al) or an aluminum alloy or upper and lower layers of these single films. A laminated metal film in which a metal film such as titanium (TiN) is formed may be used, or a tungsten film or the like may be used.

そして、図39に示すように、フォトレジストパターン81をエッチングマスクにしたドライエッチング法により、反射防止膜80、導電膜79を選択的に除去し、第3配線層82を形成する。その後、図34〜36で述べたリザーバーおよびエアギップ作成方法を同様に用い、まず、図40に示すように第3層配線82の間に、必要に応じて絶縁膜83を加工してリザーバーを形成する。次に、図41に示すように、絶縁膜83上に絶縁膜85を成膜し、エアギャップ84を形成する。最後に、層間絶縁膜CMPによって、絶縁膜85上部を平坦化する。上層も必要に応じて、ここまで繰り返してきた工程によって、同様なエアギャップ配線を形成することが可能である。   Then, as shown in FIG. 39, the antireflection film 80 and the conductive film 79 are selectively removed by a dry etching method using the photoresist pattern 81 as an etching mask, and a third wiring layer 82 is formed. Thereafter, the reservoir and the air gap creation method described in FIGS. 34 to 36 are similarly used. First, as shown in FIG. 40, an insulating film 83 is processed between the third-layer wirings 82 to form a reservoir as necessary. To do. Next, as shown in FIG. 41, an insulating film 85 is formed on the insulating film 83 to form an air gap 84. Finally, the upper portion of the insulating film 85 is planarized by the interlayer insulating film CMP. If necessary, the upper layer can be formed with the same air gap wiring by the processes repeated so far.

図42は、本発明の他の実施の形態である半導体装置の製造工程中の要部断面図である。本実施の形態の半導体装置は、上記実施の形態3の第2層配線73および第3層配線82のように隣接配線間にボイドを形成しかつリザーバー用絶縁膜構造を各配線層の隣接配線間に有する構造と、一般的なドライエッチ配線技術を用いて形成された配線層とが組み合わされた多層配線構造を有している。図42において、絶縁膜85の形成工程までは、上記実施の形態3の図41までの製造工程とほぼ同様であるので、その説明は省略し、ここではそれ以降の製造工程について説明する。   FIG. 42 is a fragmentary sectional view in the manufacturing process of the semiconductor device according to another embodiment of the present invention. In the semiconductor device of this embodiment, a void is formed between adjacent wirings as in the second-layer wiring 73 and third-layer wiring 82 of the third embodiment, and the reservoir insulating film structure is connected to the adjacent wiring of each wiring layer. It has a multilayer wiring structure in which a structure between them and a wiring layer formed using a general dry etch wiring technique are combined. In FIG. 42, the process up to the step of forming the insulating film 85 is substantially the same as the manufacturing process up to FIG. 41 of the third embodiment, so the description thereof will be omitted, and the subsequent manufacturing process will be described here.

本実施の形態では、絶縁膜85中にビア86が形成され、絶縁膜85およびビア86上に、第3層配線82と同様にして第4層配線87が形成される。それから、絶縁膜88によってリザーバーを作成し、その上に絶縁膜90を成膜し、平坦化する。第4層配線87においても、第2層配線73および第3層配線82と同様、最近接配線間にボイド89が形成される。   In the present embodiment, a via 86 is formed in the insulating film 85, and a fourth layer wiring 87 is formed on the insulating film 85 and the via 86 in the same manner as the third layer wiring 82. Then, a reservoir is formed by the insulating film 88, and an insulating film 90 is formed thereon and planarized. In the fourth layer wiring 87 as well, as with the second layer wiring 73 and the third layer wiring 82, a void 89 is formed between the closest wirings.

第5層以降の配線層は、一般的な導電膜のドライエッチング技術を用いて形成する。まず、絶縁膜90中にビア91を形成した後、導電膜を成膜する。そして、ドライエッチング技術を用いて、第5層配線92を形成する。それから、第5層配線93の側面及び上面に、絶縁膜93を形成して平坦化を行う。その後、絶縁膜93中ビア94を形成し、絶縁膜93及び導電膜94上に導電膜を成膜する。その後、ドライエッチング技術を用いて第6層配線95を形成し、絶縁膜96を成膜した後、平坦化を行う。   The fifth and subsequent wiring layers are formed using a general conductive film dry etching technique. First, after a via 91 is formed in the insulating film 90, a conductive film is formed. Then, a fifth layer wiring 92 is formed using a dry etching technique. Then, an insulating film 93 is formed on the side surface and the upper surface of the fifth layer wiring 93 to perform planarization. Thereafter, a via 94 is formed in the insulating film 93, and a conductive film is formed over the insulating film 93 and the conductive film 94. Thereafter, a sixth layer wiring 95 is formed by using a dry etching technique, an insulating film 96 is formed, and then planarization is performed.

なお、絶縁膜74、77、83、85、88、90、93及び96はCVD法を用いて形成した膜、例えば酸化シリコン膜、FSG(SiOF系材料)膜、SiOC膜またはポーラスシリコン(Polus−Si)系材料膜や、FSG膜やSiOC膜またはポーラスシリコン膜を用いた場合はその上にシリコン酸化膜でキャップした2層構造の絶縁膜を用いることもできる。   The insulating films 74, 77, 83, 85, 88, 90, 93, and 96 are films formed using a CVD method, such as a silicon oxide film, FSG (SiOF-based material) film, SiOC film, or porous silicon (Polus−). When an Si) -based material film, an FSG film, an SiOC film, or a porous silicon film is used, an insulating film having a two-layer structure capped with a silicon oxide film can be used.

多層配線構造において、隣接配線間隔が比較的小さい、すなわち配線ピッチが比較的小さい配線層では、配線間容量が増大する。本実施の形態によれば、そのような配線間容量が増大しやすい配線層において、合わせズレしたビアのコンタクトを良好に保ちつつ、エアギャップを形成して配線間容量を低減することができる。   In a multilayer wiring structure, a wiring layer having a relatively small distance between adjacent wirings, that is, a wiring layer having a relatively small wiring pitch, increases the inter-wiring capacitance. According to the present embodiment, it is possible to reduce the inter-wiring capacity by forming the air gap while keeping the contact of the misaligned via well in the wiring layer in which the inter-wiring capacity tends to increase.

1…半導体基板
2…素子分離領域
3…p型ウエル
4…n型ウエル
5…ゲート絶縁膜
6…ゲート電極
7…サイドウォール
8…n型半導体領域(ソース・ドレイン)
9…p型半導体領域(ソース・ドレイン)
10…Coシリサイド膜
11…窒化シリコン膜
12…酸化シリコン膜
13…コンタクトホール
14a…絶縁膜
14b…絶縁膜
15…第1層配線
16…絶縁膜
17…絶縁膜
18…スルーホール
19…集積回路チップ
19a…メモリ部(密集パターン部)
19b…周辺回路部(疎パターン部)
20…絶縁膜
21…絶縁膜
22…絶縁膜
23…反射防止膜
24…フォトレジストパターン
25…配線溝
26…第2層配線
26a…導体バリア膜
26b…主導体膜
26c…第1層配線形成位置
27…スルーホール形成位置
28…リザーバー形成位置
29…バリア絶縁膜
30…フォトレジストパターン
31…バリア絶縁膜
32…絶縁膜
33…フォトレジストパターン
34…バリア絶縁膜
35…空隙(エアギャップ)
36…絶縁膜
37…絶縁膜
38…ミスアライメント・スルーホール(合わせズレしたスルーホール)
39…絶縁膜
40…反射防止膜
41…フォトレジストパターン
42…開口部
43…反射防止膜
44…フォトレジストパターン
45…スルーホール開口部
46…配線溝開口部
47…第3層配線
47a…導体バリア膜
47b…主導体膜
48…バリア絶縁膜
49…スルーホール形成位置
50…リザーバー形成位置
51…バリア絶縁膜
52…空隙(エアギャップ)
53…絶縁膜
54…絶縁膜
55…第4層配線
55a…導体バリア膜
55b…主導体膜
56…バリア絶縁膜
57…バリア絶縁膜
58…空隙(エアギャップ)
59…絶縁膜
60…絶縁膜
61…第5層配線
61a…導体バリア膜
61b…主導体膜
62…バリア絶縁膜
63…絶縁膜
64…絶縁膜
65…第6層配線
65a…導体バリア膜
65b…主導体膜
66…バリア絶縁膜
70…導体膜
71…反射防止膜
72…フォトレジストパターン
73…第2層配線
74…絶縁膜
75…フォトレジストパターン
76…空隙(エアギャップ)
77…絶縁膜
78…スルーホール
79…導体膜
80…反射防止膜
81…フォトレジストパターン
82…第3層配線
83…絶縁膜
84…エアギャップ
85…絶縁膜
86…スルーホール
87…第4層配線
88…絶縁膜
89…空隙(エアギャップ)
90…絶縁膜
91…スルーホール
92…第5層配線
93…絶縁膜
94…スルーホール
95…第6層配線
96…絶縁膜
1 ... Semiconductor substrate
2. Element isolation region
3 ... p-type well
4 ... n-type well
5 ... Gate insulation film
6 ... Gate electrode
7 ... Sidewall
8 ... n-type semiconductor region (source / drain)
9 ... p-type semiconductor region (source / drain)
10 ... Co silicide film
11 ... Silicon nitride film
12 ... Silicon oxide film
13 ... Contact hole
14a ... Insulating film
14b ... Insulating film
15 ... 1st layer wiring
16 ... Insulating film
17 ... Insulating film
18 ... Through hole
19 ... Integrated circuit chip
19a ... Memory part (dense pattern part)
19b ... Peripheral circuit part (sparse pattern part)
20 ... Insulating film
21. Insulating film
22 ... Insulating film
23. Antireflection film
24 ... Photoresist pattern
25. Wiring groove
26 ... Second-layer wiring
26a ... Conductor barrier film
26b ... Main conductor film
26c ... first layer wiring formation position
27: Position of through hole formation
28 ... Reservoir formation position
29 ... Barrier insulating film
30 ... Photoresist pattern
31 ... Barrier insulating film
32. Insulating film
33 ... Photoresist pattern
34 ... Barrier insulating film
35 ... Air gap
36. Insulating film
37. Insulating film
38 ... Misalignment through hole (Through hole misaligned)
39. Insulating film
40: Antireflection film
41 ... Photoresist pattern
42 ... opening
43. Antireflection film
44 ... Photoresist pattern
45 ... Through hole opening
46: Wiring groove opening
47. Third layer wiring
47a ... Conductor barrier film
47b ... Main conductor film
48 ... Barrier insulating film
49 ... Through hole formation position
50: Reservoir formation position
51. Barrier insulating film
52 ... Air gap
53. Insulating film
54. Insulating film
55. Fourth layer wiring
55a ... Conductor barrier film
55b ... Main conductor film
56. Barrier insulating film
57. Barrier insulating film
58 ... Air gap
59. Insulating film
60. Insulating film
61. Fifth layer wiring
61a ... Conductor barrier film
61b ... Main conductor film
62 ... Barrier insulating film
63. Insulating film
64. Insulating film
65 ... 6th layer wiring
65a ... Conductor barrier film
65b ... Main conductor film
66. Barrier insulating film
70: Conductor film
71 ... Antireflection film 72 ... Photoresist pattern
73. Second layer wiring
74. Insulating film
75 ... Photoresist pattern
76 ... Air gap
77. Insulating film
78 ... Through hole
79 ... Conductor film
80 ... Antireflection film
81 ... Photoresist pattern
82. Third layer wiring
83. Insulating film
84 ... Air gap
85 ... Insulating film
86 ... Through hole
87. Fourth layer wiring
88. Insulating film
89 ... Air gap
90. Insulating film
91 ... Through hole
92 ... Fifth layer wiring
93. Insulating film
94 ... Through hole
95: Sixth layer wiring
96. Insulating film

Claims (2)

以下の工程を有することを特徴とする半導体装置の製造方法:
(a)半導体基板上に第1の導体膜を形成する工程、
(b)フォトレジストパターンをマスクにしたドライエッチング法により、前記第1の導体膜を選択的に除去して複数の第1の配線を形成する工程、
(c)前記配線上及び前記配線間のスペース領域に第1の絶縁膜を形成する工程、
(d)後の(f)工程で形成される隣接配線間隔が狭い配線の上面を露出するスルーホールの周辺領域の前記第1の絶縁膜を残し、前記周辺領域以外の前記第1の絶縁膜を除去する工程、
(e)前記第1の絶縁膜が除去された前記配線間のスペース領域に空隙を残しつつ、前記配線上に第2の絶縁膜を形成する工程、
(f)前記隣接配線間隔が狭い配線の上部の前記第1の絶縁膜と前記第2の絶縁膜とを貫通し、前記隣接配線間隔が狭い配線の上面を露出するスルーホールを形成する工程、
(g)前記スルーホールの内部に第2の導体膜を形成する工程。
A method for manufacturing a semiconductor device comprising the following steps:
(A) forming a first conductor film on the semiconductor substrate;
(B) forming a plurality of first wirings by selectively removing the first conductor film by a dry etching method using a photoresist pattern as a mask;
(C) forming a first insulating film on the wiring and in a space region between the wiring;
(D) The first insulating film in the peripheral region of the through hole exposing the upper surface of the wiring with a narrow adjacent wiring interval formed in the subsequent step (f) is left, and the first insulating film other than the peripheral region is left. Removing the step,
(E) forming a second insulating film on the wiring while leaving a gap in the space region between the wirings from which the first insulating film has been removed;
(F) forming a through hole that penetrates the first insulating film and the second insulating film above the wiring having a narrow adjacent wiring interval and exposes an upper surface of the wiring having the narrow adjacent wiring interval;
(G) A step of forming a second conductor film inside the through hole.
請求項1に記載の半導体装置の製造方法において、前記第1の導体膜はアルミニウム膜或いはタングステン膜であることを特徴とする半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the first conductor film is an aluminum film or a tungsten film.
JP2012010607A 2012-01-23 2012-01-23 Manufacturing method of semiconductor device Pending JP2012080133A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012010607A JP2012080133A (en) 2012-01-23 2012-01-23 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012010607A JP2012080133A (en) 2012-01-23 2012-01-23 Manufacturing method of semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005167676A Division JP4956919B2 (en) 2005-06-08 2005-06-08 Semiconductor device and manufacturing method thereof

Publications (1)

Publication Number Publication Date
JP2012080133A true JP2012080133A (en) 2012-04-19

Family

ID=46239935

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012010607A Pending JP2012080133A (en) 2012-01-23 2012-01-23 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP2012080133A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865616B2 (en) 2016-02-09 2018-01-09 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
JP2018046253A (en) * 2016-09-16 2018-03-22 株式会社東芝 Semiconductor device and method of manufacturing the same
US10504915B2 (en) 2016-03-03 2019-12-10 Toshiba Memory Corporation Integrated circuit device having an air gap between interconnects and method for manufacturing the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020016058A1 (en) * 2000-06-15 2002-02-07 Bin Zhao Microelectronic air-gap structures and methods of forming the same
JP2005136152A (en) * 2003-10-30 2005-05-26 Renesas Technology Corp Method for manufacturing semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020016058A1 (en) * 2000-06-15 2002-02-07 Bin Zhao Microelectronic air-gap structures and methods of forming the same
JP2005136152A (en) * 2003-10-30 2005-05-26 Renesas Technology Corp Method for manufacturing semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865616B2 (en) 2016-02-09 2018-01-09 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US10504915B2 (en) 2016-03-03 2019-12-10 Toshiba Memory Corporation Integrated circuit device having an air gap between interconnects and method for manufacturing the same
US10868040B2 (en) 2016-03-03 2020-12-15 Toshiba Memory Corporation Integrated circuit device and method for manufacturing same
JP2018046253A (en) * 2016-09-16 2018-03-22 株式会社東芝 Semiconductor device and method of manufacturing the same

Similar Documents

Publication Publication Date Title
JP4956919B2 (en) Semiconductor device and manufacturing method thereof
JP5396065B2 (en) Manufacturing method of semiconductor device
US7042095B2 (en) Semiconductor device including an interconnect having copper as a main component
US10304726B2 (en) Semiconductor device and manufacturing method thereof
JP4173374B2 (en) Manufacturing method of semiconductor device
US20060183317A1 (en) Semiconductor device and a method of manufacturing the same
TWI611545B (en) Interconnection structure and manufacturing method thereof
JP4068868B2 (en) Manufacturing method of semiconductor device
JP2004193431A (en) Semiconductor device and its manufacturing method
JP5326949B2 (en) Semiconductor device
JP2005136152A (en) Method for manufacturing semiconductor device
JP2012080133A (en) Manufacturing method of semiconductor device
US10453794B2 (en) Interconnect structure for semiconductor devices
KR20080001905A (en) Method of forming a metal wire in a semiconductor device
JP4211910B2 (en) Manufacturing method of semiconductor device
JP2003332340A (en) Manufacturing method of semiconductor device
KR20100073779A (en) Metal line of semiconductor device and fabricating method thereof
KR100854877B1 (en) Method of forming a metal wire in a semiconductor device
JP2007273823A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120123

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131001

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140401