JP2012059877A - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP2012059877A
JP2012059877A JP2010200981A JP2010200981A JP2012059877A JP 2012059877 A JP2012059877 A JP 2012059877A JP 2010200981 A JP2010200981 A JP 2010200981A JP 2010200981 A JP2010200981 A JP 2010200981A JP 2012059877 A JP2012059877 A JP 2012059877A
Authority
JP
Japan
Prior art keywords
film
mask
mask film
etching
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010200981A
Other languages
Japanese (ja)
Other versions
JP5589692B2 (en
Inventor
Hikari Ohira
光 大平
Tomoyuki Kirimura
知行 桐村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2010200981A priority Critical patent/JP5589692B2/en
Priority to US13/158,892 priority patent/US8536051B2/en
Publication of JP2012059877A publication Critical patent/JP2012059877A/en
Application granted granted Critical
Publication of JP5589692B2 publication Critical patent/JP5589692B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

PROBLEM TO BE SOLVED: To provide a manufacturing method of a semiconductor device including a new manufacturing technique of a mask.SOLUTION: A manufacturing method of a semiconductor device comprises the steps of: forming a first film above a semiconductor substrate; forming a first mask film above the first film; patterning the first mask film; applying plasma treatment to a side portion of the patterned first mask film to change the side portion to an altered layer; forming a second mask film covering an upper portion and the side portion of the first mask film after the plasma treatment; removing the second mask film formed above the first mask film while leaving the second mask film formed on the side portion by etching the second mask film; removing the altered layer after the etching of the second mask film; and etching the first film using the remaining first mask film and second mask film as a mask after the removal of the altered layer.

Description

本発明は、半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device.

半導体装置の回路パターンを形成するために、マスクを用いたエッチングが行なわれている。回路パターンの微細化に伴い、マスクも微細化してきている。   In order to form a circuit pattern of a semiconductor device, etching using a mask is performed. With the miniaturization of circuit patterns, masks have also been miniaturized.

特開2009−218574号公報JP 2009-218574 A

本発明の一目的は、マスクの新規な作製技術を含む半導体装置の製造方法を提供することである。   An object of the present invention is to provide a method of manufacturing a semiconductor device including a novel mask manufacturing technique.

本発明の一観点によれば、半導体基板上方に、第1の膜を形成する工程と、前記第1の膜上方に、第1マスク膜を形成する工程と、前記第1マスク膜をパターニングする工程と、パターニングされた前記第1マスク膜の側部にプラズマ処理を行って、前記側部を変質層に変換する工程と、前記プラズマ処理の後、前記第1マスク膜の上部及び前記側部を覆う第2マスク膜を形成する工程と、前記第2マスク膜をエッチングして、前記側部に形成された前記第2マスク膜を残存させつつ、前記第1マスク膜上部に形成された前記第2マスク膜を除去する工程と、前記第2マスク膜のエッチングの後、前記変質層を除去する工程と、前記変質層を除去した後、残った部分の前記第1マスク膜、及び前記第2マスク膜をマスクとして、前記第1の膜をエッチングする工程とを有する半導体装置の製造方法が提供される。   According to an aspect of the present invention, a step of forming a first film above a semiconductor substrate, a step of forming a first mask film above the first film, and patterning the first mask film. A step of performing plasma treatment on a side portion of the patterned first mask film to convert the side portion into a deteriorated layer; and after the plasma treatment, an upper portion of the first mask film and the side portion. Forming a second mask film that covers the first mask film, and etching the second mask film to leave the second mask film formed on the side portion, while forming the second mask film on the first mask film. A step of removing the second mask film; a step of removing the altered layer after etching of the second mask film; and a portion of the first mask film remaining after removing the altered layer, and the first Using the two mask films as a mask, the first film The method of manufacturing a semiconductor device having a step of etching is provided.

変質層形成領域を挟み第1マスク膜と第2マスク膜が並ぶマスクパターンを形成できる。例えば、微細なマスクパターン形成に適用できる。また例えば、変質層の厚さを調整することにより、第1マスク膜と第2マスク膜の間隙幅を調整できる等、マスクパターン形状を制御しやすい。   A mask pattern in which the first mask film and the second mask film are arranged across the altered layer formation region can be formed. For example, it can be applied to fine mask pattern formation. Further, for example, by adjusting the thickness of the deteriorated layer, the gap width between the first mask film and the second mask film can be adjusted, and the mask pattern shape can be easily controlled.

図1AP、図1AX、図1AY1〜図1AY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略断面図である。1AP, FIG. 1AX, and FIG. 1AY1 to FIG. 1AY3 are schematic cross-sectional views showing the main steps of the semiconductor device manufacturing method according to the first embodiment. 図1BP、図1BX、図1BY1〜図1BY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1BP, FIG. 1BX, and FIG. 1BY1 to FIG. 1BY3 are a schematic plan view and a cross-sectional view showing the main steps of the semiconductor device manufacturing method according to the first embodiment. 図1CP、図1CX、図1CY1〜図1CY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1CP, FIG. 1CX, and FIG. 1CY1 to FIG. 1CY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the first embodiment. 図1DP、図1DXは、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。FIG. 1DP and FIG. 1DX are a schematic plan view and a cross-sectional view showing the main steps of the semiconductor device manufacturing method according to the first embodiment. 図1EP、図1EXは、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1EP and FIG. 1EX are a schematic plan view and a cross-sectional view showing the main steps of the semiconductor device manufacturing method according to the first embodiment. 図1FP、図1FX、図1FY1〜図1FY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1FP, FIG. 1FX, and FIG. 1FY1 to FIG.1FY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the first embodiment. 図1GP、図1GX、図1GY1〜図1GY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1GP, FIG. 1GX, and FIG. 1GY1 to FIG. 1GY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the first embodiment. 図1HP、図1HX、図1HY1〜図1HY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1HP, FIG. 1HX, and FIG. 1HY1 to FIG. 1HY3 are a schematic plan view and a cross-sectional view showing the main steps of the semiconductor device manufacturing method according to the first embodiment. 図1IP、図1IX、図1IY1〜図1IY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1IP, FIG. 1IX, and FIG. 1IY1 to FIG. 1IY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the first embodiment. 図1JP、図1JX、図1JY1〜図1JY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1JP, FIG. 1JX, and FIG. 1JY1 to FIG. 1JY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the first embodiment. 図1KP、図1KX、図1KY1〜図1KY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1KP, FIG. 1KX, and FIG. 1KY1 to FIG. 1KY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the first embodiment. 図1LP、図1LX、図1LY1〜図1LY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1LP, FIG. 1LX, and FIG. 1LY1 to FIG. 1LY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the first embodiment. 図1MP、図1MX、図1MY1〜図1MY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1MP, FIG. 1MX, and FIG. 1MY1 to FIG. 1MY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the first embodiment. 図1NP、図1NX、図1NY1〜図1NY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1NP, FIG. 1NX, and FIG. 1NY1 to FIG. 1NY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the first embodiment. 図1OP、図1OX、図1OY1〜図1OY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1OP, FIG. 1OX, and FIG. 1OY1 to FIG. 1OY3 are a schematic plan view and a cross-sectional view showing the main steps of the semiconductor device manufacturing method according to the first embodiment. 図1PP、図1PX、図1PY1〜図1PY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。FIG. 1PP, FIG. 1PX, FIG. 1PY1 to FIG. 1PY3 are a schematic plan view and a cross-sectional view showing the main steps of the semiconductor device manufacturing method of the first embodiment. 図1QP、図1QX、図1QY1〜図1QY3は、第1実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。1QP, FIG. 1QX, and FIG. 1QY1 to FIG. 1QY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the first embodiment. 図2AP、図2AX、図2AY1〜図2AY3は、第2実施例の半導体装置の製造方法の主要工程を示す概略断面図である。2AP, FIG. 2AX, and FIG. 2AY1 to FIG. 2AY3 are schematic cross-sectional views showing the main steps of the semiconductor device manufacturing method of the second embodiment. 図2BP、図2BX、図2BY1〜図2BY3は、第2実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。2BP, FIG. 2BX, and FIG. 2BY1 to FIG. 2BY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the second embodiment. 図2CP、図2CX、図2CY1〜図2CY3は、第2実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。2CP, FIG. 2CX, and FIG. 2CY1 to FIG. 2CY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the second embodiment. 図2DP、図2DX、図2DY1〜図2DY3は、第2実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。2DP, FIG. 2DX, and FIG. 2DY1 to FIG. 2DY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the second embodiment. 図2EP、図2EX、図2EY1〜図2EY3は、第2実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。2EP, FIG. 2EX, and FIG. 2EY1 to FIG. 2EY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the second embodiment. 図2FP、図2FX、図2FY1〜図2FY3は、第2実施例の半導体装置の製造方法の主要工程を示す概略的な平面図及び断面図である。2FP, FIG. 2FX, and FIG. 2FY1 to FIG. 2FY3 are a schematic plan view and a cross-sectional view showing main steps of the semiconductor device manufacturing method according to the second embodiment.

まず、本発明の第1実施例による半導体装置の製造方法について説明する。第1実施例では、静的ランダムアクセスメモリ(SRAM)を作製し、SRAM回路の作製工程のうち、特に、MOSトランジスタのゲート電極を形成するパターニングについて詳しく説明する。   First, a method for manufacturing a semiconductor device according to the first embodiment of the present invention will be described. In the first embodiment, a static random access memory (SRAM) is manufactured, and the patterning for forming the gate electrode of the MOS transistor will be described in detail among the manufacturing steps of the SRAM circuit.

図1A〜図1Qは、第1実施例の半導体装置の製造方法の主要工程を示す概略平面図と概略断面図である。平面図に、例えば「図1AP」のように「P」を付し、平面図中の一点鎖線Xに沿った紙面横方向の断面図に、例えば「図1AX」のように「X」を付し、平面図中の一点鎖線Y1、Y2、Y3に沿った紙面縦方向の断面図に、例えば「図1AY1、図1AY2、図1AY3」のように、それぞれ「Y1」、「Y2」、「Y3」を付す。   1A to 1Q are a schematic plan view and a schematic cross-sectional view showing the main steps of the semiconductor device manufacturing method according to the first embodiment. For example, “P” is added to the plan view as shown in “FIG. 1AP”, and “X” is added to the cross-sectional view in the horizontal direction along the alternate long and short dash line X in the plan view as shown in FIG. 1AX. Then, cross-sectional views in the vertical direction of the drawing along the alternate long and short dash lines Y1, Y2, and Y3 in the plan view, for example, “Y1”, “Y2”, and “Y3” as shown in FIG. 1AY1, FIG. 1AY2, and FIG. ".

説明の煩雑さを避けるため、「P」を付した平面図、及び、「X」、「Y1」、「Y2」、「Y3」を付した断面図を、1群の図としてまとめて呼ぶ。例えば、図1AP、図1AX、及び図1AY1〜図1AY3を、図1Aと呼ぶ。なお、このような説明の仕方は、後述の第2実施例でも同様である。なお、図1D及び図1Eは、平面図とX方向の断面図のみ示す。   In order to avoid complicated explanation, a plan view with “P” and cross-sectional views with “X”, “Y1”, “Y2”, “Y3” are collectively called as a group of figures. For example, FIG. 1AP, FIG. 1AX, and FIGS. 1AY1 to 1AY3 are referred to as FIG. 1A. This method of explanation is the same in the second embodiment described later. 1D and 1E show only a plan view and a cross-sectional view in the X direction.

図1Aを参照する。半導体基板1(例えばp型シリコン基板)に、例えばシャロートレンチアイソレーション(STI)により素子分離絶縁膜を形成して、活性領域を画定する。   Reference is made to FIG. 1A. An active region is defined by forming an element isolation insulating film on the semiconductor substrate 1 (for example, a p-type silicon substrate) by, for example, shallow trench isolation (STI).

半導体基板1上に、例えばスピンコートにより、フォトレジスト膜を形成する。フォトレジスト膜に、フォトリソグラフィーにより、p型MOSトランジスタを形成する活性領域を露出する開口部を形成する。このフォトレジスト膜をマスクとし、p型MOSトランジスタを形成する活性領域に、例えばイオン注入法により、n型不純物を導入して、n型ウェルnwを形成する。n型ウェルnw形成に用いたフォトレジスト膜を、アッシングで除去する。   A photoresist film is formed on the semiconductor substrate 1 by, for example, spin coating. An opening for exposing an active region for forming the p-type MOS transistor is formed in the photoresist film by photolithography. Using this photoresist film as a mask, an n-type well nw is formed by introducing an n-type impurity into the active region where the p-type MOS transistor is to be formed, for example, by ion implantation. The photoresist film used for forming the n-type well nw is removed by ashing.

半導体基板1上に、例えばスピンコートにより、フォトレジスト膜を形成する。フォトレジスト膜に、フォトリソグラフィーにより、n型MOSトランジスタを形成する活性領域を露出する開口部を形成する。このフォトレジスト膜をマスクとし、n型MOSトランジスタを形成する活性領域に、例えばイオン注入により、p型不純物を導入して、p型ウェルpwを形成する。p型ウェルpw形成に用いたフォトレジスト膜を、アッシングで除去する。   A photoresist film is formed on the semiconductor substrate 1 by, for example, spin coating. An opening for exposing an active region for forming the n-type MOS transistor is formed in the photoresist film by photolithography. Using this photoresist film as a mask, p-type impurities are introduced into the active region for forming the n-type MOS transistor, for example, by ion implantation to form a p-type well pw. The photoresist film used for forming the p-type well pw is removed by ashing.

それぞれX方向に細長いp型MOSトランジスタ形成領域(n型ウェルnw)とn型MOSトランジスタ形成領域(p型ウェルpw)とが、Y方向に並んで配置されている。   A p-type MOS transistor formation region (n-type well nw) elongated in the X direction and an n-type MOS transistor formation region (p-type well pw) are arranged side by side in the Y direction.

図1Bを参照する。半導体基板1上に、例えば、熱酸化により厚さ1.5nmのシリコン酸化膜を形成して、ゲート絶縁膜2を形成する。   Refer to FIG. 1B. On the semiconductor substrate 1, for example, a silicon oxide film having a thickness of 1.5 nm is formed by thermal oxidation to form the gate insulating film 2.

図1Cを参照する。ゲート絶縁膜2上に、導電材料を堆積して、例えば、化学気相堆積(CVD)により厚さ100nmのポリシリコン膜を堆積して、ゲート電極膜3を形成する。   Reference is made to FIG. 1C. A conductive material is deposited on the gate insulating film 2, and a polysilicon film having a thickness of 100 nm is deposited by, for example, chemical vapor deposition (CVD) to form the gate electrode film 3.

ゲート電極膜3上に、マスク膜4を形成する。マスク膜4は、例えばSiCOH膜であり、例えば、ポーラスシリカ系低誘電率材料であるナノクラスタリングシリカ(NCS)を、塗布により成膜して形成される。マスク膜4の膜厚は、例えば100nmである。   A mask film 4 is formed on the gate electrode film 3. The mask film 4 is, for example, a SiCOH film, and is formed, for example, by coating nanoclustering silica (NCS), which is a porous silica-based low dielectric constant material, by coating. The film thickness of the mask film 4 is, for example, 100 nm.

図1Dを参照する。マスク膜4上に、塗布により、有機材料の反射防止膜BARK1を形成する。反射防止膜BARK1上に、塗布により、フォトレジスト膜を形成する。フォトレジスト膜に、フォトリソグラフィーによりパターンを転写して、レジストパターンRP1を形成する。反射防止膜BARK1の厚さは、例えば約70nmであり、レジストパターンRP1の厚さは、例えば約150nmである。   Reference is made to FIG. 1D. An organic material antireflection film BARK1 is formed on the mask film 4 by coating. A photoresist film is formed on the antireflection film BARK1 by coating. A pattern is transferred to the photoresist film by photolithography to form a resist pattern RP1. The thickness of the antireflection film BARK1 is about 70 nm, for example, and the thickness of the resist pattern RP1 is about 150 nm, for example.

レジストパターンRP1は、ゲート電極の幅方向(X方向)に関するパターニングを行うマスクを形成するためのものである。図1DPに示すように、レジストパターンRP1は、ゲート電極の長さ方向(Y方向)に細長い開口部OP1を画定する。開口部OP1の幅は、ゲート電極の幅と等しく、例えば50nmである。レジストパターンRP1の各部分の幅は、あるゲート電極からその隣の隣のゲート電極までの間隙の幅と等しく、例えば190nmである。   The resist pattern RP1 is for forming a mask for patterning in the width direction (X direction) of the gate electrode. As shown in FIG. 1DP, the resist pattern RP1 defines an elongated opening OP1 in the length direction (Y direction) of the gate electrode. The width of the opening OP1 is equal to the width of the gate electrode, for example, 50 nm. The width of each part of the resist pattern RP1 is equal to the width of the gap from one gate electrode to the next adjacent gate electrode, for example, 190 nm.

図1Eを参照する。レジストパターンRP1をマスクとして、反射防止膜BARK1をエッチングし、さらに、レジストパターンRP1と反射防止膜BARK1をマスクとして、マスク膜4を、ゲート電極膜3が露出するまでエッチングする。   Reference is made to FIG. 1E. The antireflection film BARK1 is etched using the resist pattern RP1 as a mask, and the mask film 4 is etched using the resist pattern RP1 and the antireflection film BARK1 as a mask until the gate electrode film 3 is exposed.

マスク膜4のエッチングは、例えば、平行平板型のドライエッチング装置を用い、真空チャンバー内で行う。エッチング時の基板温度は、例えば25℃程度とする。エッチングガスとして、例えば、CF、CHF、及びArを用いることができる。 Etching of the mask film 4 is performed in a vacuum chamber using, for example, a parallel plate type dry etching apparatus. The substrate temperature during etching is, for example, about 25 ° C. For example, CF 4 , CHF 3 , and Ar can be used as the etching gas.

具体的には、CF、CHF、Arの順に、ガス比を、50〜300、10〜100、0〜1000で組合せ、混合ガスの総流量を200sccm〜1000sccmの範囲とする。チャンバー内の圧力は、例えば、10mTorr〜300mTorrとする。印加する高周波電力の、周波数は例えば13.56MHzとし、大きさは例えば100W〜1000W程度とする。なお、エッチング処理中にエッチングガスを他の組合せの混合ガスに切り替えることも可能である。 Specifically, the gas ratios are combined in the order of CF 4 , CHF 3 , and Ar in the order of 50 to 300, 10 to 100, and 0 to 1000, and the total flow rate of the mixed gas is set to a range of 200 sccm to 1000 sccm. The pressure in the chamber is, for example, 10 mTorr to 300 mTorr. The frequency of the high frequency power to be applied is, for example, 13.56 MHz, and the magnitude is, for example, about 100 W to 1000 W. It is also possible to switch the etching gas to another combination of mixed gases during the etching process.

図1Fを参照する。アッシング、つまり、酸素を含むガスによるプラズマ処理により、レジストパターンRP1及び反射防止膜BARK1を除去する。このプラズマ処理に用いる処理ガスは、O、CO及びCOのうちの少なくとも1種類以上のガスを含んで良い。また、処理ガスに、H及びNのうちの少なくとも1種類以上を混ぜても良い。具体的には、例えば、平行平板型の反応性イオンエッチング装置の真空チャンバー内に、流量500sccmでOガスを導入し、250mTorrの圧力のもと、13.56 MHz、500Wの高周波電力を平板電極に印加し、基板温度25℃で、60秒間の処理を行う。 Reference is made to FIG. 1F. The resist pattern RP1 and the antireflection film BARK1 are removed by ashing, that is, plasma treatment with a gas containing oxygen. The processing gas used for the plasma processing may include at least one gas selected from O 2 , CO, and CO 2 . Further, at least one of H 2 and N 2 may be mixed in the processing gas. Specifically, for example, O 2 gas is introduced at a flow rate of 500 sccm into a vacuum chamber of a parallel plate type reactive ion etching apparatus, and high frequency power of 13.56 MHz and 500 W is applied to a flat plate under a pressure of 250 mTorr. The electrode is applied to the electrode, and a treatment for 60 seconds is performed at a substrate temperature of 25 ° C.

このプラズマ処理により、レジストパターンRP1及び反射防止膜BARK1を除去するとともに、マスク膜4の側面及び上面に、変質層4aを形成する(マスク膜4の側部及び上部を、変質層4aに変換する)。変質層4aは、マスク膜4の表面から、プラズマ処理ガス中の酸素がしみ込み、SiCOHがSiOに変質して形成される。酸素のしみ込みが容易になるという観点からは、マスク膜4は、ポーラスな膜であることが好ましい。 By this plasma treatment, the resist pattern RP1 and the antireflection film BARK1 are removed, and an altered layer 4a is formed on the side surface and upper surface of the mask film 4 (the side and upper portions of the mask film 4 are converted into the altered layer 4a). ). The altered layer 4a is formed by the oxygen in the plasma processing gas soaked from the surface of the mask film 4 and the SiCOH is transformed into SiO 2 . From the viewpoint of easy oxygen penetration, the mask film 4 is preferably a porous film.

プラズマ処理の開始からしばらくは、レジストパターンRP1及び反射防止膜BARK1が、マスク膜4の上部を覆っており、パターニングされたマスク膜4の各部分の露出した側面で、変質が進行する。反射防止膜BARK1がなくなると、マスク膜4上面での変質も開始する。   For a while from the start of the plasma treatment, the resist pattern RP1 and the antireflection film BARK1 cover the upper part of the mask film 4, and the deterioration proceeds on the exposed side surfaces of each part of the patterned mask film 4. When the antireflection film BARK1 disappears, alteration on the upper surface of the mask film 4 also starts.

マスク膜4の変質層4aの厚さは、プラズマ処理時間で調整することができる。後に例えば図1Nを参照して説明するように、非変質部分4bが、ゲート電極膜3をパターニングするマスクとして残される。本実施例では、マスク膜4側部の変質層4aの厚さが、例えば70nmとなるように、プラズマ処理時間が選ばれている。   The thickness of the altered layer 4a of the mask film 4 can be adjusted by the plasma processing time. As will be described later with reference to FIG. 1N, for example, the non-altered portion 4b is left as a mask for patterning the gate electrode film 3. In this embodiment, the plasma processing time is selected so that the thickness of the altered layer 4a on the side of the mask film 4 is, for example, 70 nm.

パターニングされたマスク膜4の各部分の幅は例えば190nmであり、両側側部の変質層4aを足した厚さが例えば140nmとなり、非変質部分4bの幅が例えば50nmとなる(図1FX参照)。つまり、非変質部分4bの幅が、ゲート電極幅、例えば50nmとなるように、マスク膜4側面に形成する変質層4aの厚さが選ばれている。   The width of each portion of the patterned mask film 4 is, for example, 190 nm, the total thickness of the altered layers 4a on both side portions is, for example, 140 nm, and the width of the non-altered portion 4b is, for example, 50 nm (see FIG. 1FX). . That is, the thickness of the deteriorated layer 4a formed on the side surface of the mask film 4 is selected so that the width of the non-modified portion 4b is the gate electrode width, for example, 50 nm.

例えば厚さ100nmのゲート電極膜3をエッチングするのに、マスクとなる非変質部分4bの高さは70nm以上であるのが望ましい。そこで、プラズマ処理後の非変質部分4bの高さが例えば100nm〜70nmとなるようにマスク膜4の厚さが選ばれており、マスク膜4上面の変質層4aの厚さは、例えば0nm〜30nmである。   For example, when the gate electrode film 3 having a thickness of 100 nm is etched, it is desirable that the height of the unmodified portion 4b serving as a mask is 70 nm or more. Accordingly, the thickness of the mask film 4 is selected so that the height of the non-altered portion 4b after the plasma treatment is, for example, 100 nm to 70 nm, and the thickness of the altered layer 4a on the upper surface of the mask film 4 is, for example, 0 nm to 30 nm.

図1Gを参照する。パターニングされたマスク膜4を覆って(マスク膜4の各部分の上部と側部を覆って)、ゲート電極膜3上に、マスク膜5を形成する。マスク膜5は、例えば、マスク膜4と同じ材料で形成する。マスク膜5は、例えば、スピンコートによりNCSを塗布して形成されたSiCOH膜であり、例えば厚さ200nmである。   Reference is made to FIG. 1G. A mask film 5 is formed on the gate electrode film 3 so as to cover the patterned mask film 4 (covering the upper and side portions of each portion of the mask film 4). For example, the mask film 5 is formed of the same material as the mask film 4. The mask film 5 is a SiCOH film formed by applying NCS by spin coating, for example, and has a thickness of 200 nm, for example.

図1Hを参照する。マスク膜5上に、例えば、CVDにより厚さ30nmのSiO膜6を堆積する。 Refer to FIG. 1H. On the mask film 5, an SiO 2 film 6 having a thickness of 30 nm is deposited by CVD, for example.

図1Iを参照する。マスク膜5上に、塗布により、有機材料の反射防止膜BARK2を形成する。反射防止膜BARK2上に、塗布により、フォトレジスト膜を形成する。フォトレジスト膜に、フォトリソグラフィーによりパターンを転写して、レジストパターンRP2を形成する。   Reference is made to FIG. An organic material antireflection film BARK2 is formed on the mask film 5 by coating. A photoresist film is formed on the antireflection film BARK2 by coating. A pattern is transferred to the photoresist film by photolithography to form a resist pattern RP2.

レジストパターンRP2は、ゲート電極の長さ方向(Y方向)に関するパターニングを行うマスクを形成するためのものである。図1IPに示すように、レジストパターンRP2は、ゲート電極の幅方向(X方向)に細長い開口部OP2を有する。各ゲート電極が、所望のSRAM回路が形成されるように、開口部OP2を挟んでY方向に区分される。   The resist pattern RP2 is for forming a mask for patterning in the length direction (Y direction) of the gate electrode. As shown in FIG. 1IP, the resist pattern RP2 has an opening OP2 that is elongated in the width direction (X direction) of the gate electrode. Each gate electrode is divided in the Y direction across the opening OP2 so that a desired SRAM circuit is formed.

図1Jを参照する。レジストパターンRP2をマスクとして、変質層4aの上面が露出する深さまでエッチングを行う。まず、レジストパターンRP2をマスクとして、反射防止膜BARK2をエッチングし、そして、レジストパターンRP2と反射防止膜BARK2をマスクとして、SiO膜6をエッチングする。さらに、変質層4aの上面が露出する深さまで、マスク膜5をエッチングする。 Reference is made to FIG. 1J. Using the resist pattern RP2 as a mask, etching is performed to a depth at which the upper surface of the altered layer 4a is exposed. First, the antireflection film BARK2 is etched using the resist pattern RP2 as a mask, and the SiO 2 film 6 is etched using the resist pattern RP2 and the antireflection film BARK2 as a mask. Further, the mask film 5 is etched to a depth at which the upper surface of the altered layer 4a is exposed.

SiO膜6及びマスク膜5のエッチングは、例えば、平行平板型のドライエッチング装置を用い、真空チャンバー内で行う。エッチング時の基板温度は、例えば25℃程度とする。SiO膜6のエッチングは、例えば、下記のSiOのエッチング条件で行う。マスク膜5のエッチングは、例えば、下記のSiCOHのエッチング条件で行う。 Etching of the SiO 2 film 6 and the mask film 5 is performed in a vacuum chamber using, for example, a parallel plate type dry etching apparatus. The substrate temperature during etching is, for example, about 25 ° C. Etching of the SiO 2 film 6 is performed, for example, under the following SiO 2 etching conditions. Etching of the mask film 5 is performed, for example, under the following SiCOH etching conditions.

本実施例で、マスク膜4やマスク膜5の材料はSiCOHであり、マスク膜4の変質層4aや後述のマスク膜5の変質層5a、及びSiO膜6の材料はSiOである。SiCOH及びSiOの相互の選択的なエッチングは、例えば、以下のような条件で行うことができる。 In this embodiment, the material of the mask film 4 and the mask film 5 is SiCOH, and the material of the altered layer 4a of the mask film 4, the altered layer 5a of the mask film 5 described later, and the SiO 2 film 6 is SiO 2 . For example, the selective etching of SiCOH and SiO 2 can be performed under the following conditions.

SiOのエッチングは、エッチングガスとして、例えば、C、O、及びArを用いることができる。具体的には、C、O、Arの順に、ガス比を、5〜30、5〜100、0〜1000で組合せ、混合ガスの総流量を200sccm〜1000sccmの範囲とする。チャンバー内の圧力は、例えば、10mTorr〜300mTorrとする。印加する高周波電力の、周波数は例えば13.56MHzとし、大きさは例えば100W〜1500W程度とする。なお、エッチング処理中にエッチングガスを他の組合せの混合ガスに切り替えることも可能である。 For etching SiO 2 , for example, C 4 F 6 , O 2 , and Ar can be used as an etching gas. Specifically, the gas ratios are combined in the order of C 4 F 6 , O 2 , Ar in the order of 5-30, 5-100, 0-1000, and the total flow rate of the mixed gas is in the range of 200 sccm to 1000 sccm. The pressure in the chamber is, for example, 10 mTorr to 300 mTorr. The frequency of the high frequency power to be applied is, for example, 13.56 MHz, and the magnitude is, for example, about 100 W to 1500 W. It is also possible to switch the etching gas to another combination of mixed gases during the etching process.

SiOCHのエッチングは、図1Eを参照して説明したマスク膜4のエッチング条件のように、エッチングガスとして、例えば、CF、CHF、及びArを用いることができる。具体的には、CF、CHF、Arの順に、ガス比を、50〜300、10〜100、0〜1000で組合せ、混合ガスの総流量を200sccm〜1000sccmの範囲とする。チャンバー内の圧力は、例えば、10mTorr〜300mTorrとする。印加する高周波電力の、周波数は例えば13.56MHzとし、大きさは例えば100W〜1000W程度とする。なお、エッチング処理中にエッチングガスを他の組合せの混合ガスに切り替えることも可能である。 For the etching of SiOCH, for example, CF 4 , CHF 3 , and Ar can be used as the etching gas as in the etching conditions of the mask film 4 described with reference to FIG. 1E. Specifically, the gas ratios are combined in the order of CF 4 , CHF 3 , and Ar in the order of 50 to 300, 10 to 100, and 0 to 1000, and the total flow rate of the mixed gas is set to a range of 200 sccm to 1000 sccm. The pressure in the chamber is, for example, 10 mTorr to 300 mTorr. The frequency of the high frequency power to be applied is, for example, 13.56 MHz, and the magnitude is, for example, about 100 W to 1000 W. It is also possible to switch the etching gas to another combination of mixed gases during the etching process.

図1Kを参照する。アッシングにより、レジストパターンRP2と反射防止膜BARK2を除去する。このアッシングに伴い、図1Jを参照して説明したエッチングで形成された凹部RC内に露出したマスク膜5の表面がSiOに変質して、変質層5aが形成される。 Reference is made to FIG. 1K. The resist pattern RP2 and the antireflection film BARK2 are removed by ashing. Along with this ashing, the surface of the mask film 5 exposed in the recess RC formed by the etching described with reference to FIG. 1J is transformed into SiO 2 , and the altered layer 5a is formed.

図1Lを参照する。全面のSiOのエッチングを行う。このSiOのエッチングは、例えば、上述のSiOのエッチング条件で行う。凹部RCの外側では、SiO膜6が除去されて、SiO膜6の下のマスク膜5が露出する(図1LX、図1LY1参照)。凹部RCの底では、マスク膜4上面の変質層4aが除去されて非変質部分4bが露出するとともに(図1LY2参照)、マスク膜5の変質層5aが除去されてマスク膜5の非変質部分が露出する(図1LY3参照)。なお、凹部RCの側面では、ドライエッチングの異方性により、マスク膜5の変質層5aが残る。 Reference is made to FIG. 1L. Etch SiO 2 on the entire surface. This SiO 2 etching is performed, for example, under the above-described SiO 2 etching conditions. Outside the recess RC, the SiO 2 film 6 is removed, and the mask film 5 under the SiO 2 film 6 is exposed (see FIGS. 1LX and 1LY1). At the bottom of the recess RC, the altered layer 4a on the upper surface of the mask film 4 is removed to expose the non-altered portion 4b (see FIG. 1LY2), and the altered layer 5a of the mask film 5 is removed to remove the unaltered portion of the mask film 5. Is exposed (see FIG. 1LY3). Note that the altered layer 5a of the mask film 5 remains on the side surface of the recess RC due to the anisotropy of dry etching.

図1Mを参照する。全面のSiCOHのエッチングを行う。このSiCOHのエッチングは、例えば、上述のSiCOHのエッチング条件で行う。マスク膜4側部に形成されたマスク膜5を残存させつつ、マスク膜4上部に形成されたマスク膜5を除去する。   Reference is made to FIG. 1M. Etch SiCOH on the entire surface. This SiCOH etching is performed, for example, under the above-described SiCOH etching conditions. The mask film 5 formed on the mask film 4 is removed while leaving the mask film 5 formed on the side of the mask film 4 remaining.

凹部RCの外側では、マスク膜4上部のマスク膜5が除去されて、マスク膜4上面の変質層4aが露出する(図1MX、図1MY1参照)。パターニングされたマスク膜4の各部分の間隙に(マスク膜4の側部に)残されるマスク膜5bの高さが、マスク膜4の非変質部分4bの高さと揃う深さまで、マスク膜5がエッチングされる(図1MX参照)。   Outside the recess RC, the mask film 5 on the mask film 4 is removed, and the altered layer 4a on the upper surface of the mask film 4 is exposed (see FIGS. 1MX and 1MY1). The mask film 5 is so deep that the height of the mask film 5b remaining in the gaps between the portions of the patterned mask film 4 (on the sides of the mask film 4) is aligned with the height of the non-altered portion 4b of the mask film 4. Etched (see FIG. 1 MX).

凹部RCの内側では、底に露出した、マスク膜4の非変質部分4bが除去されるとともに(図1MY2参照)、マスク膜5が除去されて(図1MY3参照)、ゲート電極膜3が露出する。   Inside the recess RC, the non-altered portion 4b of the mask film 4 exposed at the bottom is removed (see FIG. 1MY2), the mask film 5 is removed (see FIG. 1MY3), and the gate electrode film 3 is exposed. .

図1Nを参照する。全面のSiOのエッチングを行う。このSiOのエッチングは、例えば、フッ酸によるウエットエッチング、もしくは、上述のエッチング条件のドライエッチングで行う。なお、フッ酸によるウエットエッチングでも、例えば濃度0.5%のフッ酸を用いた場合に、SiOCHに対するSiOの選択比が5程度の、選択的なエッチングができる。 Reference is made to FIG. Etch SiO 2 on the entire surface. This SiO 2 etching is performed by, for example, wet etching with hydrofluoric acid or dry etching under the above-described etching conditions. Note that even wet etching with hydrofluoric acid enables selective etching with a SiO 2 selectivity ratio of about 5 when SiO 2 having a concentration of 0.5% is used.

このエッチングにより、マスク膜4の上部及び側部の変質層4a、及びマスク膜5の変質層5aがすべて除去される。そして、ゲート電極膜3上に、マスク膜4の非変質部分4bによるマスクパターンと、パターニングされたマスク膜4の各部分の間隙に形成されたマスク膜5bによるマスクパターンとが残される。   By this etching, the altered layer 4a on the upper and side portions of the mask film 4 and the altered layer 5a of the mask film 5 are all removed. Then, on the gate electrode film 3, the mask pattern formed by the non-modified portion 4 b of the mask film 4 and the mask pattern formed by the mask film 5 b formed in the gap between the portions of the patterned mask film 4 are left.

図1NXに示すように、ゲート電極幅方向について、マスク4bとマスク5bとが交互に並んだパターンが形成される。   As shown in FIG. 1NX, a pattern in which masks 4b and 5b are alternately arranged in the gate electrode width direction is formed.

図1Fを参照して説明したように、レジストパターンRP1に基づいてパターニングされたマスク膜4の各部分で、側面を変質させることにより、側部の変質層4aの内側に、非変質層のマスク4bを形成することができる。   As described with reference to FIG. 1F, the mask of the non-altered layer is formed on the inner side of the side altered layer 4a by altering the side surface of each part of the mask film 4 patterned based on the resist pattern RP1. 4b can be formed.

そして、図1Gを参照して説明したように、パターニングされたマスク膜4を覆ってマスク膜5を形成することにより、マスク膜4の各部分の間隙にマスク5bを形成することができる。   Then, as described with reference to FIG. 1G, by forming the mask film 5 so as to cover the patterned mask film 4, the mask 5 b can be formed in the gaps between the portions of the mask film 4.

隣り合うマスク4bとマスク5bとの間隙の幅が、マスク膜4側部の変質層4aの厚さと等しくなる。つまり、マスク膜4側部の変質層4aは、隣り合うマスク4bとマスク5bとの間隙幅を決めるスペーサとして機能する。   The width of the gap between the adjacent masks 4b and 5b is equal to the thickness of the altered layer 4a on the side of the mask film 4. That is, the altered layer 4a on the side of the mask film 4 functions as a spacer that determines the gap width between the adjacent mask 4b and the mask 5b.

このようにして、ゲート電極の幅方向のパターニングを行うマスク4b及び5bを形成することができる。   In this manner, masks 4b and 5b for patterning in the width direction of the gate electrode can be formed.

本実施例によれば、例えば、レジストパターンRP1による一度のパターニングに基づき、ゲート電極の幅方向のパターニングができたり、レジストパターンRP1のピッチを最終的に出来上がるマスク4b及び5bによるパターンのピッチの倍に太くできたりする(リソグラフィー工程で形成されるピッチを1/2に縮小した微細なマスクパターンが得られる)等、フォトリソグラフィー工程が容易になる。また例えば、マスク膜4側部の変質層の厚さを調整することにより、マスク4bとマスク5bとの間隙幅を容易に調整できたり、マスク4bの幅の細さを容易に調整できたりする等、マスクパターン形状を制御しやすい。   According to the present embodiment, for example, patterning in the width direction of the gate electrode can be performed on the basis of one-time patterning by the resist pattern RP1, or the pitch of the pattern by the masks 4b and 5b finally formed can be doubled. The photolithographic process can be facilitated such that the thickness can be made thicker (a fine mask pattern in which the pitch formed in the lithography process is reduced to ½) can be obtained. Further, for example, by adjusting the thickness of the altered layer on the side of the mask film 4, the gap width between the mask 4b and the mask 5b can be easily adjusted, or the narrowness of the width of the mask 4b can be easily adjusted. It is easy to control the mask pattern shape.

なお、マスク4b及び5bの一方が異素材の積層構造のマスクとなったりせず、マスク4b及び5bを同一材料で同一構造に形成することができるので、パターニング加工の均一性を高めることが容易である。   Note that one of the masks 4b and 5b does not become a mask of a laminated structure of different materials, and the masks 4b and 5b can be formed in the same structure with the same material, so that it is easy to improve the uniformity of patterning processing. It is.

図1NY2及び図1NY3に示すように、ゲート電極長さ方向について、マスク4bとマスク5bとは、それぞれ所望の位置で区分されている。   As shown in FIGS. 1NY2 and 1NY3, the mask 4b and the mask 5b are divided at desired positions in the gate electrode length direction.

図1Mを参照して説明したように、レジストパターンRP2に基づいて、マスク膜4及びマスク膜5の非変質部分を、ゲート電極長さ方向の所望の区分位置で除去することができる。このようにして、マスク4b及び5bに、ゲート電極の長さ方向のパターン形状を付与できる。   As described with reference to FIG. 1M, based on the resist pattern RP2, the unmodified portions of the mask film 4 and the mask film 5 can be removed at desired division positions in the gate electrode length direction. In this manner, the pattern shape in the length direction of the gate electrode can be given to the masks 4b and 5b.

なお、図1Jを参照して説明したSiO膜6及びマスク膜5のエッチングにより、レジストパターンRP2及び反射防止膜BARK2が全て除去しきれる場合は、図1Kを参照して説明したアッシングを行わなくてもよい。その場合は、凹部RC内面にマスク膜5の変質層5aが形成されないが、図1L〜図1Nの処理は、同様に行うことができる。 When the resist pattern RP2 and the antireflection film BARK2 can be completely removed by etching the SiO 2 film 6 and the mask film 5 described with reference to FIG. 1J, the ashing described with reference to FIG. 1K is not performed. May be. In that case, the deteriorated layer 5a of the mask film 5 is not formed on the inner surface of the recess RC, but the processes of FIGS. 1L to 1N can be performed in the same manner.

図1Oを参照する。マスク4b及びマスク5bによるパターンをマスクとして、ゲート電極膜3をエッチングする。   Refer to FIG. The gate electrode film 3 is etched using the pattern of the mask 4b and the mask 5b as a mask.

図1Pを参照する。図1Fを参照して説明した処理と同様な、酸素を含むガスによるプラズマ処理により、マスク4b及びマスク5bを変質させて、変質マスク4a及び変質マスク5aを形成する。マスク4b及びマスク5bの全部分が変質するように、プラズマ処理時間が設定される。   Reference is made to FIG. 1P. Similar to the process described with reference to FIG. 1F, the mask 4b and the mask 5b are altered by plasma treatment using a gas containing oxygen to form the altered mask 4a and the altered mask 5a. The plasma processing time is set so that all parts of the mask 4b and the mask 5b are altered.

図1Qを参照する。全面のSiOを、例えばフッ酸によるウエットエッチングで除去する。これにより、変質マスク4a及び変質マスク5aと、ゲート電極3の外側に露出したゲート絶縁膜2とが除去される。このようにして、ゲート電極3が形成される。マスク4b及びマスク5bは、変質させることにより、余分なゲート絶縁膜2と同時に、ウエットエッチングで除去できる。 Reference is made to FIG. 1Q. The entire surface of SiO 2 is removed by wet etching with hydrofluoric acid, for example. Thereby, the alteration mask 4a and alteration mask 5a and the gate insulating film 2 exposed outside the gate electrode 3 are removed. In this way, the gate electrode 3 is formed. The mask 4b and the mask 5b can be removed by wet etching simultaneously with the extra gate insulating film 2 by being altered.

その後は、公知技術を適宜用い、n型ウェルnwにp型不純物を導入してp型MOSトランジスタを形成し、p型ウェルpwにn型不純物を導入してn型MOSトランジスタを形成し、さらに、上方の配線構造を形成することができる。このようにして、第1実施例の半導体装置が形成される。   Thereafter, using a known technique, a p-type impurity is introduced into the n-type well nw to form a p-type MOS transistor, an n-type impurity is introduced into the p-type well pw to form an n-type MOS transistor, and An upper wiring structure can be formed. In this way, the semiconductor device of the first embodiment is formed.

次に、第2実施例の半導体装置の製造方法について説明する。第1実施例では、図1Fを参照して説明したように、レジストパターンRP1及び反射防止膜BARK1を除去するアッシングを流用して、マスク膜4側部を変質層4aに変換する処理を行った。第2実施例では、以下に説明するように、レジストパターンRP1及び反射防止膜BARK1の除去処理と、マスク膜4側部を変質層4aに変換する処理とを独立に行う。   Next, a method for manufacturing the semiconductor device of the second embodiment will be described. In the first embodiment, as described with reference to FIG. 1F, ashing for removing the resist pattern RP1 and the antireflection film BARK1 is used to convert the side of the mask film 4 into the altered layer 4a. . In the second embodiment, as will be described below, the removal process of the resist pattern RP1 and the antireflection film BARK1 and the process of converting the side portion of the mask film 4 into the altered layer 4a are performed independently.

まず、第1実施例の図1Cを参照して説明した工程までと同様にして、ゲート電極膜3上のマスク膜4までを形成する。なお、第2実施例において、第1実施例との対応関係が明確な部材や構造に対しては、第1実施例での参照符号を流用する。   First, up to the mask film 4 on the gate electrode film 3 is formed in the same manner as the process described with reference to FIG. 1C of the first embodiment. In the second embodiment, the reference numerals in the first embodiment are used for members and structures that have a clear correspondence with the first embodiment.

図2Aを参照する。マスク膜4上に、例えば、CVDにより厚さ10nm〜30nmのSiO膜14を堆積する。SiO膜14上に、塗布により、有機材料の反射防止膜BARK1を形成する。反射防止膜BARK1上に、塗布により、フォトレジスト膜を形成する。フォトレジスト膜に、フォトリソグラフィーによりパターンを転写して、レジストパターンRP1を形成する。マスク膜4と、反射防止膜BARK1との間に、SiO膜14を挟むことが、第1実施例と異なる。 Refer to FIG. 2A. An SiO 2 film 14 having a thickness of 10 nm to 30 nm is deposited on the mask film 4 by, for example, CVD. On the SiO 2 film 14, an antireflection film BARK1 made of an organic material is formed by coating. A photoresist film is formed on the antireflection film BARK1 by coating. A pattern is transferred to the photoresist film by photolithography to form a resist pattern RP1. Unlike the first embodiment, the SiO 2 film 14 is sandwiched between the mask film 4 and the antireflection film BARK1.

図2Bを参照する。レジストパターンRP1をマスクとして、反射防止膜BARK1をエッチングし、さらに、レジストパターンRP1と反射防止膜BARK1をマスクとして、SiO膜14を、途中の厚さまでエッチングする。このエッチングは、例えば、上述のSiOのエッチング条件で行う。 Refer to FIG. 2B. The antireflection film BARK1 is etched using the resist pattern RP1 as a mask, and further, the SiO 2 film 14 is etched to an intermediate thickness using the resist pattern RP1 and the antireflection film BARK1 as a mask. This etching is performed, for example, under the above-described SiO 2 etching conditions.

図2Cを参照する。アッシングにより、レジストパターンRP1及び反射防止膜BARK1を除去する。マスク膜4は、まだSiO膜14で覆われているので、このアッシングでは、マスク膜4に変質層が生じない。 Refer to FIG. 2C. The resist pattern RP1 and the antireflection film BARK1 are removed by ashing. Since the mask film 4 is still covered with the SiO 2 film 14, no alteration layer is generated in the mask film 4 by this ashing.

図2Dを参照する。全面のSiOのエッチングを行って、図2Bを参照して説明したSiO膜14の途中までの厚さのエッチングを、全厚さまで進め、レジストパターンRP1の開口部に対応する凹部の底に、マスク膜4を露出させる。このエッチングは、例えば、上述のSiOのエッチング条件で行う。 Reference is made to FIG. 2D. Etching of SiO 2 on the entire surface is performed to the thickness of the SiO 2 film 14 described with reference to FIG. 2B until the entire thickness is reached, and at the bottom of the recess corresponding to the opening of the resist pattern RP1. Then, the mask film 4 is exposed. This etching is performed, for example, under the above-described SiO 2 etching conditions.

図2Eを参照する。SiO膜14をマスクとして、マスク膜4を、ゲート電極膜3が露出するまでエッチングする。このエッチングは、例えば、上述のSiCOHのエッチング条件で行う。 Refer to FIG. 2E. Using the SiO 2 film 14 as a mask, the mask film 4 is etched until the gate electrode film 3 is exposed. This etching is performed, for example, under the above-described SiCOH etching conditions.

図2Fを参照する。マスク膜4上にSiO膜14が形成された状態で、酸素を含むガスによるプラズマ処理を行って、マスク膜4を変質させる。これにより、マスク膜4上面側は変質せずに、マスク膜4側面側が変質して、マスク膜4側部が変質層4aに変換される。プラズマ処理条件は、例えば、第1実施例の図1Fを参照して説明した条件と同様である。変質層4aが所望の厚さとなるように、つまり、非変質部分4bが所望の幅となるように、プラズマ処理時間が設定される。 Refer to FIG. 2F. In a state where the SiO 2 film 14 is formed on the mask film 4, plasma processing using a gas containing oxygen is performed to alter the mask film 4. Thereby, the upper surface side of the mask film 4 is not altered, the side surface side of the mask film 4 is altered, and the side portion of the mask film 4 is converted into the altered layer 4a. The plasma processing conditions are the same as those described with reference to FIG. 1F of the first embodiment, for example. The plasma processing time is set so that the altered layer 4a has a desired thickness, that is, the non-altered portion 4b has a desired width.

第2実施例では、マスク膜4上部は、SiO膜14でカバーされて変質しない。従って、マスク4bの高さを、成膜時のマスク膜4の厚さで決めることができる。マスク膜4上部の変質層の厚さを制御する必要がない。 In the second embodiment, the upper portion of the mask film 4 is covered with the SiO 2 film 14 and is not altered. Therefore, the height of the mask 4b can be determined by the thickness of the mask film 4 at the time of film formation. It is not necessary to control the thickness of the altered layer on the mask film 4.

SiO膜14は、マスク膜4の変質層と同様に材料がSiOであるので、第1実施例でマスク膜4上面に形成された変質層4aと同様に機能する。 SiO 2 film 14, since the material similar to the altered layer of the mask film 4 is a SiO 2, functions similar to the altered layer 4a formed in the mask film 4 top in the first embodiment.

第2実施例のその後の工程は、第1実施例でマスク膜4上面に形成された変質層4aをSiO膜14と読み替えて、第1実施例の図1G以下の工程と同様に行うことができる。このようにして、第2実施例の半導体装置が形成される。 Subsequent steps of the second embodiment are performed in the same manner as the steps after FIG. 1G of the first embodiment by replacing the altered layer 4a formed on the upper surface of the mask film 4 with the SiO 2 film 14 in the first embodiment. Can do. In this way, the semiconductor device of the second embodiment is formed.

なお、第1実施例で図1Mを参照して説明した工程に対応する、全面のSiCOHのエッチング工程では、パターニングされたマスク膜4の各部分の間に残されるマスク膜5bの高さが、成膜時のマスク膜4の高さと揃えられる。   In the entire SiCOH etching process corresponding to the process described with reference to FIG. 1M in the first embodiment, the height of the mask film 5b left between the portions of the patterned mask film 4 is It is aligned with the height of the mask film 4 at the time of film formation.

なお、第1実施例で図1Nを参照して説明した工程に対応する、全面のSiOのエッチング工程では、マスク膜4側部の変質層4aとともに、マスク膜4上に形成されたカバー膜14も除去される。 In the entire SiO 2 etching process corresponding to the process described with reference to FIG. 1N in the first embodiment, the cover film formed on the mask film 4 together with the altered layer 4a on the side of the mask film 4 is used. 14 is also removed.

以上、第1及び第2実施例で説明したように、パターニングされたマスク膜4の側部を反応性のプラズマ処理により変質層4aに変換し、変質層4aの内側に非変質部分4bを残し、変質層4aの外側にマスク膜5bを形成し、変質層4aを除去することにより、マスク膜4の非変質部分4bとマスク膜5bとが変質層形成領域を挟んで並んだマスクパターンを形成することができる。   As described above, as described in the first and second embodiments, the side portion of the patterned mask film 4 is converted into the deteriorated layer 4a by the reactive plasma treatment, and the unmodified portion 4b is left inside the deteriorated layer 4a. The mask film 5b is formed outside the altered layer 4a, and the altered layer 4a is removed, thereby forming a mask pattern in which the non-altered portion 4b of the mask film 4 and the mask film 5b are arranged with the altered layer forming region in between. can do.

第1及び第2実施例では、シリコンを含む材料で形成されたマスク膜4の側部を、酸素を含むガスによるプラズマ処理で酸化シリコンに変換して、変質層4aを形成した。マスク膜4の材料例として、塗布で成膜できるSiCOHを挙げたが、マスク膜4の材料は、塗布で成膜できるSiCOHに限定されない。   In the first and second embodiments, the altered layer 4a is formed by converting the side portion of the mask film 4 formed of a material containing silicon into silicon oxide by plasma treatment using a gas containing oxygen. As an example of the material of the mask film 4, SiCOH that can be formed by application has been described, but the material of the mask film 4 is not limited to SiCOH that can be formed by application.

例えば、低誘電率材料として、CVDで成膜できるポーラスなSiCOHやSiOCやSiCが多種開発されており、これらの中には例えば酸素プラズマ処理により変質層を形成するものがあるため、マスク膜4の材料として用いることができよう。変質層の膜厚を制御するプラズマ処理条件等は、実験的に見つけることができよう。なお、マスク膜4の材料として、多結晶Siを用いることができる可能性もあると思われる。   For example, various types of porous SiCOH, SiOC, and SiC that can be formed by CVD have been developed as low dielectric constant materials, and some of them form an altered layer by, for example, oxygen plasma treatment. It can be used as a material. Plasma processing conditions for controlling the thickness of the altered layer can be found experimentally. In addition, it seems that there is a possibility that polycrystalline Si can be used as the material of the mask film 4.

なお、マスク5bをマスク4bと同一材料とすること、マスク5bをマスク4bと等しい高さとすることは、マスク5bを形成する観点では必須でない。ただし、マスク4bとマスク5bとが同一材料で高さが揃っていることは、マスク4b及び5bによるひとまとまりのマスクパターンを用いたパターニング加工の均一性を高めるという観点から好ましい。   Note that it is not essential from the viewpoint of forming the mask 5b that the mask 5b is made of the same material as the mask 4b and that the mask 5b has the same height as the mask 4b. However, it is preferable that the mask 4b and the mask 5b are made of the same material and have the same height from the viewpoint of improving the uniformity of the patterning process using a group of mask patterns by the masks 4b and 5b.

なお、マスク膜5が変質層に変換されることは、必須ではない。なお、第1実施例において、図1Jを参照して説明したSiO膜6及びマスク膜5のエッチングにより、レジストパターンRP2及び反射防止膜BARK2が全て除去しきれる場合は、図1Kを参照して説明したアッシングを行わなくてもよい、という例を説明したが、この場合は、マスク膜5の変質層5aが形成されない。 In addition, it is not essential that the mask film 5 is converted into an altered layer. In the first embodiment, when the resist pattern RP2 and the antireflection film BARK2 can be completely removed by etching the SiO 2 film 6 and the mask film 5 described with reference to FIG. 1J, refer to FIG. 1K. Although an example in which the ashing described above is not necessary has been described, in this case, the altered layer 5a of the mask film 5 is not formed.

なお、レジストパターンRP1をマスクとしたエッチング、及び、レジストパターンRP2をマスクとしたエッチングにおいて、それぞれ、反射防止膜BARK1、BARK2を用いる実施例を説明したが、反射防止膜は、エッチングに必須というわけではない。   In addition, in the etching using the resist pattern RP1 as a mask and the etching using the resist pattern RP2 as a mask, the examples using the antireflection films BARK1 and BARK2, respectively, have been described, but the antireflection film is essential for etching. is not.

以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば、種々の変更、改良、組み合わせ等が可能なことは当業者に自明であろう。   Although the present invention has been described with reference to the embodiments, the present invention is not limited thereto. It will be apparent to those skilled in the art that various modifications, improvements, combinations, and the like can be made.

以上説明した第1及び第2実施例を含む実施形態に関し、さらに以下の付記を開示する。
(付記1)
半導体基板上方に、第1の膜を形成する工程と、
前記第1の膜上方に、第1マスク膜を形成する工程と、
前記第1マスク膜をパターニングする工程と、
パターニングされた前記第1マスク膜の側部にプラズマ処理を行って、前記側部を変質層に変換する工程と、
前記プラズマ処理の後、前記第1マスク膜の上部及び前記側部を覆う第2マスク膜を形成する工程と、
前記第2マスク膜をエッチングして、前記側部に形成された前記第2マスク膜を残存させつつ、前記第1マスク膜上部に形成された前記第2マスク膜を除去する工程と、
前記第2マスク膜のエッチングの後、前記変質層を除去する工程と、
前記変質層を除去した後、残った部分の前記第1マスク膜、及び前記第2マスク膜をマスクとして、前記第1の膜をエッチングする工程と
を有する半導体装置の製造方法。
(付記2)
前記第1マスク膜は、シリコンを含む材料で形成され、前記プラズマ処理の工程においては、酸素を含むガスによるプラズマ処理を行って、前記シリコンを含む材料を酸化シリコンに変換する付記1に記載の半導体装置の製造方法。
(付記3)
前記第1マスク膜は、ポーラスな膜である付記2に記載の半導体装置の製造方法。
(付記4)
前記第1マスク膜は、SiCOH、SiOC、またはSiCで形成される付記2または3に記載の半導体装置の製造方法。
(付記5)
前記第1マスク膜をパターニングする工程は、前記第1マスク膜上方にレジストパターンを形成し、前記レジストパターンをマスクとして前記第1マスク膜をエッチングする工程を含み、
前記プラズマ処理は、前記レジストパターンを除去するとともに、前記変質層を形成する付記2〜4のいずれか1つに記載の半導体装置の製造方法。
(付記6)
前記プラズマ処理により、前記第1マスク膜の前記側部を変質層に変換するとともに、前記第1マスク膜の上部も変質層に変換され、
前記変質層を除去する工程は、前記側部の前記変質層を除去するとともに、前記上部の前記変質層も除去する付記5に記載の半導体装置の製造方法。
(付記7)
前記第1マスク膜をパターニングする工程は、前記第1マスク膜上にカバー膜を形成し、前記カバー膜をパターニングし、前記カバー膜をマスクとして前記第1マスク膜をエッチングする工程を含み、
前記プラズマ処理は、前記カバー膜で前記第1マスク膜の上部を覆った状態で行われる付記1〜4のいずれか1つに記載の半導体装置の製造方法。
(付記8)
前記カバー膜は、前記変質層と同一材料で形成され、
前記変質層を除去する工程は、前記変質層を除去するとともに、前記カバー膜も除去する付記7に記載の半導体装置の製造方法。
(付記9)
前記第1マスク膜上にカバー膜を形成し、前記カバー膜をパターニングし、前記カバー膜をマスクとして前記第1マスク膜をエッチングする工程は、
前記カバー膜上方にレジストパターンを形成し、前記レジストパターンをマスクとして前記カバー膜を途中の厚さまでエッチングする工程と、
前記カバー膜が途中の厚さまでエッチングされた状態で、酸素を含むガスによるプラズマ処理により、前記レジストパターンを除去する工程と、
前記レジストパターンの除去の後、前記カバー膜のエッチングをさらに進め、前記レジストパターンに基づいて形成された凹部の底に前記第1マスク膜を露出させ、そして、前記カバー膜をマスクとして前記第1マスク膜をエッチングする工程と
を含む、付記2〜4のいずれか1つに従属する付記7、または、そのような付記7に従属する付記8に記載の半導体装置の製造方法。
(付記10)
前記第1マスク膜と前記第2マスク膜とが、同一材料である付記1〜9のいずれか1つに記載の半導体装置の製造方法。
(付記11)
前記第2マスク膜を除去する工程は、残った部分の前記第2マスク膜の高さが、前記変質層を除去する工程で残される部分の前記第1マスク膜の高さと揃うように、前記第2マスク膜を除去する付記10に記載の半導体装置の製造方法。
(付記12)
前記第1の膜は、導電膜であり、前記第1の膜をエッチングする工程は、前記導電膜をパターニングしてMOSトランジスタのゲート電極を形成する付記1〜11のいずれか1つに記載の半導体装置の製造方法。
(付記13)
前記第1マスク膜と前記第2マスク膜とが、同一材料であり、
さらに、
前記半導体基板上に、酸化シリコンにより、ゲート絶縁膜を形成する工程を有し、
前記第1の膜を形成する工程は、前記ゲート絶縁膜上方に、導電材料で前記第1の膜を形成し、
前記第1の膜をエッチングする工程は、前記第1の膜をパターニングしてゲート電極を形成し、
さらに、
前記第1の膜をエッチングした後、前記第1マスク膜及び前記第2マスク膜の全部分を酸化シリコンに変質させて、変質させた前記第1マスク膜及び前記第2マスク膜を除去するとともに、前記ゲート電極の外側の前記ゲート絶縁膜も除去する工程を有する、付記2〜4のいずれか1つに記載の半導体装置の製造方法。
(付記14)
変質させた前記第1マスク膜及び前記第2マスク膜を除去するとともに、前記ゲート電極の外側の前記ゲート絶縁膜も除去する工程は、ウエットエッチングで前記第1マスク膜、前記第2マスク膜、及び前記ゲート絶縁膜を除去する付記13に記載の半導体装置の製造方法。
(付記15)
半導体基板上方に、第1の膜を形成する工程と、
前記第1の膜上方に、第1マスク膜を形成する工程と、
前記第1マスク膜を、間隙を隔てて並ぶ複数の部分にパターニングする工程と、
パターニングされた前記第1マスク膜の、各部分の側面にプラズマ処理を行って、前記各部分の側面に変質層を形成する工程と、
前記変質層を形成した後、前記第1マスク膜を覆う第2マスク膜を形成する工程と、
前記第2マスク膜をエッチングして、前記第1マスク膜上方部分の前記第2マスク膜を除去し、前記間隙に前記第2マスク膜を残す工程と、
前記第2マスク膜のエッチングの後、前記変質層を除去する工程と、
前記各部分で前記変質層の除去後に残った部分の前記第1マスク膜、及び、前記間隙に残された部分の前記第2マスク膜をマスクとして、前記第1の膜をエッチングする工程と
を有する半導体装置の製造方法。
(付記16)
前記間隙は第1の幅を持ち、前記第1の膜をエッチングする工程において、前記間隙に残された部分の前記第2マスク膜が前記第1の幅を持つとともに、
前記第1の膜をエッチングする工程において、前記各部分で前記変質層の除去後に残った部分の前記第1マスク膜が前記第1の幅を持つ付記15に記載の半導体装置の製造方法。
(付記17)
前記第1マスク膜は、シリコンを含む材料で形成され、前記変質層を形成する工程は、前記プラズマ処理として酸素を含むガスによるプラズマ処理を行って、前記変質層として酸化シリコン膜を形成し、
前記変質層を形成する工程は、前記各部分で前記変質層の除去後に残った部分の前記第1マスク膜が前記第1の幅を持つように、前記酸素を含むガスによるプラズマ処理の処理時間が選択されている付記16に記載の半導体装置の製造方法。
The following additional notes are further disclosed regarding the embodiment including the first and second examples described above.
(Appendix 1)
Forming a first film over the semiconductor substrate;
Forming a first mask film above the first film;
Patterning the first mask film;
Performing a plasma treatment on a side portion of the patterned first mask film to convert the side portion into a deteriorated layer;
After the plasma treatment, forming a second mask film covering the upper part and the side part of the first mask film;
Etching the second mask film to remove the second mask film formed on the first mask film while leaving the second mask film formed on the side portion;
Removing the altered layer after etching the second mask film;
And a step of etching the first film using the remaining portions of the first mask film and the second mask film as a mask after removing the deteriorated layer.
(Appendix 2)
The first mask film is formed of a material containing silicon, and in the plasma treatment step, the material containing silicon is converted into silicon oxide by performing a plasma treatment using a gas containing oxygen. A method for manufacturing a semiconductor device.
(Appendix 3)
The method for manufacturing a semiconductor device according to attachment 2, wherein the first mask film is a porous film.
(Appendix 4)
The semiconductor device manufacturing method according to appendix 2 or 3, wherein the first mask film is formed of SiCOH, SiOC, or SiC.
(Appendix 5)
The step of patterning the first mask film includes a step of forming a resist pattern above the first mask film and etching the first mask film using the resist pattern as a mask,
5. The method of manufacturing a semiconductor device according to any one of appendices 2 to 4, wherein the plasma treatment removes the resist pattern and forms the deteriorated layer.
(Appendix 6)
By the plasma treatment, the side portion of the first mask film is converted into an altered layer, and the upper portion of the first mask film is also transformed into an altered layer,
6. The method of manufacturing a semiconductor device according to appendix 5, wherein the step of removing the deteriorated layer includes removing the deteriorated layer on the side portion and removing the deteriorated layer on the upper portion.
(Appendix 7)
The step of patterning the first mask film includes a step of forming a cover film on the first mask film, patterning the cover film, and etching the first mask film using the cover film as a mask,
The method of manufacturing a semiconductor device according to any one of appendices 1 to 4, wherein the plasma treatment is performed in a state where the upper portion of the first mask film is covered with the cover film.
(Appendix 8)
The cover film is formed of the same material as the altered layer,
The method of manufacturing a semiconductor device according to appendix 7, wherein the step of removing the deteriorated layer removes the deteriorated layer and also removes the cover film.
(Appendix 9)
Forming a cover film on the first mask film, patterning the cover film, and etching the first mask film using the cover film as a mask;
Forming a resist pattern above the cover film, and etching the cover film to an intermediate thickness using the resist pattern as a mask;
A step of removing the resist pattern by a plasma treatment with a gas containing oxygen in a state where the cover film is etched to an intermediate thickness;
After the removal of the resist pattern, the cover film is further etched to expose the first mask film at the bottom of the recess formed based on the resist pattern, and the first mask film as a mask. A method of manufacturing a semiconductor device according to appendix 7 subordinate to any one of appendixes 2 to 4, or appendix 8 subordinate to appendix 7 including the step of etching the mask film.
(Appendix 10)
The method for manufacturing a semiconductor device according to any one of appendices 1 to 9, wherein the first mask film and the second mask film are made of the same material.
(Appendix 11)
In the step of removing the second mask film, the height of the second mask film in the remaining portion is aligned with the height of the first mask film in the portion left in the step of removing the altered layer. The method for manufacturing a semiconductor device according to attachment 10, wherein the second mask film is removed.
(Appendix 12)
The first film is a conductive film, and the step of etching the first film is performed by patterning the conductive film to form a gate electrode of a MOS transistor. A method for manufacturing a semiconductor device.
(Appendix 13)
The first mask film and the second mask film are made of the same material,
further,
Forming a gate insulating film on the semiconductor substrate with silicon oxide;
The step of forming the first film includes forming the first film with a conductive material above the gate insulating film,
The step of etching the first film includes patterning the first film to form a gate electrode,
further,
After etching the first film, the entire portions of the first mask film and the second mask film are transformed into silicon oxide, and the altered first mask film and second mask film are removed. The method for manufacturing a semiconductor device according to any one of appendices 2 to 4, further comprising a step of removing the gate insulating film outside the gate electrode.
(Appendix 14)
The steps of removing the altered first mask film and the second mask film and also removing the gate insulating film outside the gate electrode include the first mask film, the second mask film, 14. The method for manufacturing a semiconductor device according to attachment 13, wherein the gate insulating film is removed.
(Appendix 15)
Forming a first film over the semiconductor substrate;
Forming a first mask film above the first film;
Patterning the first mask film into a plurality of portions arranged with a gap between them;
Performing a plasma treatment on the side surface of each portion of the patterned first mask film to form a deteriorated layer on the side surface of each portion;
Forming a second mask film covering the first mask film after forming the altered layer;
Etching the second mask film to remove the second mask film above the first mask film and leaving the second mask film in the gap;
Removing the altered layer after etching the second mask film;
Etching the first film using the first mask film of the portion remaining after removal of the deteriorated layer in each portion and the second mask film of the portion remaining in the gap as a mask, and A method for manufacturing a semiconductor device.
(Appendix 16)
The gap has a first width, and in the step of etching the first film, a portion of the second mask film left in the gap has the first width,
16. The method of manufacturing a semiconductor device according to appendix 15, wherein, in the step of etching the first film, a portion of the first mask film remaining after removal of the deteriorated layer in each portion has the first width.
(Appendix 17)
The first mask film is formed of a material containing silicon, and the step of forming the altered layer includes performing a plasma treatment with a gas containing oxygen as the plasma treatment to form a silicon oxide film as the altered layer,
In the step of forming the deteriorated layer, the processing time of the plasma processing using the oxygen-containing gas is performed so that the first mask film of the portion remaining after the removal of the deteriorated layer in each portion has the first width. Item 18. The method for manufacturing a semiconductor device according to appendix 16, wherein:

1 半導体基板
nw n型ウェル
pw p型ウェル
2 ゲート絶縁膜
3 ゲート電極膜
4、5 マスク膜
4a、5a 変質層
4b、5b マスク(非変質層)
6、14 SiO
RP1、RP2 レジストパターン
BARK1、BARK2 反射防止膜
DESCRIPTION OF SYMBOLS 1 Semiconductor substrate nw n-type well pw p-type well 2 Gate insulating film 3 Gate electrode film 4, 5 Mask film 4a, 5a Altered layer 4b, 5b Mask (non-altered layer)
6, 14 SiO 2 film RP1, RP2 Resist pattern BARK1, BARK2 Antireflection film

Claims (10)

半導体基板上方に、第1の膜を形成する工程と、
前記第1の膜上方に、第1マスク膜を形成する工程と、
前記第1マスク膜をパターニングする工程と、
パターニングされた前記第1マスク膜の側部にプラズマ処理を行って、前記側部を変質層に変換する工程と、
前記プラズマ処理の後、前記第1マスク膜の上部及び前記側部を覆う第2マスク膜を形成する工程と、
前記第2マスク膜をエッチングして、前記側部に形成された前記第2マスク膜を残存させつつ、前記第1マスク膜上部に形成された前記第2マスク膜を除去する工程と、
前記第2マスク膜のエッチングの後、前記変質層を除去する工程と、
前記変質層を除去した後、残った部分の前記第1マスク膜、及び前記第2マスク膜をマスクとして、前記第1の膜をエッチングする工程と
を有する半導体装置の製造方法。
Forming a first film over the semiconductor substrate;
Forming a first mask film above the first film;
Patterning the first mask film;
Performing a plasma treatment on a side portion of the patterned first mask film to convert the side portion into a deteriorated layer;
After the plasma treatment, forming a second mask film covering the upper part and the side part of the first mask film;
Etching the second mask film to remove the second mask film formed on the first mask film while leaving the second mask film formed on the side portion;
Removing the altered layer after etching the second mask film;
And a step of etching the first film using the remaining portions of the first mask film and the second mask film as a mask after removing the deteriorated layer.
前記第1マスク膜は、シリコンを含む材料で形成され、前記プラズマ処理の工程においては、酸素を含むガスによるプラズマ処理を行って、前記シリコンを含む材料を酸化シリコンに変換する請求項1に記載の半導体装置の製造方法。   2. The first mask film is formed of a material containing silicon, and in the plasma treatment step, the material containing silicon is converted into silicon oxide by performing a plasma treatment using a gas containing oxygen. Semiconductor device manufacturing method. 前記第1マスク膜は、ポーラスな膜である請求項2に記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 2, wherein the first mask film is a porous film. 前記第1マスク膜は、SiCOH、SiOC、またはSiCで形成される請求項2または3に記載の半導体装置の製造方法。   4. The method of manufacturing a semiconductor device according to claim 2, wherein the first mask film is formed of SiCOH, SiOC, or SiC. 前記第1マスク膜をパターニングする工程は、前記第1マスク膜上方にレジストパターンを形成し、前記レジストパターンをマスクとして前記第1マスク膜をエッチングする工程を含み、
前記プラズマ処理は、前記レジストパターンを除去するとともに、前記変質層を形成する請求項2〜4のいずれか1項に記載の半導体装置の製造方法。
The step of patterning the first mask film includes a step of forming a resist pattern above the first mask film and etching the first mask film using the resist pattern as a mask,
The method for manufacturing a semiconductor device according to claim 2, wherein the plasma treatment removes the resist pattern and forms the altered layer.
前記プラズマ処理により、前記第1マスク膜の前記側部を変質層に変換するとともに、前記第1マスク膜の上部も変質層に変換され、
前記変質層を除去する工程は、前記側部の前記変質層を除去するとともに、前記上部の前記変質層も除去する請求項5に記載の半導体装置の製造方法。
By the plasma treatment, the side portion of the first mask film is converted into an altered layer, and the upper portion of the first mask film is also transformed into an altered layer,
6. The method of manufacturing a semiconductor device according to claim 5, wherein the step of removing the deteriorated layer includes removing the deteriorated layer on the side portion and removing the deteriorated layer on the upper portion.
前記第1マスク膜をパターニングする工程は、前記第1マスク膜上にカバー膜を形成し、前記カバー膜をパターニングし、前記カバー膜をマスクとして前記第1マスク膜をエッチングする工程を含み、
前記プラズマ処理は、前記カバー膜で前記第1マスク膜の上部を覆った状態で行われる請求項1〜4のいずれか1項に記載の半導体装置の製造方法。
The step of patterning the first mask film includes a step of forming a cover film on the first mask film, patterning the cover film, and etching the first mask film using the cover film as a mask,
5. The method of manufacturing a semiconductor device according to claim 1, wherein the plasma treatment is performed in a state where an upper portion of the first mask film is covered with the cover film.
前記カバー膜は、前記変質層と同一材料で形成され、
前記変質層を除去する工程は、前記変質層を除去するとともに、前記カバー膜も除去する請求項7に記載の半導体装置の製造方法。
The cover film is formed of the same material as the altered layer,
8. The method of manufacturing a semiconductor device according to claim 7, wherein the step of removing the deteriorated layer removes the deteriorated layer and also removes the cover film.
前記第1マスク膜上にカバー膜を形成し、前記カバー膜をパターニングし、前記カバー膜をマスクとして前記第1マスク膜をエッチングする工程は、
前記カバー膜上方にレジストパターンを形成し、前記レジストパターンをマスクとして前記カバー膜を途中の厚さまでエッチングする工程と、
前記カバー膜が途中の厚さまでエッチングされた状態で、酸素を含むガスによるプラズマ処理により、前記レジストパターンを除去する工程と、
前記レジストパターンの除去の後、前記カバー膜のエッチングをさらに進め、前記レジストパターンに基づいて形成された凹部の底に前記第1マスク膜を露出させ、そして、前記カバー膜をマスクとして前記第1マスク膜をエッチングする工程と
を含む、請求項2〜4のいずれか1項に従属する請求項7、または、そのような請求項7に従属する請求項8に記載の半導体装置の製造方法。
Forming a cover film on the first mask film, patterning the cover film, and etching the first mask film using the cover film as a mask;
Forming a resist pattern above the cover film, and etching the cover film to an intermediate thickness using the resist pattern as a mask;
A step of removing the resist pattern by a plasma treatment with a gas containing oxygen in a state where the cover film is etched to an intermediate thickness;
After the removal of the resist pattern, the cover film is further etched to expose the first mask film at the bottom of the recess formed based on the resist pattern, and the first mask film as a mask. The method of manufacturing a semiconductor device according to claim 7, which depends on any one of claims 2 to 4, or claim 8 which is dependent on such claim 7, comprising a step of etching a mask film.
前記第1マスク膜と前記第2マスク膜とが、同一材料である請求項1〜9のいずれか1項に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein the first mask film and the second mask film are made of the same material.
JP2010200981A 2010-09-08 2010-09-08 Manufacturing method of semiconductor device Expired - Fee Related JP5589692B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2010200981A JP5589692B2 (en) 2010-09-08 2010-09-08 Manufacturing method of semiconductor device
US13/158,892 US8536051B2 (en) 2010-09-08 2011-06-13 Manufacture method for semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010200981A JP5589692B2 (en) 2010-09-08 2010-09-08 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2012059877A true JP2012059877A (en) 2012-03-22
JP5589692B2 JP5589692B2 (en) 2014-09-17

Family

ID=45818123

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010200981A Expired - Fee Related JP5589692B2 (en) 2010-09-08 2010-09-08 Manufacturing method of semiconductor device

Country Status (2)

Country Link
US (1) US8536051B2 (en)
JP (1) JP5589692B2 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179064A (en) * 2001-12-10 2003-06-27 Sony Corp Method of forming wiring pattern
JP2009218574A (en) * 2008-02-15 2009-09-24 Tokyo Electron Ltd Method of forming pattern, and method and device for manufacturing semiconductor device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858270B2 (en) * 2007-05-21 2010-12-28 Tokyo Electron Limited Method for etching using a multi-layer mask
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR101057749B1 (en) * 2008-12-24 2011-08-19 매그나칩 반도체 유한회사 Deep trench isolation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179064A (en) * 2001-12-10 2003-06-27 Sony Corp Method of forming wiring pattern
JP2009218574A (en) * 2008-02-15 2009-09-24 Tokyo Electron Ltd Method of forming pattern, and method and device for manufacturing semiconductor device

Also Published As

Publication number Publication date
US20120070974A1 (en) 2012-03-22
US8536051B2 (en) 2013-09-17
JP5589692B2 (en) 2014-09-17

Similar Documents

Publication Publication Date Title
KR100995725B1 (en) Semiconductor device manufacturing method
KR100827435B1 (en) Method of fabricating gate with oxygen free ashing process in semiconductor device
US10825690B2 (en) Semiconductor structures
KR970011134B1 (en) Process for preferentially etching polycrystalline silicon
JP4815519B2 (en) Mask pattern forming method and semiconductor device manufacturing method
KR100791344B1 (en) Method of fabricating semiconductor integrated circuit device
US8574819B2 (en) Method for forming fine pattern
JP2008218999A (en) Method of manufacturing semiconductor device
US20120211873A1 (en) Method for forming a pattern and semiconductor device
KR101653149B1 (en) Method for fabricating contact hole in semiconductor device
JP5589692B2 (en) Manufacturing method of semiconductor device
JPH0955422A (en) Element isolation method of semiconductor element
KR20060094707A (en) Method for forming a pattern of semiconductor device
KR20070113604A (en) Method for forming micro pattern of semiconductor device
US7501679B2 (en) Flash memory device and method for fabricating the same
JP2001127039A (en) Manufacturing method of semiconductor device
KR20190068464A (en) Technique for multi-patterning substrates
TWI730821B (en) Multiple patterning method
US20220013360A1 (en) Method for forming self-aligned double pattern and semiconductor structures
TWI553739B (en) Method for fabricating an aperture
US5776816A (en) Nitride double etching for twin well align
JP3515213B2 (en) Method for manufacturing semiconductor device
JPH05136163A (en) Manufacture of field effect transistor
TWI518743B (en) Method for fabricating patterned structure of semiconductor device
JPH03297146A (en) Manufacture of semiconductor element

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130528

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140416

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140701

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140714

R150 Certificate of patent or registration of utility model

Ref document number: 5589692

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees